KR20230156441A - 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 - Google Patents

웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 Download PDF

Info

Publication number
KR20230156441A
KR20230156441A KR1020237037869A KR20237037869A KR20230156441A KR 20230156441 A KR20230156441 A KR 20230156441A KR 1020237037869 A KR1020237037869 A KR 1020237037869A KR 20237037869 A KR20237037869 A KR 20237037869A KR 20230156441 A KR20230156441 A KR 20230156441A
Authority
KR
South Korea
Prior art keywords
wafer
zones
shoped
deposition
top surface
Prior art date
Application number
KR1020237037869A
Other languages
English (en)
Inventor
파야즈 에이. 샤이크
아드리아나 빈틸라
매튜 무드로
닉 레이 주니어 라인바거
씬 인
제임스 에프. 리
브라이언 조셉 윌리엄스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230156441A publication Critical patent/KR20230156441A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

웨이퍼의 하측 표면 상에 막을 증착하기 위한 플라즈마 프로세싱 챔버는 샤워헤드 페데스탈을 포함한다. 샤워헤드 페데스탈은 제 1 존 및 제 2 존을 포함한다. 상부 분리 핀은 샤워헤드 페데스탈의 상단 표면 위에 배치되고 하부 분리 핀은 샤워헤드 페데스탈의 상단 표면 아래에 배치되고 상부 분리 핀과 정렬된다. 제 1 존은 웨이퍼의 하측 표면에 제 1 막을 증착하기 위해 구성되고 제 2 존은 웨이퍼의 하측 표면에 제 2 막을 증착하기 위해 구성된다. 또 다른 실시 예에서, 샤워헤드 페데스탈의 상단 표면은 상부 분리 핀 대신 마스킹 플레이트를 수용하도록 구성될 수도 있다. 마스킹 플레이트는 개구부들을 갖는 제 1 영역 및 마스킹되는 제 2 영역으로 구성된다. 제 1 영역들은 막을 증착하기 위해 웨이퍼의 하측 표면의 일부에 프로세스 가스를 제공하도록 사용된다.

Description

웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착{SPATIALLY TUNABLE DEPOSITION TO COMPENSATE WITHIN WAFER DIFFERENTIAL BOW}
본 실시 예들은 반도체 웨이퍼 프로세싱 장비 툴들, 보다 구체적으로, 상단-측 상에 형성된 층들에 의해 유발된 웨이퍼 보잉에 대응하기 위해 배면 증착을 수행하기 위한 샤워헤드들을 갖는 챔버들에 관한 것이다.
다양한 박막 층들이 반도체 디바이스들을 형성하도록 웨이퍼 (즉, 기판) 의 상단 표면 상에 증착된다. 이들 박막 층들은 CVD (chemical vapor deposition) 또는 PECVD (plasma-enhanced chemical vapor deposition) 와 같은 공지의 증착 프로세스들을 사용하여 형성될 수도 있다. CVD는 웨이퍼 (즉, 기판) 가 하나 이상의 휘발성 전구체들에 노출되는 일 타입의 증착이다. 이들 휘발성 전구체들은 박막들을 형성하도록 기판의 표면 상에서 반응하고 그리고/또는 분해한다. PECVD는 기판 상에 가스 상태 (즉, 증기) 로부터 고체 상태로 박막들을 증착하도록 사용되는 일 타입의 화학적 기상 증착이다. PECVD에서, 액체 전구체는 증기 전구체로 변환되고, 이어서 챔버로 전달된다. PECVD 시스템들은 증기 전구체를 생성하도록 제어된 방식으로 액체 전구체를 기화시키는 기화기를 포함할 수도 있다.
통상적으로, 반도체 디바이스들을 형성하기 위한 대부분의 증착 및 다른 프로세싱은 기판의 상단 표면 (즉, 전면) 상에서 발생한다. 박막 층들이 형성될 때, 기판에 내부 응력을 도입할 수 있다. 내부 응력은 박막 층들을 형성하는데 사용된 프로세스 가스들의 화학적 특성들의 차이들에 기인한다. 보다 많은 층들의 박막들이 증착됨에 따라, 내부 응력이 축적된다. 축적된 내부 응력 및 기판 상에 증착된 상이한 박막들의 CTE (column of thermal expansion) 의 미스 매칭은 기판 워프 (warp) (즉, 보우 (bow)) 를 유발할 수 있다. 기판 보잉은 형상이 볼록하거나 오목한 것으로 널리 인식된다. 그러나, 특정한 경우들에서 워프는 새들 (saddle) 형상일 수 있다. 이 보잉은 후속 기판 프로세싱을 보다 어렵게 만들 수 있기 때문에 바람직하지 않다.
보잉의 바람직하지 않은 효과를 완화시키기 위해 응력 보상이 필요하다. 응력을 보상하고 바람직하지 않은 보잉 효과를 완화하는 일 방식은 전면 (즉, 상단측) 상의 막 층들에 의해 유도된 보잉 및 상이한 박막들에 의해 유발된 기판의 내부 응력에 대응하도록 기판의 배면 상에 막 층들을 증착하는 것이다. 배면 상에 막 층들을 증착하는 것은 기판이 뒤집혀지고 (flip) 배면이 위로 향하게 로딩될 것을 필요로 한다. 웨이퍼를 뒤집는 것은 부가적인 핸들링, 입자들에 대한 잠재적인 노출, 및/또는 프로세싱 수율의 감소와 같은 부가적인 문제들을 도입한다.
종래의 기술은 입자들에 대한 노출을 방지하기 위해 에지를 마스킹하기 위해 에지 섀도우 링들을 사용하였다. 이들 에지 섀도우 링들은 본질적으로 정적이고 변화할 수 없다. 또한, 이들 에지 섀도우 링들은 에지 영역만을 타깃으로 하고 외측 에지 영역을 넘어 기판에 영향을 주지 않는다. 사용된 다른 종래 기술은 표준 패터닝이다. 그러나, 표준 패터닝 프로세스는 매우 시간 집약적이고 많은 동작들을 필요로 한다.
이 맥락에서 발명들이 발생한다.
본 개시의 실시 예들은 기판의 상단 측 표면 상의 박막들의 증착에 의해 유발된 기판 워핑 (warping) 에 대응하기 위해 기판의 하측 (즉, 배면) 표면 상의 박막들의 증착을 가능하게 하는 시스템들 및 방법들을 제공한다. 본 명세서에 개시된 시스템들 및 방법은 프로세싱 챔버의 하단 부분에 배치된, 복수의 존들을 갖는 하부 전극 샤워헤드 (또는 단순히 본 명세서에서 "하부 샤워헤드" 또는 "샤워 페데스탈" 또는 "ShoPed"로 지칭됨) 를 포함한다. ShoPed는 상이한 존들을 규정하기 위한 마스킹 피처를 포함한다. 상이한 존들 각각은 별도의 가스 소스에 연결되고 ShoPed의 상단 표면 상에 규정된 별개의 유출구들의 세트와 인터페이싱한다. 별개의 유출구들의 세트들을 통해 제공된 프로세스 가스들은 웨이퍼의 하측 표면 상에 규정된 상이한 섹터들에 박막들을 증착하기 위해 상이한 플라즈마들을 생성하도록 사용되며, 상이한 섹터들은 각각의 존들의 유출구들의 세트에 의해 커버된 특정한 영역들에 대응한다. 대안적인 실시 예에서, 정밀하고, 결정된 형상 및 커버리지 영역을 갖는 마스킹 플레이트가 ShoPed의 상단 표면 상에 배치된다. 마스킹 플레이트가 상부에 배치되는 ShoPed는 구역화 (zonal) 샤워헤드 또는 레귤러 샤워헤드일 수도 있다. 마스킹 플레이트는 기판의 하측 표면 상에 규정된 상이한 섹터들을 커버하는 규정된 패턴들의 상이한 박막들의 증착을 허용한다.
마스킹 플레이트 및/또는 구역화 하부 샤워헤드의 사용은 웨이퍼의 하측 표면 상의 특정한 미리 규정된 영역들 (즉, 특정한 섹터들) 내의 상이한 막들의 증착을 허용한다. 기판의 하측 표면 상에 박막들을 증착하기 위한 프로세스 가스들은 인장 또는 압축 특성들에 기초하여 선택된다. 막들의 두께, 박막들을 형성하는데 사용된 가스들의 특성들, 예컨대 응력, 인장 또는 압축 특성들의 조합, 뿐만 아니라 상이한 섹터들에서 상이한 박막들의 적용 시퀀스는 기판의 상단 표면 상의 박막들의 증착으로부터 경험된 기판 변형 (즉, 보잉) 을 보상하도록 기판의 배면에 대한 응력의 조절에 도움이 된다. 응력의 조절은 디바이스 수율 개선에 도움이 된다.
일 실시 예에서, 웨이퍼의 하측 표면 상에 막들을 증착하기 위한 플라즈마 프로세싱 챔버에서 사용하기 위한 샤워헤드 페데스탈 (showerhead pedestal; ShoPed) 이 개시된다. ShoPed는 제 1 존 및 제 2 존을 포함한다. 상부 분리 핀 (separator fin) 은 ShoPed의 상단 표면 위에 배치되고 하부 분리 핀은 ShoPed의 상단 표면 아래에 배치되고 상부 분리 핀과 정렬된다. 제 1 존은 웨이퍼의 하측 표면에 제 1 막을 증착하기 위해 구성되고 제 2 존은 웨이퍼의 하측 표면에 제 2 막을 증착하기 위해 구성된다.
또 다른 실시 예에서, 웨이퍼의 하측 표면 상에 막들을 증착하기 위한 플라즈마 프로세싱 챔버에서 사용하기 위한 샤워헤드 페데스탈 (showerhead pedestal; ShoPed) 이 개시된다. ShoPed는 일 볼륨의 (a volume of) 프로세스 가스를 수용하도록 내부에 규정된 내측 플레넘을 포함한다. 유출구들의 세트가 ShoPed의 상단 표면 상에 규정되고 막을 증착하기 위해 프로세스 가스를 제공하도록 내측 플레넘과 인터페이싱하도록 구성된다. 마스킹 플레이트는 ShoPed의 상단 표면 위에 배치된다. 마스킹 플레이트는 개구부를 포함하는 제 1 영역 및 마스킹되는 제 2 영역을 포함한다. 마스킹 플레이트의 제 1 영역은 막을 증착하기 위해 웨이퍼의 하측 표면의 일부에 프로세스 가스를 제공하도록 구성된다.
본 발명의 다른 양태들은 예로서 본 발명의 원리들을 예시하는, 첨부된 도면들과 함께 취해진 이하의 상세한 기술로부터 자명해질 것이다.
도 1은 일부 실시 예들에 따른, 배면 웨이퍼 증착을 위해 구성된 웨이퍼 프로세싱 시스템의 간략화된 블록도를 예시한다.
도 2는 일 실시 예에 따른, 프로세싱 스테이션들 각각이 배면 웨이퍼 증착을 위해 구성되는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다.
도 3은 일 실시 예에 따른, 인바운드 로드 록 및 아웃바운드 로드 록을 갖는 멀티-스테이션 프로세싱 툴의 일 실시 예의 개략도를 도시한다.
도 4a는 일 실시 예에 따른, 웨이퍼의 하측 표면 상에 규정된 상이한 영역들 상의 박막들의 선택적인 증착을 위해 설계된 상이한 존들을 나타내는 섹터들을 식별하는 샤워헤드 페데스탈의 간략화된 개략도를 예시한다.
도 4b는 일 실시 예에 따른, 도 4a에서 식별된, 샤워헤드 페데스탈의 섹션 A-A의 단면도를 예시한다.
도 4c는 일 실시 예에 따른, 도 4a에서 식별된, 샤워헤드 페데스탈의 섹션 B-B의 단면도를 예시한다.
도 4d는 일 실시 예에 따른, 기판에 대해 배치될 때, 샤워헤드 페데스탈 상의 다양한 존들을 규정하는데 사용되는 상부 분리 핀의 기하학적 구조의 확대도를 예시한다.
도 4e는 일 실시 예에 따른, 샤워헤드 페데스탈 내에 규정된 상부 분리 핀 및 하부 분리 핀을 따른 단면도를 예시한다.
도 4f는 일 실시 예에 따른, 상부 분리 핀 및 하부 분리 핀, 및 하부 분리 핀에 의해 규정된 내측 플레넘들의 위치를 도시하는 샤워헤드 페데스탈의 내부의 개략도를 예시한다.
도 4ga는 일 실시 예에 따른, 샤워헤드 페데스탈의 상이한 존들 내에 형성된 내측 플레넘들의 사시도를 예시한다.
도 4gb는 일 실시 예에 따른, 대응하는 프로세스 가스 피드들을 갖는 내측 플레넘들의 간략화된 개략도를 예시한다.
도 4gc는 일 실시 예에 따른, 제 1 프로세스 가스를 제 1 내측 플레넘으로 공급하는 제 1 가스 피드와 함께 제 1 존 내에 규정된 제 1 내측 플레넘의 개략적인 표현을 예시한다.
도 4gd는 일 실시 예에 따른, 제 2 프로세스 가스를 제 2 내측 플레넘으로 공급하는 제 2 가스 피드와 함께 제 2 존 내에 규정된 제 2 내측 플레넘의 개략적인 표현을 예시한다.
도 4h는 일 실시 예에 따른, 기판의 하측 표면 상에 선택적인 증착을 수행하기 위해 챔버에서 사용되는 대안적인 샤워헤드 페데스탈의 면 형상을 예시한다.
도 4i는 일 실시 예에 따른, 도 4h에서 식별된 섹션 C-C의 단면도를 예시한다.
도 4j는 일 실시 예에 따른, 도 4h에서 식별된 섹션 D-D의 단면도를 예시한다.
도 4k는 일 실시 예에 따른, 상이한 존들에서 중공 캐소드 방전 (HCD) 을 증가시키기 위해 상단 표면을 따라 분포된 상이한 유출구 밀도 및 유출구 사이즈를 갖는 유출구들을 갖는 샤워헤드 페데스탈의 상단 표면의 평면도를 예시한다.
도 5a는 대안적인 실시 예에 따른, 웨이퍼의 하측 표면 상의 상이한 부분들에서 박막들의 선택적인 증착을 위해 상부에 규정된 상이한 영역들을 갖는 마스킹 플레이트를 포함하는 하부 전극 샤워헤드를 갖는 플라즈마 프로세싱 시스템의 간략화된 개략도를 예시한다.
도 5ba 및 도 5bb는 일 실시 예에 따른, 막들의 선택적인 증착을 허용하도록 2 개의 상이한 스테이션들에서 사용될 때 선택적으로 활성화되거나 마스킹되는 상이한 영역들을 갖는 마스킹 플레이트의 부감도들을 예시한다.
도 5c는 일 실시 예에 따른, 기판을 갖는 캐리어 링을 수용하기 위한 기판 지지부를 제공하는 스페이서를 갖는 샤워헤드 페데스탈의 에지의 확대도를 예시한다.
도 6은 일 실시 예에 따른, 시스템들을 제어하기 위한 제어 모듈을 도시한다.
본 개시의 실시 예들은 반도체 웨이퍼들을 프로세싱하기 위해 사용되는, 프로세스 챔버의 실시 예들을 제공한다. 일 구현 예에서, 챔버는 기판의 상단-측 상에 보다 많은 층들이 형성될 때 웨이퍼 보잉 (bowing) 및/또는 응력들에 대응하기 위해 배면 증착을 가능하게 하는 하부 전극 샤워헤드 구성으로 구성된다.
본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부에서 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 또는 300 ㎜의 직경을 갖지만, 450 ㎜ 직경 또는 200 ㎜보다 크거나 보다 작은 직경을 갖는 기판들이 또한 구상될 수 있다. 본 명세서의 기술 (description) 은 증착 또는 에칭 동안 하나 이상의 프로세스 가스들에 노출될 수 있는 웨이퍼의 상이한 측면들을 기술하기 위해 용어들 "전면" 또는 "상단" 및 "배면" 또는 "하측 (underside)"을 사용한다. 전면 또는 상단 측면이 대부분의 증착 및 프로세싱이 발생하는 곳이고, 반도체 디바이스들 자체가 제조되는 곳이라는 것이 이해된다. 배면 또는 하측은 웨이퍼의 반대편이고, 통상적으로 제조 동안 최소의 프로세싱을 겪거나 프로세싱을 겪지 않는다.
발전된 메모리 및 로직 칩들을 제작하기 위한 멀티-레벨 반도체 프로세싱 플로우는 기판들로 하여금 압축 방향 및 인장 방향으로 상당히 보잉하게 한다. 웨이퍼의 표면의 변형은 웨이퍼의 표면 상에 증착되는 막들의 압축 및/또는 인장 특성들에 기인할 수 있다. 이 기판 보잉 (중간 보잉 또는 심각한 보잉) 으로 인해, 다양한 제작 프로세스들의 프로세싱 조건들이 절충되어 프로세스 제어 문제들, 리소그래피 척킹 및 오버레이 문제들을 유발하고, 이는 때때로 수율 손실을 증가시킨다.
일 실시 예에 따라, 보잉을 해결하기 위한 일 방식은 실질적으로 편평한 웨이퍼를 발생시키도록 전면 상의 보잉을 보상하도록 웨이퍼의 배면 상에 하나 이상의 희생 막들 (sacrificial films) 을 증착하는 것이다. 희생 막들에 사용된 재료의 양, 타입 및 희생 막들이 하측 상에 증착되는 위치는 박막들이 전면 상에 증착되는 위치, 및 재료의 양, 타입에 종속될 수도 있다. 종래의 PECVD 시스템들은 RF 전력 공급되거나 접지될 수 있는 일 가스-플로우 전극을 갖는다. 통상적으로, 가스 플로우 전극 (또한 상부 전극 샤워헤드 또는 단순히 상부 전극 (104) 으로 지칭됨) 은 PECVD 시스템들의 상단 부분에 배치되어 반응 물질들로 하여금 웨이퍼의 전면 또는 상단측 위에 플라즈마를 생성하게 하여, 웨이퍼의 전면 또는 상단측에만 막들의 증착을 야기한다. 그러나, 보잉을 해결하기 위해, 희생 막들은 웨이퍼의 배면 또는 하측 상에 형성되어야 한다. 웨이퍼의 배면 상에 희생 막들을 형성하기 위해, 희생 막들을 증착하기 위한 플라즈마는 기판의 배면 (즉, 하측 표면) 위에 형성되어야 한다.
일 실시 예에 따라, 듀얼 가스-플로우 전극들을 포함함으로써 이 불일치를 해결하는 증착 시스템 (예를 들어, PECVD 시스템) 이 개시된다. 일 가스-플로우 전극은 챔버의 상단 부분에 규정되고 제 2 전극은 하단 부분에 규정되고 상단 가스-플로우 전극 (또한 본 명세서에서 "상부 샤워헤드" 또는 "상부 전극"으로 지칭됨) 에 대향하여 배향된다. 전극들 중 어느 하나는 막 (예를 들어, CVD 막) 증착들을 위해 플라즈마 생성을 인에이블하는 AC 필드들을 제공하기 위한 RF 전극일 수 있다. 이 듀얼 가스-플로우 전극 PECVD 시스템은 웨이퍼의 상단 표면에만, 또는 하측 표면에만, 또는 상단 표면과 하측 표면 모두에 막들을 선택적으로 증착하도록 구성된다. 일 예시적인 구현 예에서, 하부 가스-플로우 전극 (본 명세서에서 "하부 전극 샤워헤드" 또는 단순히 "하부 샤워헤드" 또는 "샤워 페데스탈" 또는 "ShoPed"로 지칭됨) 은 멀티-스테이션 챔버 또는 단일 -스테이션 챔버의 일부일 수도 있다. 따라서, ShoPed는 챔버 내로 또는 멀티-스테이션 챔버의 스테이션으로 이송되는 웨이퍼를 갖는 캐리어 링을 수용하고 홀딩하기 위한 웨이퍼 지지부를 포함한다. ShoPed는 제 1 프로세스 가스를 수용하기 위해 제 1 가스 피드를 통해 제 1 프로세스 가스 소스에 연결되고, 제 2 프로세스 가스를 수용하기 위해 제 2 가스 피드를 통해 제 2 프로세스 가스 소스에 연결된다. 상부 샤워헤드는 제 3 프로세스 가스를 수용하기 위해 제 3 가스 피드를 통해 제 3 프로세스 가스 소스에 연결된다.
일 실시 예에서, 배면 프로세스 가스 플로우는 웨이퍼의 배면 상에 PECVD 증착을 인에이블하는 한편, 전면 프로세스 가스 플로우는 웨이퍼의 전면 상에 증착을 인에이블할 수 있다. 시스템은 특정한 측면들 상에 막 증착을 유발하는 대응하는 프로세스 가스 소스들에 연결된 가스 피드들을 턴온 및 턴오프함으로써 증착 측면을 선택적으로 인에이블하도록 설정될 수 있다. 예를 들어, 웨이퍼의 배면이 증착을 겪어야 한다면, 웨이퍼의 배면에 프로세스 가스(들)를 제공하는 가스 피드(들)는 턴온되고 전면에 프로세스 가스를 제공하는 가스 피드는 턴오프된다. 일부 구현 예들에서, 플라즈마로 활성으로 처리되지 않는 측면은 대신 비-반응 가스들 (예를 들어, 불활성 가스들) 로 처리될 수도 있다. 이러한 구현 예들에서, 처리 측면 상의 증착 동안 비 처리 측면에 프로세스 가스를 공급하도록 사용된 가스 피드는 대신 비 반응 가스 소스 (예를 들어, 불활성 가스 소스) 에 연결될 수도 있다. 웨이퍼의 배면이 프로세스 가스(들)로 처리되는 상기 예에서, 프로세스 가스(들)가 웨이퍼의 배면으로 공급되는 동안 웨이퍼의 전면으로의 가스 피드(들)는 불활성 가스가 전면으로 공급되게 하도록 불활성 가스 소스에 연결될 수도 있다. 이는 전면으로부터 이탈하는 부산물들이 배면으로 나아가고 배면 표면에 부착되는 것을 방지할 것이다. 이 시스템의 또 다른 양태는 대응하는 플라즈마 프로세싱 영역 내에서 프로세스 가스(들)의 한정 및/또는 가스들이 흐르는 반응 물질로부터 기판의 측면의 거리를 제어하는 능력이다. 이 제어는 배면 보상과 같은 적용 예들에 필요한 증착 프로파일 및 막 특성들을 달성할 수 있게 한다.
ShoPed 및 상부 샤워헤드는 웨이퍼의 배면 또는 전면 상에서 PECVD 증착 프로세스들을 수행하기 위해 반응 물질 가스들의 적절한 혼합 및 적절한 플로우 역학들의 적절한 적용을 가능하게 하는 샤워헤드-유사 피처들을 제공하는 구성들을 포함한다. 부가적으로, 일부 실시 예들은 증착을 위해 웨이퍼의 목표된 (일 또는 두) 측면들 상의 플라즈마를 억제하거나 허용할 수 있는 제어 가능한 갭을 가능하게 한다. 제어되는 갭들은 예를 들어, 웨이퍼의 상단 측면과 상부 샤워헤드 (104) 의 하단 표면 사이의 갭 간격 (spacing), 및 웨이퍼의 배면과 ShoPed (106) 의 상단 표면 사이의 갭 간격을 포함할 수 있다. 예를 들어, 웨이퍼의 배면이 증착되는 동안, 웨이퍼의 상단 측면과 상부 샤워헤드의 하단 표면 사이의 갭은 플라즈마 형성을 방지하도록 최소로 조정될 수도 있다. 예로서, 이 분리는 (웨이퍼 보우 한계들에 따라) 약 0.5 ㎜ 내지 약 2 ㎜, 그리고 또 다른 실시 예에서, 약 0.5 ㎜ 내지 약 1 ㎜일 수도 있다.
ShoPed (106) 는 가스들의 고른 분배 (즉, 프로세스 가스들이 웨이퍼의 하단부를 향해 전달되게 하도록) 또는 가스들의 차등 분배를 위해 샤워헤드 유출구 패턴 및 내측 플레넘들을 포함하도록 더 구성된다. 실시 예들은 또한 가스-플로우 페데스탈 (즉, ShoPed) 이 프로세스 가스를 적절한 온도로 얻기 위해 능동 히터를 갖게 한다. ShoPed (106) 및 샤워헤드 (104) 의 조합은 두 핵심 속성들의 동시적인 기능을 가능하게 한다. 일 실시 예에서, ShoPed (106) 는 여전히 웨이퍼를 가열하고 멀티-스테이션 반응기 챔버 내에서, 또는 반응기 챔버의 내부로부터 외부로 또는 그 반대로 웨이퍼 이송 피처들을 제공할 수 있지만, 샤워헤드 (104) 컴포넌트들은 웨이퍼의 상단 표면 상에 증착을 수행하도록 프로세스 가스 플로우를 허용한다. 따라서 본 명세서에 개시된 가스-플로우 페데스탈 (즉, ShoPed) 은 웨이퍼의 어느 측면 상에 선택적으로 증착되도록 전통적인 PECVD 프로세스들의 구현을 가능하게 한다. 이들 구성들은 또한 상부 전극 또는 하단 ShoPed에 선택적으로 RF 전력을 공급하고, 증착을 필요로 하는 웨이퍼의 측면 상의 플라즈마를 동적으로 인에이블/디스에이블하도록 구성된다. 부가적으로, ShoPed는 또한 웨이퍼의 하측 표면 상에 규정된 상이한 부분들 상의 선택적인 증착을 위해 ShoPed 내에 규정된 상이한 존들에 선택적으로 RF 전력을 공급하도록 구성될 수도 있다.
일반적으로 말하면, ShoPed는 웨이퍼의 배면 상에 막을 증착함으로써 응력 및 보잉 문제를 해결하기 위한 몇 가지 이점을 제공한다. 배면 막은 보잉을 나타내지 않는 (또는 실질적으로 보잉이 없는, 예를 들어, 약 150 ㎛의 보잉 보다 작은) 중성 응력 (또는 실질적으로 중성 응력, 예를 들어, 약 +/- 150 MPa) 웨이퍼를 발생시키도록 전면 증착으로부터 응력에 상쇄한다. 전면 상에 증착된 막이 인장성이면, 배면 증착에 사용된 막은 또한 전체 응력의 균형을 맞추기 위해 인장성이어야 한다. 유사하게, 전면 막이 압축성이면, 배면 막도 압축성이어야 한다. 배면 막은 다양한 반응 메커니즘들 (예를 들어, CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), LPCVD (low pressure chemical vapor deposition), 등) 을 통해 증착될 수도 있다. 다양한 경우들에서, PECVD는 이러한 타입의 반응에서 달성된 높은 증착 레이트로 인해 사용된다.
특정한 증착 파라미터들은 목표된 응력 레벨을 갖는 배면 막을 생성하도록 튜닝될 수 있다. 이들 증착 파라미터들 중 하나는 증착된 배면 막의 두께이다. 보다 두꺼운 막들은 웨이퍼에 보다 큰 응력을 유도하는 한편, (동일한 조성을 갖고 동일한 조건들 하에서 증착된) 보다 얇은 막들은 웨이퍼에 보다 적은 응력을 유도한다. 따라서, 배면 층을 형성하는데 소비된 재료의 양을 최소화하기 위해, 이 층은 고도로 응력을 받는 막의 형성을 촉진하는 조건들 하에서 상대적으로 얇게 증착될 수도 있다. 튜닝될 수 있는 증착 파라미터들 중 또 다른 하나는 증착되어야 하는 상이한 막들의 층들의 수이다. 배면 상에 형성된 막들의 층들의 수는 웨이퍼의 전면 상에 증착된 막들의 수와 같거나 적을 수도 있고, 이들 수는 사용되는 프로세스 가스들의 타입, 막들의 인장 또는 압축 특성들, 막 각각의 두께, 및 완화되어야 하는 전체 응력에 종속될 수도 있다.
언급된 바와 같이, 증착된 재료들의 스택들은 특히 웨이퍼 응력 및 보잉을 발생시킬 가능성이 있다. 이들 문제들을 유발할 수도 있는 일 예시적인 스택은 옥사이드와 나이트라이드의 교번하는 층들 (예를 들어, 실리콘 옥사이드/실리콘 나이트라이드/실리콘 옥사이드/실리콘 나이트라이드, 등) 을 갖는 스택이다. 보잉을 발생시킬 가능성이 있는 또 다른 예시적인 스택은 옥사이드와 폴리 실리콘의 교번하는 층들 (예를 들어, 실리콘 옥사이드/폴리 실리콘/실리콘 옥사이드/폴리 실리콘, 등) 을 포함한다. 문제가 될 수도 있는 스택 재료들의 다른 예들은 텅스텐 및 티타늄 나이트라이드를 포함하지만 이로 제한되지 않는다. 스택들의 재료들은 PECVD (plasma enhanced chemical vapor deposition), LPCVD (low pressure chemical vapor deposition), MOCVD (metal organic chemical vapor deposition)와 같은 화학적 기상 증착 기법들을 통해, ALD (atomic layer deposition), PEALD (enhanced atomic layer deposition), 또는 DMD (direct metal deposition), 등을 통해 증착될 수도 있다. 이들 예들은 제한하는 것으로 의도되지 않는다. 특정한 개시된 실시 예들은 웨이퍼의 전면 상에 존재하는 재료로 인해 웨이퍼 응력 및/또는 보잉이 유도될 때마다 유용할 수도 있다.
전면 스택들은 임의의 수의 층들 및 두께들로 증착될 수도 있다. 통상적인 예에서, 스택은 약 32 내지 약 72 개의 층들을 포함하고, 약 2 ㎛ 내지 약 4 ㎛의 총 두께를 갖는다. 스택에 의해 웨이퍼에 유도된 응력은 약 -500 MPa 내지 약 +500 MPa일 수도 있고, 빈번하게 약 200 내지 400 ㎛ (300 ㎜ 웨이퍼에 대해), 그리고 일부 경우들에서 보다 큰 보우를 발생시킨다.
특정한 구현 예들에서, 배면 층은 추가 프로세싱 후에 제거될 수도 있다. 이 경우에, 배면 상에 증착된 막들의 조성은 적절한 시간에 기판으로부터 용이하게 제거될 수 있도록 선택되어야 한다. 이와 관련하여, 목표된 제거 화학 물질에서 배면 층의 재료 (예를 들어, 유전체) 와 아래에 놓인 기판의 재료 (예를 들어, 실리콘) 사이에 높은 선택도가 있어야 한다.
배면 층의 최적의 두께는 웨이퍼의 전면 상의 증착에 의해 유도된 응력의 양, 뿐만 아니라 배면 층이 증착되는 조건들에 따라 결정될 것이다. 배면 층은 웨이퍼의 응력이 무시될 수 있는 (예를 들어, 약 150 MPa 미만) 두께로 증착될 수도 있다. 증착된 배면 층의 두께는 웨이퍼 보우를 무시할 수 있는 범위 (예를 들어, 약 150 ㎛ 미만의 보우) 아래로 떨어지게 하도록 제어될 수도 있다. 일부 경우들에서, 이는 약 0.1 내지 2 ㎛, 예를 들어 약 0.3 내지 2 ㎛, 또는 약 0.1 내지 1 ㎛, 또는 약 0.3 내지 1 ㎛의 배면 층 두께에 대응한다. 실리콘 나이트라이드가 배면 층을 형성하도록 사용되는 경우, 약 0.3 ㎛의 두께를 갖는 막은 약 50 내지 200 ㎛의 보우를 완화시키기에 충분하다. 상기 언급된 바와 같이, 보다 높은 응력 배면 층이 층의 요구된 두께를 감소시키도록 선택될 수도 있다. 이는 재료를 보존하고 비용을 줄이는 데 도움이 된다. 배면 증착 기법들에 관한 보다 많은 정보를 위해, 본 출원과 동일한 양수인에 의해 소유되고 본 명세서에 참조로서 인용된 미국 특허 출원 번호 제 14/285,554 호를 참조할 수도 있다. 듀얼 가스-플로우 전극들을 사용하는 것에 대한 부가적인 상세들 및 기판의 하측 표면 상에 형성된 막들의 조성에 대한 일부 참조들를 위해, 본 출원과 동일한 양수인에게 양도되고 본 명세서에 참조로서 인용된 미국 특허 출원 번호 제 15/692,300 호가 참조될 수도 있다.
본 실시 예들이 프로세스, 장치, 시스템, 디바이스, 또는 방법과 같은 다수의 방식들로 구현될 수 있다는 것이 인식되어야 한다. 몇몇 실시 예들이 이하에 기술된다.
막들의 증착은 일 실시 예에서 PECVD (plasma enhanced chemical vapor deposition) 시스템에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 플라즈마 프로세싱 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 (그 위치에서 운동, 예를 들어, 회전, 진동, 또는 다른 교반과 함께 또는 이들 없이) 에 웨이퍼를 유지한다. 증착을 겪는 웨이퍼는 프로세스 동안 플라즈마 프로세싱 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송될 수도 있다. 물론, 막 증착은 전적으로 단일 스테이션에서 일어날 수도 있고, 또는 막의 임의의 분획이 임의의 수의 스테이션들에서 증착될 수도 있다.
프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 특정한 동작들을 위해, 장치는 웨이퍼를 가열하기 위해 가열 플레이트와 같은 히터를 포함할 수도 있다.
보다 구체적으로, 도 1은 웨이퍼 (101) 를 프로세싱하도록 사용되는 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 플라즈마 프로세싱 챔버 (102) 를 포함한다. 일 구현 예에서, 플라즈마 프로세싱 챔버 (또는 본 명세서에서 단순히 "챔버"로 지칭됨) (102) 는 도 1에 예시된 바와 같이 단일-스테이션 챔버이다. 대안적인 구현 예들에서, 플라즈마 프로세싱 챔버 (102) 는 도 2 및 도 3을 참조하여 기술될 바와 같이, 멀티-스테이션 챔버일 수도 있다. 챔버 (102) 의 하단 부분은 페데스탈 (106) 을 포함한다. 본 명세서에 개시된 실시 예들에 따라, 페데스탈 (106) 은 샤워헤드가 페데스탈의 상단 표면 상에 규정되고 웨이퍼 (101) 의 하측 표면에 프로세스 가스들을 제공하도록 구성된다는 점에서 샤워헤드 페데스탈 (또는 본 명세서에서 단순히 "ShoPed"로 지칭됨) 로 지칭된다. 중심 컬럼 (160) 이 ShoPed (106) 를 지지하도록 구성된다. ShoPed (106) 의 상단 표면 상의 외측 에지는 플라즈마 프로세싱 챔버 (102) 내부에 수용될 때, 캐리어 링 (134) 에 대한 지지를 제공하기 위해, 캐리어 링 지지 영역과 같은 지지 표면을 포함한다. 캐리어 링 (134) 은 웨이퍼 (101) 를 지지하도록 구성되고 그리고 증착을 위해 웨이퍼를 프로세스 챔버 내로 이동시키고 증착 후에 웨이퍼를 제거하도록 사용된다. 캐리어 링 (134) 은 웨이퍼 (101) 의 어느 측면이 프로세싱되는지에 따라, 막 증착을 위해 웨이퍼 (101) 의 하측 표면뿐만 아니라 상단 표면을 노출한다. 상부 샤워헤드 (104) 는 플라즈마 프로세싱 챔버 (102) 의 상단 부분에 배치되고 ShoPed (106) 에 반대 방향으로 배향된다. 상부 샤워헤드 (104) 는 프로세스 가스 (예를 들어, 제 3 프로세스 가스) 를 수용하기 위해 대응하는 가스 피드 (예를 들어, 가스 피드 (116a)) 를 통해 프로세스 가스 소스 (예를 들어, 제 3 프로세스 가스 소스 (116)) 에 연결된다.
일 실시 예에서, ShoPed (106) 는 매칭 네트워크 (124) 를 통해 제 1 전력 공급부 (예를 들어, 제 1 RF (radio frequency) 전력 공급부) (122) 에 전기적으로 커플링되고 상부 샤워헤드 (104) 는 매칭 네트워크 (128) 를 통해 제 2 전력 공급부 (예를 들어, 제 2 RF 전력 공급부) (126) 에 전기적으로 커플링된다. 제 1 RF 전력 공급부 (122) 및 제 2 RF 전력 공급부 (126) 는 제어 모듈 (120) (예를 들어, 제어기) 에 연결되고 상부 샤워헤드 (104) 및/또는 ShoPed (106) 에 전력을 공급하기 위해 제어기 (120) 내에 규정된 RF 전력 제어 코드에 의해 제어된다. 일부 실시 예들에서, 웨이퍼 (101) 의 하측 표면 상의 상이한 부분들에 막들의 증착을 허용하기 위해 상부 샤워헤드 (104) 대신 ShoPed (106) 에만 전력을 제공하도록 제어기 (120) 를 구성하는 것이 가능하다. 대안적인 실시 예들에서, 웨이퍼 (101) 의 상단 표면에만 막들의 증착을 허용하기 위해 상부 샤워헤드 (104) 에만 전력을 제공하도록 제어기 (120) 를 구성하는 것이 가능하다. 부가적인 실시 예들에서, 웨이퍼 (101) 의 상단 표면 및 하측 표면 모두 상에 막들의 증착을 허용하도록 상부 샤워헤드 (104) 및 ShoPed (106) 모두에 전력을 제공하도록 제어기 (120) 를 구성하는 것이 가능할 수도 있다.
제어 모듈 (120) 은 웨이퍼 (101) 의 상단 표면 또는 하측 표면 상에 막들을 증착하기 위한 특정한 프로세스 레시피들에 대한 제어 및 프로세스 입력을 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 웨이퍼 (101) 의 어느 표면이 증착된 막을 수용하는지와 무관하게, 제어기 모듈 (120) 은, 예를 들어, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 운동, ShoPed (106) 로부터 웨이퍼 (101) 의 높이, 막의 두께, 등과 같은, 특정한 프로세스 레시피들에 대한 다양한 동작 입력들을 설정하고, 이러한 프로세스 레시피들은 막이 증착될 위치, 막을 증착하기 위해 사용된 프로세스 가스들의 특성들 (예를 들어, 인장 또는 압축성), 등에 기초할 수도 있다. 일부 실시 예들에서, 웨이퍼의 하측 표면 상에 막들을 증착하기 위한 프로세스 레시피들에 대한 동작 입력들 (즉, 배면 증착 제어) 은 웨이퍼 (101) 의 상단 표면 상에 막들을 증착하기 위해 사용된 프로세스 레시피들에 기초하여 결정될 수도 있다. 예를 들어, 상단 측면 막에 사용된 프로세스 레시피들은 배면 증착을 위한 프로세스 레시피들을 결정하기 위해 제어기 (120) 내의 수동 설정 입력 코드에 동작 입력들을 수동으로 제공하도록 사용될 수도 있다. 대안적으로, 상단 측면 막 층들을 증착하기 위해 사용된 프로세스 레시피들은 배면 증착을 제어하기 위한 프로세스 레시피들을 결정하기 위해 제어기 (120) 의 모델 설정 입력 코드에 의해 수학적 모델에 대한 설정 입력들로서 사용될 수도 있다. 수학적 모델은 입력들로서, 복수의 웨이퍼 프로세싱으로부터 상단면 증착과 관련된 프로세스 레시피들을 사용하는 머신 러닝 알고리즘에 의해 생성되고 트레이닝될 수도 있다. 프로세스 레시피들에 대한 동작 입력들의 상기 언급된 리스트는 단순한 예로서 제공되었고, 포괄적이거나 제한적인 것으로 간주되지 않아야 한다.
일 실시 예에서, 수학적 모델은 다양한 증착 프로세스들을 통해 테스트 웨이퍼들을 먼저 실행하고 보우 (bow) 를 유발하는 인자들을 결정하기 위해 보우를 갖는 웨이퍼들을 검사함으로써 생성된다. 증착 프로세스들은 디바이스를 규정하기 위해 웨이퍼의 상단 표면 상의 상이한 섹션들 상에 상이한 막들을 규정하도록 사용된다. 계측 툴들은 다양한 막들의 속성들을 분석하고 보우를 유발하는 요인들을 결정하는데 사용된다. 예를 들어, 분석은 섹터 또는 섹션이 보우를 유발하는 막, 막의 두께, 보우를 유발한 막의 인장 또는 압축 특성, 및 상이한 막들의 증착의 결과로서 유발된 보잉의 양을 식별하도록 사용될 수도 있다. 계측 툴은 보우를 정량화하기 (quantify) 위해 현미경들 또는 레이저 광들 또는 임의의 다른 툴들 또는 수단을 인게이지할 수 있다. 웨이퍼를 검사하는 것으로부터 계측 툴에 의해 수집된 데이터는 수학적 모델을 생성하기 위해 머신 러닝 알고리즘에 의한 입력들로서 사용된다. 이어서 생성된 모델은 부가적인 테스트 웨이퍼들을 실행하고 부가적인 테스트 웨이퍼들로부터의 데이터를 사용함으로써 트레이닝된다. 트레이닝된 모델은 특정한 디바이스 (예를 들어, 3D NAND, 메모리 칩, 프로세서, 등), 및 보잉을 유발한 인자들 (두께, 위치, 인장/압축 특성, 등) 을 규정하도록 증착되는 막들의 특성들을 식별할 수도 있다. 따라서, 일 실시 예에서, 특정한 타입의 디바이스를 형성하기 위해 웨이퍼가 수용될 때, 트레이닝된 모델은 특정한 타입의 디바이스에 대한 보우를 유발하는 인자들을 식별하고, 디바이스를 형성하기 위해 웨이퍼의 전면 상의 증착 전에 배면 증착을 수행하도록 사용될 수도 있고, 배면 증착은 웨이퍼 보우가 상단 측면 상에 사용된 막들로부터 발생할 것이라는 예상으로 행해진다. 트레이닝된 모델은 사용되어야 하는 재료의 타입, 배면 상에 증착되어야 하는 막의 두께, 막이 증착되어야 하는 웨이퍼의 배면의 섹션, 보우를 보상하기 위한 막들의 층들의 수, 등을 식별하도록 사용될 수도 있다. 또 다른 실시 예에서, 트레이닝된 모델은 전면 상에 막들의 몇몇 층들의 증착 후 웨이퍼의 배면 상에 형성되어야 하는 막들의 타입을 알아 내고 디바이스의 층들이 전면 상에 구축될 때 보잉을 보상하기 위해 복수의 패스들로 배면 증착을 수행하도록 사용될 수도 있다. 따라서, 모델로부터의 데이터는 전면 상에 구축되는 디바이스의 상이한 스테이지들에서 또는 시작시 또는 규정된 기간들, 등에 배면 증착을 수행하도록 사용될 수 있다. 머신 러닝 알고리즘에 의해 생성된 모델은 테스트 웨이퍼들을 검사함으로써 트레이닝될 뿐만 아니라 인 시츄 (in situ) 계측 툴들을 사용하여 웨이퍼들을 라이브 검사함으로써 트레이닝될 수도 있다.
일부 실시 예들에서, ShoPed (106) 의 외측 에지 상의 캐리어 링 지지 영역은 캐리어 링을 배치하도록 프로세스 챔버의 로봇 (미도시) 의 엔드 이펙터로 하여금 캐리어 링 (134) 을 웨이퍼 (101) 와 함께 스페이서들 (130) 위에 배치하게 하도록 지지 영역을 따라 균일하게 분포되는 스페이서들 (130) 을 포함할 수도 있다. 캐리어 링 (134) 은 웨이퍼 (101) 를 지지하도록 사용되는 내측 반경을 따라 규정된 단차진 (step-down) 하단 연장부 (134a) 를 포함한다. 복수의 콘택트 지지부들이 웨이퍼 (101) 를 안착시키기 (seat) 위해 하단 연장부 (134a) 상에 규정될 수도 있다. 스페이서들 (130) 상에 규정된 피처들은 스페이서들 (130) 상의 캐리어 링 (134) 을 신뢰성있게 수용하도록 사용될 수도 있다. 이하에 기술될 바와 같이, 스페이서들 (130) 은 캐리어 링 (134) 상에 수용된 웨이퍼 (101) 의 하측 표면과 ShoPed (106) 의 상단 표면 사이에 제어된 분리를 제공하도록 사이징된다. 스페이서들 (130) 의 사이즈는 (웨이퍼를 대면하는) 상부 샤워헤드 (104) 의 하단 표면과 웨이퍼 (101) 의 상단 표면 사이에 제어된 분리가 존재한다는 것을 더 보장한다.
대안적인 실시 예에서, ShoPed의 에지는 웨이퍼 (101) 와 함께 캐리어 링 (134) 을 배치하고 회수하도록 (retrieve) 사용될 수 있는 리프트 핀 메커니즘 (미도시) 을 포함할 수도 있다. 리프트 핀 메커니즘은 스페이서들 (130) 대신 제공될 수도 있다. 이 구성에서, 리프트 핀 메커니즘은 웨이퍼 (101) 와 함께 캐리어 링 (134) 을 수용하도록 사용될 수도 있다. 리프트 핀 메커니즘은 리프트 핀 제어부에 커플링된 복수의 리프트 핀들을 포함한다. 리프트 핀들은 ShoPed (106) 의 주변 영역에 규정된 하우징 내에 하우징될 수도 있고, 리프트 핀들이 리프트 핀 제어부에 의해 활성화될 때, ShoPed (106) 의 주변 영역을 따라 규정된 홀들을 통해 상승된 위치로 연장하고, 리프트 핀들이 비활성화될 때 하우징으로 후퇴하도록 (retract) 구성될 수도 있다. 리프트 핀들은 웨이퍼 (101) 와 캐리어 링 (134) 이 ShoPed (106) 상에 수용될 때 활성화될 수도 있고 웨이퍼 (101) 의 하측 표면이 증착을 겪어야 할 때 활성화된 채로 남아 있을 수도 있다. 활성화된 리프트 핀들은 캐리어 링 및 웨이퍼 (101) 가 프로세스 챔버로부터 수용되거나 제거될 때, 엔드-이펙터가 웨이퍼 (101) 와 함께 캐리어 링 (134) 을 배치하고 회수하기 위해 필요한 지지를 제공한다. 웨이퍼 (101) 와 함께 회수된 캐리어 링 (134) 은 다음 스테이션으로 또는 프로세싱 챔버 외부로 이동될 수도 있다.
ShoPed (106) 는 웨이퍼 (101) 의 하측 표면 상의 상이한 영역들에서 상이한 막들의 증착을 허용하도록 설계된다. 따라서, ShoPed (106) 는 ShoPed (106) 내부에 규정된 제 1 존 및 제 2 존을 포함한다. 제 1 존 (152) 은 제 1 피드 (112a) 를 통해 제 1 프로세스 가스 소스 (112) 에 연결되고 제 1 프로세스 가스 소스 (112) 로부터 일 볼륨의 (a volume of) 제 1 프로세스 가스를 수용하기 위해 제 1 내측 플레넘 (206a) 을 포함한다. 제 1 내측 플레넘 (206a) 으로부터의 제 1 프로세스 가스는 제 1 존에 대응하는 웨이퍼 (101) 의 하측 표면 상의 제 1 영역에 제 1 막을 증착하도록 사용된다. 이 효과를 위해, 제 1 내측 플레넘은 제 1 막을 증착하기 위해 제 1 프로세스 가스로 하여금 제 1 영역 위에 제공되게 하도록 ShoPed (106) 의 상단 표면 상에 형성된 제 1 세트의 유출구들과 인터페이싱한다. 제 2 존 (154) 은 제 2 피드 (114a) 를 통해 제 2 프로세스 가스 소스 (114) 에 연결되고 제 2 프로세스 가스 소스 (114) 로부터 일 볼륨의 제 2 프로세스 가스를 수용하기 위해 제 2 내측 플레넘 (206b) 을 포함한다. 제 2 내측 플레넘 (206b) 으로부터의 제 2 프로세스 가스는 제 2 존에 대응하는 웨이퍼 (101) 의 하측 표면 상의 제 2 영역에 제 2 막을 증착하도록 사용된다. 이러한 효과를 위해, 제 2 내측 플레넘은 제 2 막을 증착하기 위해 제 2 프로세스 가스로 하여금 제 2 영역 위에 제공되게 하도록 ShoPed (106) 의 상단 표면 상에 형성된 제 2 세트의 유출구들과 인터페이싱한다.
분리 핀들 (separator fins) 은 웨이퍼 (101) 의 특정한 영역들 위에 제 1 프로세스 가스 및 제 2 프로세스 가스를 한정하도록 (confine) ShoPed (106) 내부 및 외부에 제공된다. 예를 들어, 하부 분리 핀 (158) 은 제 2 존의 제 2 내측 플레넘으로부터 제 1 존의 제 1 내측 플레넘을 분리하도록 ShoPed (106) 내부에 규정된다. 상부 분리 핀 (156) 은 웨이퍼 (101) 와 대면하는 ShoPed (106) 의 상단 표면 상에 규정되고, 하부 분리 핀 (158) 과 정렬된다. 상부 분리 핀 (156) 은 웨이퍼 (101) 상에 형성된 제 1 막 및 제 2 막의 경계들을 규정한다. 상부 분리 핀 (156) 의 기하학적 구조 및 위치의 상세들은 도 4a 내지 도 4d를 참조하여 보다 상세히 논의될 것이다. 유사하게, 하부 분리 핀 (158) 의 상세들은 도 4e 내지 도 4f를 참조하여 보다 상세히 논의될 것이다. 상부 분리 핀 (156) 의 높이는 특정한 두께의 막을 제공하도록 엔지니어링된다 (engineer). 또한, 상부 분리 핀 (156) 의 높이는 웨이퍼 (101) 의 하측 표면이 상부 분리 핀 (156) 의 팁 (tip) 으로부터 이격되도록 규정되지만, 여전히 각각의 영역들 위에 프로세스 가스들을 한정할 수 있다. 그 결과, 웨이퍼 (101) 의 하측 표면을 향해 지향된 프로세스 가스들은 상부 분리 핀 (156) 에 의해 제 1 존 및 제 2 존에 각각 대응하는 웨이퍼 (101) 의 하측 표면의 영역들로 한정되어, 제 1 막 또는 제 2 막이 각각의 영역들에 형성될 수 있다. 예를 들어, 웨이퍼 (101) 를 갖는 캐리어 링 (134) 이 스페이서들 (130) 상에 수용되는 일 실시 예에서, 상부 분리 핀 (156) 의 높이는 스페이서들 (130) 보다 짧게 규정될 수도 있어서 상부 분리 핀 (156) 의 팁은 캐리어 링 (134) 과 함께 웨이퍼 (101) 가 스페이서들 (130) 상에 수용될 때, 웨이퍼 (101) 의 하측 표면 바로 아래에 있고 상부 분리 핀 (156) 의 팁과 웨이퍼 (101) 의 하측 표면 사이에 분리 거리가 존재한다. 또한, 상부 분리 핀 및 하부 분리 핀 (156 및 158) 은 막들을 분리되게 유지하는데 필요한 구역 분리 (zonal separation) 를 제공함으로써 상이한 막들의 동시 증착을 허용한다.
스페이서들 (130) 과 같은 지지 표면들은 캐리어 링 (134) 이 스페이서들 (130) 에 의해 지지될 때 슬라이딩 또는 이동하는 것을 방지하도록 캐리어 링 (134) 내에 규정된 임의의 링 연장부들에 대해 상보적인 메이팅 표면을 제공한다. 일 실시 예에서, 3 개의 스페이서들 (130) 이 제공된다. 그러나, 실시 예는 3 개의 스페이서들로 제한되지 않고, 캐리어 링 (134) 이 ShoPed (106) 의 표면에 실질적으로 평행하게 지지될 수 있고, ShoPed (106) 의 상단 표면으로부터 이격된 관계로 웨이퍼 (101) 를 지지하기 위해 간격이 규정되는 한, 임의의 수의 스페이서들이 제공될 수도 있다고 구상된다 (envision).
스페이서들 (130) 의 높이 및 상부 분리 핀 (156) 의 높이는 분리 거리가 웨이퍼 (101) 의 하측 표면과 상부 분리 핀 (156) 의 팁 사이에 존재하도록 규정된다. 또 다른 구현 예에서, 상부 분리 핀 (156) 의 높이는 스페이서들 (130) 의 높이와 동일하게 규정된다. 이 구현 예에서, 분리 거리는 상부 분리 핀 (156) 의 팁과 웨이퍼 (101) 의 하측 표면 사이에 여전히 존재할 수도 있고, 분리 거리는 상단에 웨이퍼 (101) 가 수용되는 캐리어 링 (134) 의 하단 연장부 (134a) 의 두께와 같을 수도 있다. 스페이서들 (130) 및 상부 분리 핀 (156) 은 제 1 존 및 제 2 존에 대응하는 영역들로 막들의 증착을 한정함으로써 웨이퍼 (101) 의 하측 표면으로의 증착을 최적화하는 구역 분리를 제공한다. 또한, ShoPed (106) 및 상부 샤워헤드 (104) 의 동작을 제어함으로써, 웨이퍼 (101) 의 하측 표면의 증착이 발생할 때 웨이퍼 (101) 의 상단 표면 위의 증착이 감소될 수 있다.
ShoPed (106) 가 연결되는 제 1 가스 소스 (112) 및 제 2 가스 소스 (114) 는 설비 및/또는 불활성 가스들로부터의 가스 화학 물질 공급부들일 수도 있다. 웨이퍼 (101) 의 하측 표면 상에서 수행되는 증착의 타입에 따라, 제어기 (120) 는 각각의 가스 피드들 (112a, 114a) 을 통해 적절한 프로세스 가스 소스들 (112, 114) 로부터 프로세스 가스들의 선택 및 전달을 제어한다. 웨이퍼 (101) 가 ShoPed (106) 상의 스페이서들 (130) 위에 놓일 때, 선택된 프로세스 가스들은 각각의 제 1 내측 플레넘 또는 제 2 내측 플레넘 (206a, 206b) 으로 그리고 각각의 제 1 내측 플레넘 또는 제 2 내측 플레넘으로부터 ShoPed (106) 의 상부 표면과 웨이퍼 (101) 의 하측 표면 사이에 규정된 공간 볼륨으로 공급된다. 일부 구현 예들에서, 제어기 (120) 는 기판의 하측 표면 상에 규정된 각각의 영역들에 제 1 막 및 제 2 막을 증착하도록 순차적인 방식으로 제 1 프로세스 가스 및 제 2 프로세스 가스의 전달을 제어하도록 구성될 수도 있다. 예를 들어, 제어기 (120) 는 ShoPed (106) 의 제 1 존에 대응하는 웨이퍼 (101) 의 하측 표면 상의 제 1 영역에 제 1 막을 형성하도록 제 1 프로세스 가스의 전달을 제어할 수도 있다. 제 1 막이 증착된 후, 제어기 (120) 는 ShoPed (106) 의 제 2 존에 대응하는 웨이퍼 (101) 의 하측 표면 상의 제 2 영역에 제 2 막을 형성하도록 제 2 프로세스 가스의 전달을 제어할 수도 있다. 대안적인 구현 예에서, 제 1 존 및 제 2 존이 상부 분리 핀 및 하부 분리 핀에 의해 명확하게 분리되기 때문에, 제어기는 웨이퍼 (101) 의 하측 표면 상의 각각의 영역들 내에 제 2 막 및 제 1 막을 형성하도록 제 1 프로세스 가스 및 제 2 프로세스 가스 모두의 전달을 동시에 제어하도록 구성될 수도 있다.
웨이퍼 (101) 의 하측 표면 상의 상이한 막들의 증착 동안, 상부 샤워헤드 (104) 로의 가스 피드 (116a) 는 웨이퍼 (101) 의 하측 표면 상에 막들이 증착되는 동안 웨이퍼 (101) 의 상단 표면에 프로세스 가스가 인가되지 않는 다는 것을 보장하도록 턴 오프될 수도 있다. 대안적으로, 상부 샤워헤드 (104) 는 웨이퍼 (101) 의 상단측에 불활성 가스를 제공하도록 사용될 수도 있다. 불활성 가스는 반응 가스들이 웨이퍼 (101) 의 하측 표면으로 지향될 수도 있도록, 상단 표면으로부터 멀어지게 하측 표면 상에 증착하기 위해 사용된 반응 물질 가스들을 푸시하도록 사용될 수도 있다. 이러한 경우들에서, 가스 피드 (116a) 는 반응 물질 프로세스 가스 소스 대신 불활성 가스 소스에 연결될 수도 있다. 또 다른 구현 예에서, 상부 샤워헤드는 표면의 상단측 상에 막들을 증착하도록 사용될 수도 있는 한편, ShoPed는 기판의 하측 표면 상에 하나 이상의 막들을 증착하도록 사용될 수도 있다. 일부 구현 예에서, 하측 표면 상에 막들을 증착하도록 사용된 프로세스 가스들은 동일한 조성일 수도 있고 상단 표면 상에 막들을 증착하기 위해 사용된 프로세스 가스들과 동일한 특성들을 가질 수도 있다. 일부 다른 구현 예들에서, 상단 표면 상에 막들을 증착하도록 사용된 프로세스 가스들은 하측 표면 상에 막들을 증착하도록 사용된 프로세스 가스들과 상이할 수도 있다. 막들의 수, 프로세스 가스들의 타입, 막들의 위치, 및/또는 하측 표면 상에 증착된 막의 두께를 규정하기 위해 사용되는 프로세스 레시피들에 대한 동작 입력들은 웨이퍼 (101) 의 상단측 상에 다양한 막들을 증착하기 위해 사용된 프로세스 레시피들 및 웨이퍼 (101) 의 상단 표면 상에 형성된 막들의 특성들에 의해 구동될 수도 있다. 사이즈, 형상 윤곽, 위치, 등을 포함하는, ShoPed (106) 내의 상이한 존들의 구성은 인입 웨이퍼로부터 획득된 입력에 기초하여 수학적 모델에 의해 결정될 수도 있고 이러한 결정은 머신 러닝 알고리즘을 사용하여 행해질 수 있고, 또는 대안적으로 인 시츄 계측이 가능한 생산 라인 또는 장비를 통해 미리 결정될 수 있다.
또한, 상단측 및 하측 표면 상에 막들을 증착하기 위해 사용된 프로세스 가스들은 미리 혼합되거나 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들이 정확한 프로세스 가스들이 상단 표면 및 하측 표면에 대한 증착 프로세스의 플라즈마 처리 페이즈들 (예를 들어, 증착 페이즈들) 동안 전달된다는 것을 보장하도록 각각의 가스 피드들 (112a, 114a, 116a) 내에서 채용될 수도 있다. 프로세스 가스들은 유출구 (미도시) 를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프) (미도시) 가 프로세스 가스들을 인출하고, 스로틀 (throttle) 밸브 또는 펜듈럼 (pendulum) 밸브와 같은 폐루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다. 이전에 언급된 바와 같이, 반응기는 단일-스테이션 챔버의 일부일 수도 있고 또는 멀티-스테이션 챔버일 수 있다.
일 실시 예에서, 챔버 또는 스테이션 내외로 웨이퍼를 갖는 캐리어 링 (134) 을 이동시키도록 사용될 수도 있는 엔드-이펙터는 스파이더 포크들의 세트 (미도시) 일 수도 있다. 스파이더 포크들은 캐리어 링이 프로세싱 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이동될 때 캐리어 링을 지지하도록 또는 프로세싱 챔버 (100) 내외로 캐리어 링을 이동시키기 위해 사용되는 연장된 암들을 포함한다.
도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 상면도를 예시한다. 도 1의 실시 예는 4 개의 챔버 스테이션들을 갖는, 도 2 및 도 3의 멀티-스테이션 프로세싱 툴에서 구현될 수 있는 챔버 (102) 를 예시한다. 도 2 및 도 3은 4 개의 스테이션들이 스파이더 포크들 (132) 에 의해 액세스되는 (예를 들어, 예시를 위해 상단 챔버 부분이 제거된) 챔버 부분의 평면도를 제공한다. 스파이더 포크 (132), 또는 포크 각각은 제 1 암 및 제 2 암을 포함하고, 암 각각은 ShoPed (106) 의 측면 각각의 부분 둘레에 위치된다. 이 도면에서, 스파이더 포크들 (132) 은 캐리어 링 (124) 아래에 있다는 것을 전달하기 위해 점선들로 도시되었다. 인게이지먼트 (engagement) 및 로테이션 메커니즘 (134) 을 사용하는 스파이더 포크들 (132) 은 캐리어 링들 (134) 을 스테이션들로부터 (즉, 캐리어 링들 (134) 의 하부 표면으로부터) 동시에 상승 및 리프팅하도록, 이어서 추가 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 캐리어 링들 (134) (적어도 하나의 캐리어 링들이 웨이퍼 (101) 를 지지함) 을 다음 위치로 하강시키기 전 적어도 하나 이상의 스테이션들을 로테이팅시키도록 구성된다. 일 실시 예에서, 스파이더 포크들 (132) 은 웨이퍼 (101) 의 상단면 상의 증착을 실질적으로 방지하면서, 웨이퍼 (101) 의 배면 상의 증착을 인에이블하는 높이로 웨이퍼 (101) 와 함께 캐리어 링 (134) 을 상승시키도록 사용될 수 있다.
도 3은 인바운드 로드 록 (148) 및 아웃바운드 로드 록 (140) 을 갖는 멀티-스테이션 프로세싱 툴의 실시 예의 개략도를 도시한다. 대기압으로 유지된 대기 이송 모듈 내에 위치된 로봇 (142) 은, 카세트로부터 포드 (pod) (150) 를 통해 로딩된 웨이퍼들 (101) 을 대기 포트 (144) 를 통해 인바운드 로드 록 (148) 으로 이동시키도록 구성된다. 인바운드 로드 록 (148) 은 대기 포트 (144) 가 폐쇄될 때, 인바운드 로드 록 (148) 이 진공으로 펌핑 다운될 수도 있도록, 진공 소스 (미도시) 에 커플링된다. 인바운드 로드 록 (148) 은 또한 프로세싱 챔버 (102) 와 인터페이싱된 챔버 이송 포트 (146) 를 포함한다. 따라서, 챔버 이송 포트 (146) 가 개방될 때, 또 다른 로봇 (미도시) 이 프로세싱을 위해 인바운드 로드 록 (148) 으로부터 제 1 프로세스 스테이션의 ShoPed (106) 로 웨이퍼를 이동시킬 수도 있다.
도시된 프로세스 챔버 (102) 는 도 3에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 일부 실시 예들에서, 프로세싱 챔버 (102) 는 진공 브레이크 및/또는 공기 노출을 경험하지 않고 기판들이 프로세스 스테이션들 사이에서 캐리어 링 (134) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하도록 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 배면 증착이 일어날 때 웨이퍼 (101) 의 하측 표면으로 프로세스 가스를 전달하도록 구성된 ShoPed (106) 이다. 배면 증착 동안, ShoPed (106) 로부터 웨이퍼를 상승시키기 위해 스페이서들 또는 스파이더 포크들 또는 리프트 핀들 또는 패들이 사용되든, 샤워헤드 (104) 는 일부 실시 예들에서, 웨이퍼 (101) 의 상단 표면 위의 증착을 방지하거나 감소시키기 위해 기판의 상단 표면 위에 불활성 가스를 공급하도록 구성될 수도 있다.
도 3은 또한 프로세싱 챔버 (102) 내에서 웨이퍼들을 이송하고 배면 증착 동안 웨이퍼 (101) 를 리프팅하기 위한 스파이더 포크들 (132) 을 도시한다. 이하에 보다 상세히 기술될 바와 같이, 스파이더 포크들 (132) 은 또한 로테이팅할 수 있고 일 스테이션으로부터 또 다른 스테이션으로 웨이퍼들의 이송을 인에이블할 수 있다. 이송은 외측 하부면으로부터 캐리어 링들 (134) 을 리프팅하고, 이어서 웨이퍼를 리프팅하고, 그리고 웨이퍼와 캐리어 링 (134) 을 함께 다음 스테이션으로 로테이팅시키도록 스파이더 포크들 (132) 을 인에이블함으로써 발생한다. 일 구성에서, 스파이더 포크들 (132) 은 프로세싱 동안 고 레벨의 열을 견디도록 세라믹 재료로 이루어진다.
다른 실시 예들에서, 웨이퍼들을 리프팅하고 이송하기 위해 스파이더 포크들 (132) 을 사용하는 대신, 패들 타입 구조체는 또한 웨이퍼들을 리프팅하고 이송하도록 기능할 수 있다. 스파이더 형태들 (132) 이 놓이는 방식과 유사하게 패들이 스테이션들 사이에 배치될 수 있고, 동일한 방식으로 기능할 수 있다. 따라서, 이해의 용이함을 위해, 스파이더 포크들 (132) 에 대한 참조들은 또한 배면 웨이퍼 증착 및 스테이션들 사이의 이송 동안 웨이퍼들의 제어 리프팅을 제공할 수 있는 패들 구성들에 적용되는 것으로 이해되어야 한다.
도 3에 예시된 실시 예는 또한 복수의 멀티-스테이션 프로세싱 챔버들이 진공 이송 모듈의 상이한 측면들을 따라 분포되는 프로세싱 툴들을 포함하는 상이한 프로세싱 툴 구성들로 확장될 수도 있다는 것이 이해되어야 한다. 상이한 측면들 상에 배치된 멀티-스테이션 프로세싱 챔버들에 더하여, 진공 이송 모듈은 또한 인바운드 로드 록 (148) 과 인터페이싱하는 일 측면 및 아웃바운드 로드 록 (140) 과 인터페이싱하는 또 다른 측면을 포함한다. 진공 이송 모듈 내의 로봇은 인바운드 로드 록 (148) 으로부터 프로세싱 챔버들로 그리고 프로세싱 챔버들로부터 아웃바운드 로드 록 (140) 으로 웨이퍼를 이동시키도록 사용될 수도 있다. 프로세싱 챔버 내에 배치된 스파이더 포크들은 프로세싱 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 웨이퍼를 이동시키도록 사용될 수도 있다. 알 수 있는 바와 같이, 도 3에 도시된 프로세싱 툴의 구성은 단지 예이고 다른 구성들이 또한 다양한 실시 예들에서 규정된 ShoPed를 구현하기 위해 구상될 수도 있다.
일반적으로 말하면, 본 명세서에 개시된 실시 예들은 증착을 겪기 위해 웨이퍼의 측면을 선택하기 위한 동적 제어를 사용하여 웨이퍼의 선택적인 측면 (전면 및/또는 배면) 상에 PECVD 막들을 증착하기 위한 것이다. 일 실시 예는 용량 결합 PECVD 시스템을 규정하기 위한 듀얼 가스-플로우 전극을 포함한다. 듀얼 가스-플로우 시스템은 가스-플로우 샤워헤드 (104) 및 가스-플로우 ShoPed (106) 를 포함한다. 일 실시 예에서, 가스-플로우 ShoPed는 결합 샤워헤드 및 페데스탈이고, 이는 웨이퍼의 배면 상에 증착을 인에이블한다. ShoPed 기하 구조는 예를 들어, 가스 혼합 플레넘, 유출구들, 유출구 패턴, 가스 제트 방지 배플과 같은 샤워헤드의 피처들, 및 예를 들어 임베딩된 (embedded) 제어된 히터, 리프트 핀 메커니즘들, 플라즈마 억제 링들을 홀딩하는 능력, 및 이동성과 같은 페데스탈의 피처들을 결합한다. 이는 RF 전력을 사용하거나 사용하지 않고 웨이퍼들의 이송 및 가스들의 프로세싱을 가능하게 한다.
웨이퍼 (101) 와 함께 캐리어 링을 리프팅하기 위해 리프트 핀 메커니즘이 ShoPed에 채용되면, 리프트 핀들이 연장될 수도 있는 높이는 상부 샤워헤드 (104) 와 ShoPed (106) 사이에 존재하는 갭의 양 뿐만 아니라 캐리어 링 (134) 의 두께에 따라 결정될 수도 있다. 리프트 핀 메커니즘은 리프트 핀 메커니즘의 활성화 및 비활성화를 제어하도록 제어기 (120) 에 연결된다.
일 실시 예에서, 챔버 내에 수용될 때 웨이퍼의 비 처리 측면과 전극 (상부 샤워헤드 (104) 또는 ShoPed (106)) 사이의 갭은 리프트 핀 메커니즘을 사용하여 플라즈마를 억제하는데 필요한 최소 값으로 엄격하게 제어된다 (그렇지 않으면 플라즈마 손상이 있을 것이다). 유사한 라인들을 따라, 웨이퍼 (101) 의 하측 표면과 ShoPed가 이격되도록 ShoPed의 수용 영역에 캐리어 링 상에 스페이서들을 포함하는 실시 예에서, 스페이서들의 높이는 웨이퍼 (101) 의 비 처리 측면과 전극의 표면 사이의 갭이 비 처리 측면 상의 플라즈마의 형성을 억제하기에 충분하다는 것을 보장하도록 제어된다.
일 예에서, 이 시스템은 약 2 ㎜ 내지 약 0.5 ㎜, 그리고 또 다른 실시 예에서 약 1 ㎜ 내지 약 0.05 (웨이퍼 보우에 의해 제한됨) 를 허용하고, 이러한 갭은 리프트 핀 메커니즘 또는 스페이서들의 사이즈에 의해 제어될 수 있다. 일 실시 예에서, 이 갭은 프로세스 조건들에 따른다.
일 실시 예에서, 가스-플로우 페데스탈 (즉, ShoPed) 은 제한없이: (a) 프로세싱 전에 웨이퍼의 프로세싱 온도로의 열적 안정화; (b) 웨이퍼의 배면의 상이한 영역들에 상이한 막들을 선택적으로 증착하기 위해 ShoPed 상의 유출구 패턴들의 선택적인 설계 (도 4k를 참조하여 상세히 기술됨) 를 가능하게 하고; (c) 특정한 유출구 패턴들을 갖는 스왑 가능한 (swappable) 링들은 적절한 플라즈마 한정을 달성하도록 ShoPed의 상단 표면에 부착될 수 있고; 그리고 (도 1에 대한 대안적인 실시 예의 상세들이 도 5a를 참조하여 기술될 것이다) (d) 하측 표면 증착 동안 웨이퍼 (101) 를 지지하고 웨이퍼와 샤워헤드 (104) 의 표면 사이의 갭들을 동적으로 제어하기 위해 챔버 내에 규정된 리프트 핀 메커니즘들, 스페이서들, 등과 같은 안정한 웨이퍼 지지 메커니즘들, 및 웨이퍼를 또 다른 스테이션 또는 챔버 또는 카세트 외부로 이송하기 위한 웨이퍼 이송 메커니즘들-예컨대 스파이더 포크들, 패들, 리프트 핀들, RF-커플링 피처들, 등을 가능하게 하고; 그리고 (e) 가스 혼합 피처들, 예컨대, 복수의 내측 플레넘들, 배플들, 등을 갖는 존들을 구현하고; 선택적인 가스들로 하여금 웨이퍼의 배면 상에 규정된 상이한 영역들로 흐르게 하고 플로우 제어기들을 통해 플로우 레이트들을 제어하게 한다.
웨이퍼 지지 메커니즘들은: (a) 증착 또는 반응 물질 플로우 전극으로부터 증착을 필요로 하는 웨이퍼의 측면까지의 거리, 또는 양 측면들이 증착될 수 있도록 상부 샤워헤드와 ShoPed 사이의 갭의 중간에 위치의 제어; 및 (b) 프로세스 동안 (플라즈마 전, 플라즈마 동안, 플라즈마 후) 증착을 수용하는 측면, 증착의 프로파일, 및 증착 막 특성들을 동적으로 제어하게 한다. 또 다른 실시 예에서, 웨이퍼의 배면 상에 증착하도록 사용된 증착 모드에 대해, 막 에지 배제 제어는 리소그래피-관련된 오버레이 문제들을 방지하기 위해 매우 바람직하다. 에지 배제 제어는 에지 상의 증착물을 섀도잉하기 위한 설계 특징을 갖는 캐리어 링 (134) 을 통해 행해지고, 설계 특징은 캐리어 링의 사이즈 및 형상, 캐리어 링의 하측 상에 형성된 피처들의 사이즈 및 형상을 포함한다.
도 4a는 일 실시 예에서, 웨이퍼 (101) 의 하측 표면 상에 상이한 막들을 증착하기 위해 프로세스 챔버에서 사용된 ShoPed의 사시도를 예시한다. ShoPed (106) 는 하부 분리 핀 및 상부 분리 핀을 사용하여 규정된 상이한 존들을 도시한다. 도 4a의 사시도에서, 상부 분리 핀 (156) 만이 도시된다. 한 쌍의 상부 분리 핀들 (156) 은 ShoPed의 표면을 참조 문자들 "a", "b", "c" 및 "d"로 나타낸 4 개의 상이한 섹터들로 분할하는, ShoPed의 상단 표면 상에 정반대로 배치된다. 서로 반대되는 2 개의 섹터들 "a" 및 "c"는 존 1 (152) 을 규정하는 한편, 나머지 2 개의 섹터 "b" 및 "d"는 존 2 (154) 를 규정한다. 실시 예가 2 개의 존들을 식별하지만, 상부 분리 핀 (156) 뿐만 아니라 하부 분리 핀의 현재 구성에 의해 규정된 상이한 섹터들은 4 개의 존들을 식별하도록 매우 잘 사용될 수 있고, 섹터 각각은 상이한 존과 연관된다. 도 4a에 도시된 분리 핀들 (상부 분리 핀들 및 하부 분리 핀들 모두) 의 구성은 단순한 예들이고 상이한 배향들 및/또는 상이한 수의 분리 핀들이 보다 적거나 부가적인 존들을 규정하도록 사용될 수도 있다. 존 각각은 대응하는 프로세스 가스 소스로부터 프로세스 가스를 수용하고 각각의 존들에 대응하는 기판의 표면 위의 영역에 프로세스 가스를 제공하기 위한 유출구들의 세트를 포함하는 대응하는 내측 플레넘에 연결된다.
상부 분리 핀 (156) 은 챔버 내에 수용될 때, ShoPed의 상부 표면과 웨이퍼 (101) 의 하측 표면 사이의 갭에 규정되는 플라즈마 생성 영역을 규정한다. 플라즈마 생성 영역의 깊이는 그렇지 않으면 플라즈마 생성을 억제할 깊이보다 크게 규정될 수도 있다.
일 실시 예에서, 웨이퍼를 갖는 캐리어 링 (134) 은 상부 분리 핀 (156) 의 상단 상에 수용될 수도 있다. 도 4a는 이러한 일 실시 예를 예시한다. 이 실시 예에서, 캐리어 링 (134) 은 상부 분리 핀 (156) 의 에지 영역 상에 지지될 수도 있다. 캐리어 링을 수용하고 캐리어 링에 신뢰할 수 있는 지지를 제공하기 위해, 상부 분리 핀 (156) 의 에지 영역의 상단 표면은 캐리어 링 (134) 이 수용되는 단차진 피처를 포함하도록 윤곽을 이룰 수도 있다. 상부 분리 핀 (156) 의 단차진 피처의 높이는 캐리어 링이 상부 분리 핀 (156) 의 상단 상에 수용될 때, 캐리어 링 상에 수용된 웨이퍼 (101) 가 상부 분리 핀 (156) 의 상단 부분으로부터 이격된 것으로 도시되도록, 캐리어 링의 두께보다 짧게 규정될 수도 있고 분리의 높이는 제 1 존의 프로세스 가스가 제 2 존에 의해 커버된 영역으로 흐르지 않고 그 반대도 가능하다는 것을 보장하도록 작을 수도 있다. 유출구들은 프로세스 가스들로 하여금 각각의 막들을 증착하기 위해 제공되게 하도록 존들 각각에 규정된다. 존들 각각의 유출구들의 사이즈 및 분포는 유사할 수도 있고 상이할 수도 있다. 도 4a에 예시된 실시 예에서, 존들 각각의 유출구들의 사이즈 및 분포는 동일하다.
도 4b는 도 4a에서 식별된, ShoPed (106) 의 섹션 A-A의 단면도를 예시한다. 이 단면도는 상부 분리 핀 (156) 이 ShoPed (106) 의 중심으로부터 외측 주변부로 연장할 때의 배향을 도시한다. 또한, 도 4b는 ShoPed (106) 의 외측 에지를 따라 규정된 대응하는 리세스 (106a) 와 커플링하도록 사용되는 캐리어 링 (134) 의 하측 표면 상에 규정된 측면 연장부 (134b) 를 예시한다. 측면 연장부 (134b) 의 두께는 캐리어 링 (134) 의 측면 연장부 (134b) 가 ShoPed (106) 의 외측 에지 영역에 규정된 리세스 (106a) 내로 수용될 수 있도록 상부 분리 핀 (156) 의 높이보다 클 수도 있다. 도시된 바와 같이, 복수의 측면 연장부들 (134b) 은 ShoPed (106) 의 원주를 따라 분포되고, ShoPed (106) 에 규정된 리세스들 (106a) 의 수는 캐리어 링 (134) 에 규정된 측면 연장부들 (134b) 의 수와 매칭할 수도 있다.
도 4c는 도 4a로부터, ShoPed (106) 의 섹션 B-B의 단면도를 예시한다. 이 단면도는 웨이퍼 (101) 를 갖는 캐리어 링 (134) 을 수용하기 위한 스페이서들 (130) 을 포함하는 ShoPed (106) 의 에지들과 함께 ShoPed의 중심에 배치된 상부 분리 핀 (156) 을 도시한다. 이 실시 예에서, 스페이서 (130) 의 높이는 ShoPed (106) 의 표면으로부터 연장하는 상부 분리 핀 (156) 의 부분의 높이보다 짧은 것으로 도시된다. 상부 분리 핀 (156) 은 ShoPed (106) 의 상단 표면에 부착될 수도 있고 또는 상부 분리 핀 (156) 의 일부는 ShoPed (106) 내에 임베딩될 수도 있다.
도 4d는 상부 분리 핀 (156) 의 일부가 ShoPed (106) 내에 임베딩되는 실시 예를 예시한다. 상부 분리 핀 (156) 은 임의의 설계일 수도 있고 상이한 존들을 규정하도록 상이한 방식들로 배향될 수도 있다. 상부 분리 핀 (156) 을 설계할 때 상이한 형상들이 구상될 수도 있다. 일 실시 예에서, 상부 분리 핀 (156) 은 웨이퍼의 하측 표면 상에 증착된 제 1 막과 제 2 막 사이의 경계의 사이즈 (즉, 두께) 를 감소시키도록 특정한 기하학적 구조로 설계될 수도 있다. 예를 들어, 도 4d에 예시된 실시 예에서, 상부 분리 핀 (156) 은 상단 테이퍼된 부분 (156a), 편평한 하단 부분 (156b) 및 상단 테이퍼된 부분 (156a) 과 하단 편평한 부분 (156b) 사이에서 연장하는 바디를 포함한다. 편평한 하단 부분 (156b) 의 일부는 ShoPed (106) 에 임베딩된다. 상부 분리 핀 (156) 의 높이는 상단 테이퍼된 부분 (156a) 이 이격되지만 캐리어 링 (134) 상에 수용된 웨이퍼 (101) 의 하측 표면에 근접하게 배치되도록 규정된다. 상단 테이퍼된 부분 (156a) 과 웨이퍼 (101) 의 하측 표면 사이의 분리 거리는 캐리어 링 (134) 의 하단 연장부 (134a) 의 두께와 동일할 수도 있다.
도 4e는 일 실시 예에서, 웨이퍼의 하측 표면 상에 막들을 증착하기 위해 프로세스 챔버에서 사용된 ShoPed의 측 단면도를 예시한다. 도 4e에 도시된 단면도는 상부 분리 핀 및 하부 분리 핀이 ShoPed (106) 내에 규정되는 영역을 따른 것이다. 하부 분리 핀 (158) 은 상이한 존들을 규정하도록 ShoPed 내부에 배치된다. 존 각각은 상이한 특성들 (예를 들어, 조성, 두께, 인장 또는 압축 특성, 등) 의 막들을 증착하도록 사용될 수도 있다. 내측 분리 핀 (158) 에 의해 규정된 존 각각은 막을 증착하기 위해 대응하는 가스 피드 (예를 들어, 112a, 114a) 를 통해 프로세스 가스 소스 (예를 들어, 112, 114) 로부터 일 볼륨의 프로세스 가스를 수용하도록 대응하는 내측 플레넘 (206a, 206b) 을 포함한다. 프로세스 가스들을 상이한 존들로 공급하기 위한 가스 피드들 (112a, 114a) 은 ShoPed (106) 를 지지하는 중앙 컬럼 (160) 내에 하우징된다.
상부 분리 핀 (156) 은 ShoPed의 외측, 상단 표면을 따라 배치되고 내측 분리 핀 (158) 과 정렬된다. ShoPed (106) 내부에 상이한 존들을 규정하는 내측 분리 핀 (158) 과 유사하게, 상부 분리 핀 (156) 은 웨이퍼 (101) 의 하측 표면과 ShoPed (106) 의 상단 표면 사이에 규정된 각각의 영역들 내에 대응하는 내측 플레넘들로부터 제공된 프로세스 가스들을 한정하기 위한 경계들을 규정하도록 사용되어, 상이한 막들이 각각의 영역들에 증착될 수 있다. 도 4e에 예시된 실시 예에서, 구역화 분리 라인 (162) 은 상이한 구역들을 규정하도록 사용된 상부 분리 핀들 (156) 의 교차점에 규정된다. 웨이퍼 (101) 를 갖는 캐리어 링 (134) 이 외측 원주 영역을 따라 ShoPed의 상단 표면 상에 규정된 스페이서들 (130) 의 상단 상에 수용된다.
도 4f는 일 실시 예에서, ShoPed (106) 의 내부의 도면을 예시한다. ShoPed의 내부는 하부 분리 핀 (158) 에 의해 규정된 제 1 내측 플레넘 (206a) 및 제 2 내측 플레넘 (206b) 을 포함한다. 캐리어 링 (134) 은 스페이서들 (130) 의 상단 또는 상부 분리 핀 (156) 상 또는 스페이서들 (130) 과 상부 분리 핀 (156) 모두 상에 수용될 수도 있다. 스페이서들 (130) 및/또는 상부 분리 핀 (156) 은 웨이퍼 (101) 의 하측 표면이 웨이퍼의 하측 표면과 ShoPed 사이에 규정된 갭에서 플라즈마가 생성되게 하는 거리만큼 ShoPed의 상단 표면으로부터 이격되는 것을 보장한다. 상부 분리 핀 및 하부 분리 핀 (156, 158) 은 상이한 막들이 기판의 하측 표면 상에 규정된 상이한 영역들에 형성될 수도 있도록 상이한 가스들의 플라즈마를 담기 위한 경계들을 규정한다.
도 4ga 내지 도 4gd는 일 실시 예에서, ShoPed의 존들에 의해 규정된 상이한 내측 플레넘들 내의 상이한 프로세스 가스들의 볼륨을 예시한다. 도 4ga에 도시된 바와 같이, 내측 플레넘들 (206a 및 206b) 은 존 1 및 존 2 (152, 154) 에 각각 형성되고, 내측 분리 핀 (158) 에 의해 규정된 핀 경계 (158a) 에 의해 분리된다. 중앙 컬럼 (160) 은 각각의 내측 플레넘들 내에 각각의 가스들의 볼륨을 제공하도록 프로세스 가스들을 제공하기 위한 가스 피드들을 포함한다.
도 4gb는 대응하는 프로세스 가스 소스들로부터 프로세스 가스를 제공하도록 대응하는 내측 플레넘들에 연결된 가스 피드들의 도면을 예시한다. 예를 들어, 제 1 가스 피드 (112a) 는 제 1 프로세스 가스 소스 (112) 로부터 제 1 내측 플레넘 (206a) 으로 제 1 프로세스 가스를 제공하도록 사용되고, 제 2 가스 피드 (114a) 는 제 2 프로세스 가스 소스 (114) 로부터 제 2 내측 플레넘 (206b) 으로 제 2 프로세스 가스를 제공하도록 사용된다. 도시된 바와 같이, 내부 플레넘들은 프로세스 가스들이 증착을 위해 막들을 생성하도록 제공될 수 있도록 일 볼륨의 각각의 프로세스 가스들을 담는다. 도 4gc은 일 볼륨의 제 1 프로세스 가스를 제 1 내측 플레넘 (206a) 에 제공하기 위한 제 1 가스 피드 (112a) 를 예시하고 그리고 도 4gd는 일 볼륨의 제 2 프로세스 가스를 제 2 내측 플레넘 (206b) 에 제공하기 위한 제 2 가스 피드 (114a) 를 예시한다.
도 4h 내지 도 4j는 일 구현 예에서 웨이퍼 (101) 의 하측 표면 상의 상이한 영역들에 상이한 막들을 증착하도록 사용될 수 있는 대안적인 ShoPed (306) 의 전극면 형상을 예시한다. 전극면은 도 4h에서 C-C 단면에 의해 도시되고 또한 도 4i에 도시된 바와 같이 형상이 오목할 수도 있고, 또는 도 4h에 D-D 단면로 도시되고 또한 도 4j에 도시된 바와 같은 형상이 볼록할 수도 있다. 도 4h에 예시된 실시 예에서, ShoPed (306) 의 면은 일 방향을 따른 오목한 형상과 상이한 방향을 따른 볼록한 형상 모두의 조합이다. ShoPed (306) 의 면 형상 윤곽은 미리 결정될 수도 있고, ShoPed의 면과 웨이퍼의 하측 표면 사이의 갭들을 튜닝하거나 규정하고, 상이한 막들을 증착하기 위한 프로세스 레시피들을 튜닝하고 상이한 존들에 걸쳐 플라즈마 밀도를 조절하도록 사용될 수도 있다. 일 실시 예에서, 웨이퍼 (101) 의 배면 표면으로부터 면 형상 윤곽의 높이의 변동은 ShoPed (306) 의 면에서 식별된 가장 높은 지점에서 약 1 ㎜ 내지 가장 낮은 지점에서 약 15 ㎜일 수도 있다. 대안적인 실시 예에서, 변동 범위는 약 2 ㎜ 내지 약 10 ㎜일 수도 있다. 또 다른 실시 예에서, 변동 범위는 약 3 ㎜ 내지 약 7 ㎜일 수도 있다. 도 4h 또는 도 1에 예시된 실시 예의 구역화 ShoPed는 상이한 존들에서 플로우 조절을 결정하도록 사용될 수도 있다. 부가적으로, ShoPed는 동일한 프로세스 가스 또는 상이한 프로세스 가스를 사용하여 상이한 존들에 증착된 막들의 깊이 균일성을 가능하게 한다.
웨이퍼의 전면 상에 형성된 막들에 의해 유발된 웨이퍼 보잉의 효과에 대응하기 위해 웨이퍼의 배면 상의 막들의 선택적인 증착은 전면 증착을 위해 연장될 수도 있다는 것을 주의해야 한다. 예를 들어, 웨이퍼의 상단면 상의 특정한 섹션들에서 하드 마스크 층으로서 증착되는 막은 희생 막 (sacrificial film) 일 수도 있다. 이 하드 마스크 층은 다른 막들의 상단에 형성될 수도 있다. 아래에 놓인 막들은 하드 마스크 층의 불균일성을 발생시키는 상이한 방향들로 상이하게 침전될 (settle) 수도 있다. 다른 막들이 하드 마스크 층의 상단에 증착될 때, 이들 다른 하부 층들의 차등 침전 효과가 고려되어야 할 수도 있다. 하드 마스크 층은 단지 예로서 주어지고, 아래에 놓인 층들의 차등 침전에 대응하기 위한 다른 막들의 증착은 웨이퍼의 표면 (전면 또는 배면) 위에 증착되는 임의의 막으로 연장될 수도 있다. 그 결과, ShoPed (106) 내에 규정된 상이한 섹션들의 막들의 선택적인 증착을 가능하게 하는 피처들은 상단 표면 상의 증착의 균일성이 실현될 수도 있도록 상부 전극으로 연장될 수도 있다. 도 4h 내지 도 4j에 예시된 ShoPed의 면 형상 윤곽은 또한 상부 전극에 대해 고려될 수도 있고, 이 때 상이한 막들의 선택적인 증착은 아래에 놓인 막들의 침전 효과를 상쇄하기 위해 다른 막들 위에 막들을 규정하기 위한 차등적 피처들뿐만 아니라 면 윤곽들을 고려할 수도 있다.
도 4k는 일 실시 예에서, ShoPed (106) 의 상단 표면 상에 규정된 유출구들 (즉, 홀들) (206c) 의 밀도, 분포 및 사이즈를 예시한다. 유출구 구성 (206c) 은 예로서 제공되고 제한적인 것으로 간주되지 않아야 한다. 예시적인 ShoPed는 막 1을 증착하기 위해 존 1에 규정된 제 1 세트의 유출구들 (206c-1) 및 막 2를 증착하기 위해 존 2에 제 2 유출구 세트 (206c-2) 를 포함한다. 제 1 세트 (206c-1) 의 유출구들의 사이즈는 보다 크고 영역 (208) 에 한정되는 한편, 제 2 세트 (206c-2) 의 유출구들의 사이즈는 보다 작고 영역 (210) 으로 한정된다. 유출구들의 사이즈 및 밀도는 다른 섹션들에 비해 특정한 섹션들에서 플라즈마 밀도를 개선하기 위한 것이다. 보다 큰 사이즈의 유출구들은 보다 치밀한 플라즈마, 막들의 깊이 레이트, 등을 야기하는 보다 많은 중공 캐소드 방전들 (hollow cathode discharges; HCDs) 을 조장한다. 제 1 세트의 유출구들 및 제 2 유출구 세트의 위치 및 분포는 일 실시 예에서, 수학적 모델에 따라 결정되는, 막들을 증착하는데 사용된 프로세스 레시피들에 기초하여 규정될 수도 있다.
가스 소스들 (112, 114) 은 하나 이상의 상이한 타입들의 가스들을 ShoPed (106) 에 제공하도록 구성된다. 예로서, 캐리어 링 (134) 이 예를 들어 ShoPed (106) 상에 규정된 지지부들 (130) 에 의해 지지될 때, 가스들은 기판 (101) 의 배면 상에 증착을 위해 사용된 반응 가스들일 수 있다. 일 실시 예에서, 배면 재료 층은 실란 (SiH4) + 암모니아 (NH3) 와 같은 프로세스 가스를 흘림으로써 ShoPed (106) 를 사용하여 증착될 수 있다. 이 실시 예에서, 상부 샤워헤드 (104) 는 임의의 반응 가스를 전달하도록 설정되지 않을 수도 있다. 대신, 상부 샤워헤드 (104) 는 퍼지 가스로서 작용하도록 불활성 가스, 예컨대 질소 (N2) 를 전달하도록 설정될 수도 있다. 배면 증착 프로세스를 위한 화학 물질들, 막들의 위치, 막들의 두께는 막들의 전면 증착에 의해 유발된 응력들을 전략적으로 상쇄하고 웨이퍼/기판 워핑 (warping) 을 감소시키거나 방지하도록 신중하게 선택된다.
상단-측 증착이 프로세스 챔버에서 발생할 때, ShoPed (106) 는 유출구들 (206c-1 또는 206c-2) 로부터 가스들을 흘리지 않고 표준 지지 척 또는 페데스탈로서 동작된다. 부가적으로, 예를 들어, 스페이서들이 웨이퍼를 지지하기 위해 사용되는 방식으로 스페이서들을 이동시킴으로써, 또는 배면 증착 동안 웨이퍼를 갖는 캐리어 링을 지지하기 위해 리프트 핀들을 사용하는 대안적인 예에서 리프트 핀들을 후퇴시킴으로써 웨이퍼를 갖는 캐리어 링은 ShoPed (106) 의 상단 표면 상으로 하강될 수도 있다. 이 구성에서, 상이한 타입들의 프로세스 가스들은 선택된 레시피에 따라 샤워헤드 (104) 내로 도입될 수 있고 웨이퍼 (101) 의 상단 표면 위에 증착되도록 사용될 수 있다. 하측 표면 증착이 일어날 때, ShoPed (106) 는 샤워헤드로서 작용한다. 배면 또는 하측 증착 동안, 웨이퍼를 갖는 캐리어 링은 스페이서들 상에 또는 리프트 핀들에 의해 또는 ShoPed에 사용된 다른 수단 (예를 들어, 스파이더 포크, 등) 에 의해 리프팅되고 지지되고, 프로세스 가스들이 ShoPed (106) 를 통해 도입된다. 이 페이즈 동안, ShoPed (106) 는 샤워헤드로서 작용한다. 배면 증착은 상단측 증착에서 규정된 막들에 의해 유도된 응력들을 보상하도록 인에이블되고 웨이퍼 보우를 감소시키는 것을 보조한다. 이와 같이, ShoPed (106) 는 증착될 표면, 예를 들어, 기판 (128) 의 상단 표면 또는 배면에 따라, 복수의 모드들로 동작할 수 있다는 것이 인식되어야 한다.
일 실시 예에서, ShoPed (106) 는 상단측 증착 동안 가열 기능들을 제공하도록 내부에 통합된 히터를 포함할 수도 있다. 히터 엘리먼트들은 배면 증착 동안 가스들을 전달하는 유출구들의 세트의 통과를 여전히 제공하는 동안, 고른 가열 분배를 제공하도록 분포될 수 있다.
도 5a는 ShoPed가 웨이퍼의 하측 표면 상에 상이한 막들을 증착하도록 사용되는 대안적인 실시 예를 예시한다. 이 실시 예에서 ShoPed (106') 는 이 실시 예에서 ShoPed (106') 가 상부 분리 핀을 포함하지 않는다는 점에서, 도 1 내지 도 4k를 참조하여 기술된 ShoPed와 상이하다. ShoPed (106') 는 내측 플레넘을 규정하도록 하부 분리 핀을 포함할 수도 있다. 대안적으로, ShoPed (106') 는 프로세스 가스 소스들 (112, 114) 중 임의의 하나로부터 프로세스 가스를 수용하도록 규정된 내측 플레넘 (206') 을 포함할 수도 있다. 내측 플레넘 (206') 은 ShoPed의 폭을 연장하고 프로세스 가스를 위한 볼륨을 규정한다. 또한, 내측 플레넘 (206') 은 ShoPed (106') 의 상단 표면 상에 규정된 유출구들의 세트와 인터페이싱한다. 유출구들의 세트는 막을 증착하기 위해 프로세스 가스 소스들 (112, 114) 중 하나로부터 프로세스 가스를 제공하도록 사용된다. 일 실시 예에서, 내측 플레넘 (206') 은 웨이퍼 (101) 의 하측 표면 상의 부분에 제 1 막을 증착하도록 제 1 프로세스 가스 소스 (112) 로부터 제 1 프로세스 가스를 수용할 수도 있고, 제 1 막의 증착 후에, 제 1 프로세스 가스는 내측 플레넘 (206') 으로부터 퍼지될 수도 있고 제 2 프로세스 가스 소스 (114) 로부터의 제 2 프로세스 가스가 제 2 막을 증착하기 위해 내측 플레넘 (206') 내로 수용될 수도 있다. 제 2 막은 제 1 막 위에 증착될 수도 있고 또는 상이한 영역에 증착될 수도 있다.
도 1에 예시된 ShoPed (106) 상의 상이한 존들을 규정하도록 사용된 상부 분리 핀 및 하부 분리 핀 대신, 도 5a에 예시된 ShoPed (106') 는 마스킹 플레이트 (136) 를 포함한다. 마스킹 플레이트 (136) 는 프로세스 가스로 하여금 흐르게 하는 개구부들을 갖는 제 1 영역 (136a) 및 임의의 프로세스 가스의 플로우를 방지하도록 마스킹되는 제 2 영역 (136b) 을 포함한다. 마스킹 플레이트 (136) 는 ShoPed (106') 의 상단 표면 위에 수용되거나 통합된다. 마스킹 플레이트 (136) 의 설계는 마스킹 플레이트가 ShoPed (106') 의 상단 표면 상에 수용될 때, 마스킹 플레이트 (136) 의 하단 표면과 ShoPed (106') 의 상단 표면 사이에 제 1 갭이 존재하게 한다. 제 1 갭에 더하여, 마스킹 플레이트 (136) 의 설계는 웨이퍼 (101) 가 캐리어 링 (134) 상에 수용될 때, 제 2 갭이 마스킹 플레이트 (136) 의 상단 표면과 웨이퍼 (101) 의 하측 표면 사이에 존재하게 한다. 제 2 갭의 사이즈는 웨이퍼로 하여금 마스킹 플레이트 (136) 로부터 이격되게 하지만 프로세스 가스로부터 생성된 플라즈마가 증착을 받는 웨이퍼의 하측 표면 상에 규정된 영역 위에 한정되게 하도록 충분히 가깝게 규정된다. 제 1 갭의 사이즈는 내측 플레넘 (206') 으로부터 제공된 프로세스 가스로부터 플라즈마를 생성하기에 충분하다.
마스킹 플레이트 (136) 는 마스킹 플레이트 (136) 의 배향이 제 1 영역 (136a) 이 막의 증착을 받는 웨이퍼 (101) 의 하측 표면 상의 영역과 정렬된다는 것을 보장하도록 ShoPed 플레이트 (106') 의 상단 표면 위에 수용된다. 따라서, 웨이퍼 (101) 의 하측 표면 상의 막의 증착 동안, 프로세스 가스 소스 1로부터의 프로세스 가스는 예를 들어, 내측 플레넘 (206') 내에 수용되고 균등화되고 이어서 유출구들을 통해 제 1 갭으로 공급된다. 제 1 갭은 ShoPed (106') 의 전체 폭에 걸쳐 연장된다. 플라즈마는 갭 내에 수용된 프로세스 가스를 사용하여 갭 내에서 생성된다. 생성된 플라즈마는 제 1 영역 내에 제 1 막을 증착하기 위해, 도 5a에 예시된 바와 같이, 마스킹 플레이트 (136) 의 제 1 영역 (136a) 에 제공된 개구부들을 통해 웨이퍼 (101) 의 하측 표면 상의 제 1 영역 위로 지향된다. 제 2 영역 (136b) 은 마스킹되어, 플라즈마가 웨이퍼 (101) 의 하측 표면 상의 제 2 영역에 인가되는 것을 방지한다. 제 2 갭의 사이즈는 제 1 영역만이 플라즈마에 노출되는 것을 보장한다. 제 1 막은 멀티-스테이션 챔버의 제 1 스테이션 또는 제 1 프로세스 챔버에서 웨이퍼 (101) 의 배면 상의 제 1 영역 상에 증착될 수도 있고, 제 1 막을 증착한 후, 캐리어 링과 함께 웨이퍼는 제 2 막이 웨이퍼 (101) 의 배면 상의 제 2 영역 위에 증착될 수도 있는 동일한 챔버 내의 제 2 스테이션으로 또는 제 2 챔버로 이송된다. 제 2 스테이션 또는 제 2 챔버는 제 2 스테이션 또는 제 2 챔버에서 마스킹 플레이트 (136) 의 배향이 제 2 막을 증착하기 위해 마스킹 플레이트 (136) 의 제 1 영역 (136a) 이 마스킹되고 그리고 마스킹 플레이트 (136) 의 제 2 영역 (136b) 은 웨이퍼 (101) 의 배면 상의 제 2 영역을 플라즈마에 노출시키기 위해 개구부들을 갖는 것을 제외하고 제 1 스테이션 또는 제 1 챔버에 유사하게 구성될 수도 있다. 마스킹될 제 1 영역 및 개구부들을 갖는 제 2 영역을 갖는 제 2 챔버 내 마스킹 플레이트 (136) 의 배향은 제 1 스테이션 또는 제 1 챔버로부터 이송되고 제 2 스테이션 또는 제 2 챔버 내로 이동될 때 배향을 유지하는 웨이퍼 (101) 에 기초하여 규정된다. 제 2 챔버 내로 이동하는 웨이퍼의 배향이 상이하다면, 제 2 챔버 내 마스킹 플레이트 (136) 의 배향은 이에 따라 웨이퍼 (101) 의 배면 상의 제 2 영역이 제 2 막의 증착을 받도록 조정된다.
도 5ba 및 도 5bb는 일 실시 예에서, 스테이션 1 및 스테이션 2에서 마스킹 플레이트의 일 예시적인 배향을 도시한다. 도 5ba는 예를 들어 프로세스 챔버의 스테이션 1에서 ShoPed (106') 위에 수용된 마스킹 플레이트 (136) 를 도시한다. 스테이션 1은 멀티-스테이션 프로세스 챔버의 일부일 수도 있고 또는 단일-스테이션 프로세스 챔버의 일부일 수도 있다. 마스킹 플레이트 (136) 는 외측 원주를 따라 에지 (136'), 개구부들을 포함하는 제 1 영역 (136a) 및 마스킹되는 제 2 영역 (136b) 을 포함한다. 도 5bb는 스테이션 2에서 ShoPed (106') 위에 수용된 마스킹 플레이트 (136) 를 도시한다. 알 수 있는 바와 같이, 제 1 영역 (136a) 은 마스킹되거나 차단되고 제 2 영역 (136b) 은 개구부들을 포함한다. 2 개의 스테이션들에서 마스킹 플레이트의 구성들은 웨이퍼 (101) 의 배면 상의 상이한 영역들에 상이한 막들을 규정하도록 사용될 수 있다. 상이한 스테이션들에서 마스킹 플레이트 상의 마스킹되지 않은 영역에 대한 마스킹된 영역의 상대적인 위치를 예시하기 위해, 도 5ba 및 도 5bb는 동일한 배향을 갖는 마스킹 플레이트들 (136) 의 단순한 예를 도시하지만, 현실은 그렇지 않을 수도 있다. 스테이션 각각에서 마스킹 플레이트의 마스킹된 영역 및 마스킹되지 않은 영역의 위치는 상이한 스테이션들 내로 도입되는 웨이퍼의 배향에 기초하여 규정될 수도 있다.
다시 도 5a를 참조하면, 마스킹 플레이트 (136) 의 상단 표면이 스페이서들 (130) 과 정렬되거나 스페이서들 (130) 의 상단 표면 아래에 있도록, 마스킹 플레이트는 ShoPed (106') 의 상단 표면 상에 수용되고, 일 실시 예에서 스페이서들 (130) 이 캐리어 링 (134) 을 위한 지지 표면으로서 사용된다. 이는 웨이퍼 (101) 를 갖는 캐리어 링 (134) 이 스페이서들 (130) 위에 수용될 때, 웨이퍼 (101) 의 배면이 마스킹 플레이트 (136) 로부터 이격되게 하기 위한 것이다. 마스킹 플레이트 (136) 의 에지 (136') 는 ShoPed (106') 위에 캐리어 링 (134) 이 수용될 충분한 공간을 허용하는 프로파일을 갖도록 규정된다. 캐리어 링 (134) 은 스페이서들 (130) 상에 수용될 수도 있고 마스킹 플레이트 (136) 의 에지 프로파일은 마스킹 플레이트 (136) 의 어떤 부분도 스페이서들 (130) 위에 수용된 캐리어 링을 방해하지 않는다는 것을 보장한다. 마스킹 플레이트 (136) 의 에지 (136') 의 프로파일은 예를 들어, 단차진 영역을 포함할 수도 있다. 단차부의 높이는 캐리어 링 (134) 의 내측 에지와 마스킹 플레이트 (136) 의 상단 표면 사이에 충분한 갭이 존재하는 것을 보장하도록 규정될 수도 있다. 단차진 영역의 폭은 캐리어 링 (134) 의 하단 연장부와 같거나 보다 클 수도 있다. 일 실시 예에서, 마스킹 플레이트의 개구부들의 치수는 특정한 두께의 막을 보장하도록 설계된다.
도 5c는 일 실시 예에서 그 위에 수용된 캐리어 링 (134) 및 마스킹 플레이트 (136) 를 갖는 ShoPed (106') 의 에지의 확대도를 예시한다. ShoPed (106') 는 ShoPed (106') 의 에지 상에 규정된 스페이서들 (130) 을 포함한다. 이들 스페이서들 (130) 은 ShoPed (106') 의 에지의 원주를 따라 분포된다. 스페이서 (130) 는 캐리어 링이 스페이서들 상에 수용될 때 캐리어 링에 신뢰할 수 있는 지지를 제공하고 캐리어 링이 변위되지 않는다는 것을 보장하도록 캐리어 링 (134) 의 링 연장부 (134a) 를 수용하기 위한 리세스 (130a) 를 포함한다. ShoPed의 상단 표면 위에 규정된 마스킹 플레이트 (136) 의 에지 영역 (136') 은 캐리어 링 (134) 으로 하여금 스페이서 (130) 상에 수용되게 하는 단차진 피처를 포함하는 상단 프로파일을 갖는다. 마스킹 플레이트의 에지 영역의 상단 프로파일은 스페이서 (130) 상의 캐리어 링의 지지를 간섭하지 않도록 캐리어 링 (134) 의 하측 표면 프로파일을 보완하는 것으로 도시된다. 마스킹 플레이트 (136) 의 에지 영역의 상단 프로파일이 예로서 주어지고, 다른 타입들의 프로파일들이 마스킹 플레이트 (136) 의 에지 영역에 대해 구상될 수도 있다.
또 다른 실시 예에서, ShoPed는 하부 분리 핀(들)을 사용하여 ShoPed (106) 의 내부 상에 규정된 존들을 포함할 수도 있다. 존들은 상이한 프로세스 가스들의 볼륨들을 수용하기 위한 상이한 내측 플레넘들을 식별한다. 내측 플레넘들과 인터페이싱하도록 규정된 상이한 세트들의 유출구들은 상이한 막들을 증착하도록 웨이퍼의 하측 표면 상의 상이한 영역들로 대응하는 프로세스 가스들을 제공한다. 이 실시 예에서, ShoPed는 상부 분리 핀을 포함하지 않는다. 대신, ShoPed는 ShoPed의 상단 표면 상에 통합된 마스킹 플레이트를 포함할 수도 있다. 상이한 영역들이 마스킹 플레이트 상에 규정되고, 제 1 영역은 마스킹되고 제 2 영역은 공급된 프로세스 가스들이 내측 플레넘을 형성하게 하는 개구부들을 갖는다. 프로세스 가스는 막을 증착하는데 사용된다. ShoPed의 상단 표면으로의 마스킹 플레이트의 통합은 마스킹 플레이트 상의 대응하는 영역에 존들 각각을 정렬시키도록 행해진다. 예를 들어, ShoPed (106) 의 제 1 존은 마스킹 플레이트 상에 규정된 제 1 영역에 정렬될 수도 있다. 또한, 마스킹된 영역들이 증착을 필요로 하지 않는 영역들과 정렬되고 개구부들을 갖는 영역들이 증착을 겪는 웨이퍼의 하측 표면 상의 영역들과 정렬되도록 마스킹 플레이트의 영역들은 정렬된다. 존들 각각은 대응하는 내측 플레넘 내로의 일 볼륨의 프로세스 가스를 수용하도록 대응하는 가스 피드를 통해 프로세스 가스 소스에 연결된다. 웨이퍼의 하측 표면의 특정한 영역이 증착을 겪을 때, 대응하는 프로세스 가스 소스로부터의 프로세스 가스는 마스킹 플레이트의 개구부들을 통해 영역으로 제공되는 한편, 마스킹 플레이트의 마스킹된 영역 위에 있는 다른 영역들은 어떠한 프로세스 가스도 수용하지 않는다. 이 실시 예에서, ShoPed는 나머지 내측 플레넘들로의 가스 피드들이 차단되는 동안 프로세스 가스를 공급하도록 제 1 영역에 대응하는 내측 플레넘으로의 가스 피드를 턴온함으로써 상이한 내측 플레넘들의 선택적인 동작을 허용한다. 부가적으로, 마스킹 플레이트의 마스킹된 영역들은 증착물을 수용하는 것으로 생각되지 않는 영역들이 프로세스 가스에 노출되는 것을 방지하는 것을 더 보장한다. 이 실시 예는 프로세스 가스가 마스킹 플레이트 아래 전체 영역이 아니라 마스킹 플레이트의 영역의 개구부들 위에 있는 영역에만 제공되기 때문에 프로세스 가스 자원을 보존하면서 웨이퍼의 배면 상의 상이한 영역들에서 막들의 선택적인 증착을 허용한다.
다양한 실시 예들은 웨이퍼의 상단측 상에 증착된 막들에 의해 유발된 응력을 보상하기 위해 웨이퍼의 배면 상의 특정한 미리 규정된 영역들에서 증착을 위해 ShoPed의 사용을 허용하여, 웨이퍼 수율에 영향을 줄 수 있는 보잉을 방지하거나 실질적으로 최소화한다. ShoPed에 규정된 마스킹 플레이트 또는 마스킹 피처는 특정한 영역들에서 선택적인 증착을 수행하도록 웨이퍼의 배면에 선택적인 프로세스 가스들의 인가를 허용한다. 마스킹 플레이트는 순차적인 증착을 가능하게 하고 상이한 영역들에 걸쳐 증착을 수행하도록 상이한 스테이션들을 사용하는 한편, 구역 ShoPed는 결정된 패턴들의 순차적인 증착 또는 동시 증착을 허용한다. 마스킹 플레이트의 기하 구조는 능동 증착 동안 플라즈마 임피던스 및 플로우들의 조절을 허용한다. 또한, 마스킹 플레이트의 기하 구조는 마스킹 플레이트 상의 영역들 또는 존들을 규정할 때 ShoPed의 면의 형상 윤곽 (볼록, 오목, 볼록/오목) 을 고려할 수도 있다. (도 4k에 도시된) ShoPed의 상단 표면 상의 유출구들의 밀도 및 사이즈와 함께 유출구들의 분포는 중공 캐소드 방전 (HCD) 을 조정 (증가 또는 감소) 함으로써 플라즈마 밀도 변조를 가능하게 한다. 패턴 형상은 입력으로서 또는 인 시츄 계측이 가능한 장비를 통해 하나 이상의 변형된 웨이퍼의 형상을 사용하는 수학적 모델을 사용하여 결정될 수도 있고, 패턴 형상은 존들을 규정하도록 사용된다. 다양한 막들 및 존들의 조합은 웨이퍼의 전면 상의 막 증착에 의해 유발된 웨이퍼 변형을 보상하도록 웨이퍼의 배면 상의 응력을 조절한다. 다양한 실시 예들의 이들 및 다른 장점들은 당업자에 의해 용이하게 구상될 수 있다.
다양한 실시 예들에서, 웨이퍼의 배면 상에 증착된 예시적인 재료는 유전체 재료를 포함할 수도 있다. 일부 실시 예들에서, 배면 상에 증착된 재료는 이들의 인장 특성, 또는 압축 특성에 기초하여 선택될 수도 있고, 이러한 재료의 선택은 디바이스들의 품질 및 수율 결과들을 개선하기 위해 전면 상에 증착된 막들의 층들로부터 발생하는 보잉에 대응할 수도 있다. 일부 경우들에서, 옥사이드 및/또는 나이트라이드 (예를 들어, 실리콘 옥사이드/실리콘 나이트라이드) 가 사용될 수도 있다. 사용될 수도 있는 실리콘-함유 반응 물질들의 예들은 이로 제한되는 것은 아니지만, 실란들, 할로실란들, 및 아미노실란들을 포함한다. 실란은 수소기 및/또는 탄소기를 포함하지만 할로겐은 포함하지 않는다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란, 에틸실란, 아이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 아릴실란, sec-부틸실란, 덱실실란, 아이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 등과 같은 유기실란들이다. 할로실란은 적어도 하나의 할로겐기를 포함하고 수소기 및/또는 탄소기를 포함할 수도 있고 포함하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들, 브로모실란들, 클로로실란들 및 플루오로실란들이다. 할로실란들, 특히 플루오로실란들이 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종을 형성할 수도 있지만, 본 명세서에 기술된 특정한 실시 예들에서, 실리콘-함유 반응 물질은 플라즈마가 스트라이킹될 (strike) 때 존재하지 않는다. 특정한 클로로실란들은 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로아릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로아이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 덱실디메틸클로로실란, 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, BTBAS (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2), tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 예는 트리실릴아민 (N(SiH3)) 이다. 다른 잠재적인 실리콘-함유 반응 물질들은 TEOS (tetraethyl orthosilicate), 및 TMOS (tetramethoxysilane), FTES (fluorotriethoxysilane), TMS (Trimethylsilane), OMCTS (octamethyltetracyclosiloxane), TMCTSO (tetramethylcyclotetrasiloxane), DMDS (dimethyldimethoxysilane), HMDSO (hexamethyldisiloxane), HMCTSO (hexamethylcyclotrisiloxane), DMDEOS (dimethyldiethoxysilane), MTMOS (methyltrimethoxysilane), TMDSO (tetramethyldisiloxane), VSI2 (divinyltetramethyldisiloxane), MTEOS (methyltriethoxysilane), DMTMODSO (dimethyltetramethoxydisiloxane), ETEOS (ethyltriethoxysilane), ETMOS (ethyltrimethoxysilane), HMODS (hexamethoxydisilane), BTEOSE (bis(triehtoxysilyl)ethane), BTMOSE (bis(trimethoxysilyl)ethane), DMEOS (dimethylethoxysilane), TEODMDSO (tetraethoxydimethyldisiloxane), TTMSOS (tetrakis(trimehtylsiloxy)silane), TMDEODSO (tetramethyldiethoxydisiloxane), TIEOS (triethoxysilane), TIMEOS (trimethoxysilane), 또는 TPOS (tetrapropoxysilane) 와 같은 고리형 및 비고리형 TEOS 변이형을 포함한다.
예시적인 질소-함유 반응 물질들은 이로 제한되는 것은 아니지만, 암모니아, 하이드라진, 아민들 (예를 들어, 탄소 베어링 (bearing) 아민들), 예컨대 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로 프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸하이드라진, 뿐만 아니라 아닐린들, 피리딘들, 및 벤질아민들과 같은 방향족 함유 아민들을 포함한다. 아민들은 1 차, 2 차, 3 차 또는 4 차 (예를 들어, 테트라알킬암모늄 화합물들) 일 수도 있다. 질소-함유 반응 물질은 질소 이외의 헤테로 원자들, 예를 들어, 하이드록실아민, t-부틸옥시카르보닐 아민 및 N-t-부틸 하이드록실아민은 질소-함유 반응 물질들을 함유할 수 있다.
산소-함유 공-반응 물질들의 예들은 산소, 오존, 아산화 질소, 일산화 탄소, 일산화 질소, 이산화 질소, 산화 황, 이산화 황, 산소-함유 탄화수소들 (CxHyOz), 물, 이들의 혼합물, 등을 포함한다.
이들 반응 물질들의 플로우 레이트는 배면 층이 증착되는 반응의 타입에 크게 좌우될 것이다. CVD/PECVD가 배면 층을 증착하도록 사용되는 경우, 실리콘-함유 반응 물질의 플로우 레이트는 (원자화 (atomization) 전) 약 0.5 내지 10 ㎖/분, 예를 들어 약 0.5 내지 5 ㎖/분일 수도 있다. 질소-함유 반응 물질, 산소-함유 반응 물질, 또는 다른 공-반응 물질의 플로우 레이트는 약 3 내지 25 SLM, 예를 들어 약 3 내지 10 SLM일 수도 있다.
본 명세서에 제공된 플로우 레이트들 및 전력 레벨들은 달리 명시되지 않는 한, 300 ㎜ 웨이퍼 상의 프로세싱에 적합할 수도 있다. 당업자는 이들 플로우들 및 전력 레벨들이 다른 사이즈들의 웨이퍼들에 대해 필요에 따라 조정될 수도 있다는 것을 인식할 것이다. 이하의 상세한 기술은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스 (work piece) 는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 발명의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다.
도 6은 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (120) 을 도시한다. 예를 들어, 제어 모듈 (120) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (120) 은 부분적으로 센싱된 값들에 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (120) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (602), 필터 히터들 (604), 펌프들 (606), 및 기타 디바이스들 (608) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (120) 은 단지 예를 들면, 압력 마노미터들 (610), 플로우 미터들 (612), 온도 센서들 (614), 및/또는 기타 센서들 (616) 로부터 센싱된 값들을 수신한다. 제어 모듈 (120) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (120) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (120) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (120) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력 차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (120) 은 또한 압력 차를 모니터링할 수도 있고, 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 증기 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (120) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시 예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (120) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (618) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (620) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 것들로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램이 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램이 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 챔버 내 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램이 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램이 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브 (throttle valve) 를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램이 전구체 전달 시스템, 기판 및/또는 시스템의 다른 부분들 내의 컴포넌트들을 가열하기 위해 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (610) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 열전대들 (thermocouple), 페데스탈 또는 척 (예를 들어, 온도 센서들 (614)) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 발명의 실시 예들의 구현 예를 기술한다.
다양한 실시 예들에 기술된 ShoPed의 임의의 부품들은 소모성 부품들이고 교체 가능할 수도 있다는 것을 주의해야 한다. 이와 같이, ShoPed, 상부 분리 핀, 하부 분리 핀, 마스킹 플레이트, 캐리어 링, 등과 같은 부품들은 플라즈마에 대한 연속적인 노출로 인해 손상될 수도 있다. 그 결과, 이들 부품들은 따로따로 제조될 수도 있고 개별적으로 교체될 수도 있고 또는 지속된 손상 량에 기초하여 단일 유닛으로서 교체될 수도 있다. 예를 들어, 상부 분리 핀 및 하부 분리 핀은 ShoPed 내에서 개별적으로 대체될 수도 있고 또는 대안적으로, 전체 ShoPed가 대체될 수도 있고 또는 상부 분리 핀 및 하부 분리 핀들을 포함하는 ShoPed의 부분들은 챔버 내에서 수행된 증착의 품질을 유지하기 위해 필요할 때 대체될 수도 있다.
실시 예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공되었다. 이는 본 발명을 포괄하거나 제한하도록 의도되지 않는다. 특정한 실시 예의 개별적인 엘리먼트들 또는 피처들은 일반적으로 특정한 실시 예로 제한되지 않고, 구체적으로 도시되거나 기술되지 않더라도, 적용 가능한 경우, 상호 교환 가능하고, 선택된 실시 예에서 사용될 수 있다. 동일하게 또한 많은 방식들로 가변될 수도 있다. 이러한 변형들은 본 발명으로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들은 본 발명의 범위 내에 포함되도록 의도된다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 따라서, 본 실시 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않고, 청구항들의 범위 및 등가물 내에서 수정될 수도 있다.

Claims (19)

  1. 샤워 페데스탈;
    상기 샤워 페데스탈 내에 위치된 제 1 플레넘;
    상기 샤워 페데스탈 내에 위치된 제 2 플레넘;
    상기 샤워 페데스탈 내에서 상기 제 1 플레넘과 유체로 연통하는 하나 이상의 제 1 가스 피드들;
    상기 샤워 페데스탈 내에서 상기 제 2 플레넘과 유체로 연통하는 하나 이상의 제 2 가스 피드들;
    상기 샤워 페데스탈의 상단 표면 상의 하나 이상의 제 1 존들에 걸쳐 분포되고 상기 샤워 페데스탈 내에서 상기 제 1 플레넘과 유체로 연통하는 제 1 세트의 유출구들;
    상기 샤워 페데스탈의 상기 상단 표면 상의 하나 이상의 제 2 존들에 걸쳐 분포되고 상기 샤워 페데스탈 내에서 상기 제 2 플레넘과 유체로 연통하는 제 2 세트의 유출구들; 및
    웨이퍼가 상기 샤워 페데스탈의 상기 상단 표면 위로 상승되도록 상기 샤워 페데스탈 위에 상기 웨이퍼를 지지하도록 구성된 웨이퍼 지지 메커니즘을 포함하고, 상기 샤워 페데스탈의 상기 상단 표면은 상기 웨이퍼의 배면을 대면하고 그리고 상기 하나 이상의 제 1 존들은 상기 하나 이상의 제 2 존들과 적어도 부분적으로 상이한, 장치.
  2. 제 1 항에 있어서,
    상기 하나 이상의 제 1 가스 피드들은 상기 제 1 플레넘에 제 1 세트의 하나 이상의 가스들을 제공하도록 구성되고, 그리고 상기 하나 이상의 제 2 가스 피드들은 제 2 세트의 하나 이상의 가스들을 상기 제 2 플레넘에 제공하도록 구성되는, 장치.
  3. 제 2 항에 있어서,
    상기 제 1 세트의 하나 이상의 가스들 및 상기 제 2 세트의 하나 이상의 가스들은 동일한 가스 또는 가스들을 포함하는, 장치.
  4. 제 3 항에 있어서,
    상기 샤워 페데스탈은 상기 하나 이상의 제 1 존들 및 상기 하나 이상의 제 2 존들에 대해 서로 상이하게 프로세스 가스들을 전달하도록 구성되는, 장치.
  5. 제 1 항에 있어서,
    상기 샤워 페데스탈은 상기 하나 이상의 제 1 존들 및 상기 하나 이상의 제 2 존들에 대해 서로 상이하게 프로세스 가스들을 전달하도록 구성되는, 장치.
  6. 제 1 항에 있어서,
    상기 웨이퍼 지지 메커니즘은 상기 샤워 페데스탈의 상기 상단 표면으로부터 상향으로 연장하는 복수의 스페이서들을 포함하는, 장치.
  7. 제 6 항에 있어서,
    상기 샤워 페데스탈의 상기 상단 표면은 상기 샤워 페데스탈의 상기 상단 표면의 외측 에지 상에 캐리어 링 지지 영역을 포함하고, 그리고 상기 스페이서들은 상기 캐리어 링 지지 영역 내에 위치되는, 장치.
  8. 제 6 항 또는 제 7 항에 있어서,
    상기 스페이서들은 상기 샤워 페데스탈의 상기 상단 표면 위에 상기 웨이퍼를 지지하도록 구성된 캐리어 링을 지지하도록 구성되는, 장치.
  9. 제 8 항에 있어서,
    상기 스페이서들 각각은 상기 스페이서의 상단 표면에 위치되고 상기 캐리어 링의 하측 (underside) 상에서 대응하는 링 연장부를 수용하도록 구성된 리세스를 갖는, 장치.
  10. 제 1 항에 있어서,
    상기 제 1 존들 및 상기 제 2 존들은 각각 섹터의 형상이고, 상기 제 1 존 각각은 상기 제 2 존들 중 2 개 사이에 위치되고, 그리고 상기 제 2 존 각각은 상기 제 1 존들 중 2 개 사이에 위치되는, 장치.
  11. 제 10 항에 있어서,
    상기 제 1 존들 및 상기 제 2 존들은 사이즈 및 형상이 모두 동일한, 장치.
  12. 제 11 항에 있어서,
    2 개의 제 1 존들 및 2 개의 제 2 존들이 있고, 그리고 상기 제 1 존들 및 상기 제 2 존들 각각은 상기 샤워 페데스탈의 상기 상단 표면의 상이한 사분면을 규정하는, 장치.
  13. 제 1 항에 있어서,
    상기 하나 이상의 제 1 존들은 2 개의 제 1 존들을 포함하고, 그리고 상기 하나 이상의 제 2 존들은 상기 2 개의 제 1 존들 사이에서 연장하고, 이에 따라 상기 2 개의 제 1 존들 사이에 상기 하나 이상의 제 2 존들의 적어도 일부를 개재하는, 장치.
  14. 제 13 항에 있어서,
    상기 제 1 세트의 상기 유출구들의 상기 유출구들은 상기 제 2 세트의 상기 유출구들의 상기 유출구들과 상이한 사이즈를 갖는, 장치.
  15. 제 14 항에 있어서,
    상기 제 1 세트의 상기 유출구들의 상기 유출구들은 상기 제 2 세트의 상기 유출구들의 상기 유출구들보다 더 큰, 장치.
  16. 제 1 항에 있어서,
    상기 샤워 페데스탈 내에 위치된 적어도 하나의 하부 분리 핀을 더 포함하고, 상기 적어도 하나의 하부 분리 핀은 상기 제 1 플레넘과 상기 제 2 플레넘 사이의 경계를 규정하는, 장치.
  17. 제 16 항에 있어서,
    적어도 하나의 상부 분리 핀을 더 포함하고, 상기 적어도 하나의 상부 분리 핀은 상기 샤워 페데스탈의 상기 상단 표면 위의 위치로 연장하고 상기 적어도 하나의 하부 분리 핀과 정 위치에 (in position) 정렬되는, 장치.
  18. 제 1 항에 있어서,
    상기 샤워 페데스탈을 가열하도록 구성된 히터를 더 포함하는, 장치.
  19. 제 1 항 내지 제 7 항 또는 제 10 항 내지 제 18 항 중 어느 한 항에 있어서,
    프로세싱 챔버; 및
    샤워헤드를 더 포함하고,
    상기 샤워 페데스탈은 상기 프로세싱 챔버 내 그리고 상기 샤워헤드 밑에 위치되고, 그리고
    상기 샤워헤드는 상기 샤워헤드의 하단 표면에 걸쳐 분포되고 상기 샤워헤드 내의 제 3 플레넘과 유체로 연통하는 제 3 세트의 유출구들을 포함하는, 장치.
KR1020237037869A 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 KR20230156441A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962888401P 2019-08-16 2019-08-16
US62/888,401 2019-08-16
PCT/US2020/045281 WO2021034508A1 (en) 2019-08-16 2020-08-06 Spatially tunable deposition to compensate within wafer differential bow
KR1020237006864A KR20230037057A (ko) 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020237006864A Division KR20230037057A (ko) 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착

Publications (1)

Publication Number Publication Date
KR20230156441A true KR20230156441A (ko) 2023-11-14

Family

ID=74659726

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237006864A KR20230037057A (ko) 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR1020227008634A KR102505474B1 (ko) 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR1020237037869A KR20230156441A (ko) 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237006864A KR20230037057A (ko) 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR1020227008634A KR102505474B1 (ko) 2019-08-16 2020-08-06 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착

Country Status (5)

Country Link
US (1) US11946142B2 (ko)
JP (1) JP2022544221A (ko)
KR (3) KR20230037057A (ko)
CN (1) CN114258436A (ko)
WO (1) WO2021034508A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR20200145977A (ko) * 2019-06-21 2020-12-31 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법
KR20230037057A (ko) * 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11586789B2 (en) * 2021-04-07 2023-02-21 Applied Materials, Inc. Machine learning based smart process recipe builder to improve azimuthal flow and thickness uniformity
CN116716590A (zh) * 2023-04-06 2023-09-08 拓荆科技(上海)有限公司 一种背面沉积腔室及化学气相沉积设备
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Family Cites Families (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951603A (en) * 1988-09-12 1990-08-28 Daidousanso Co., Ltd. Apparatus for producing semiconductors
JPH0834187B2 (ja) 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
JP3061401B2 (ja) 1990-07-20 2000-07-10 株式会社東芝 半導体気相成長装置
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
EP0550058B1 (en) 1991-12-30 1998-11-11 Texas Instruments Incorporated A programmable multizone gas injector for single-wafer semiconductor processing equipment
US5888304A (en) 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
DE19522525A1 (de) 1994-10-04 1996-04-11 Kunze Concewitz Horst Dipl Phy Verfahren und Vorrichtung zum Feinstreinigen von Oberflächen
JPH0950992A (ja) 1995-08-04 1997-02-18 Sharp Corp 成膜装置
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US6111225A (en) * 1996-02-23 2000-08-29 Tokyo Electron Limited Wafer processing apparatus with a processing vessel, upper and lower separately sealed heating vessels, and means for maintaining the vessels at predetermined pressures
US20040005211A1 (en) 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
DE19622402C1 (de) 1996-06-04 1997-10-16 Siemens Ag Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung
NL1003538C2 (nl) 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5789028A (en) 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
JP3582330B2 (ja) 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6170496B1 (en) * 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
NL1011856C2 (nl) * 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2002100573A (ja) * 2000-09-25 2002-04-05 Nec Corp 半導体製造装置および半導体製造方法
US7534977B2 (en) 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP4545955B2 (ja) 2001-01-10 2010-09-15 ルネサスエレクトロニクス株式会社 半導体製造装置及び半導体装置の製造方法
JP3946641B2 (ja) 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
NL1018086C2 (nl) 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP2003027242A (ja) 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
JP2005528777A (ja) 2001-09-29 2005-09-22 クリー インコーポレイテッド 反転型cvdのための装置
JP2003115483A (ja) 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
WO2004059736A1 (ja) 2002-12-25 2004-07-15 Fujitsu Limited 半導体装置の製造方法
US7153772B2 (en) 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US6892769B2 (en) 2003-06-30 2005-05-17 Lg.Philips Lcd Co., Ltd. Substrate bonding apparatus for liquid crystal display device panel
JP4413084B2 (ja) 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) * 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US20070110895A1 (en) 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
EP1801861B1 (en) 2004-07-09 2012-10-03 Sekisui Chemical Co., Ltd. Method and device for treating outer periphery of a substrate
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP4185483B2 (ja) 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
JP4584722B2 (ja) 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
KR100690491B1 (ko) 2005-02-18 2007-03-09 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
JP4508054B2 (ja) 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
US7666766B2 (en) 2005-09-27 2010-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, method for forming film, and method for manufacturing photoelectric conversion device
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
CN101389415A (zh) 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
JP2007242858A (ja) 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
KR100818390B1 (ko) 2006-08-24 2008-04-01 동부일렉트로닉스 주식회사 화학기상증착장비의 샤워헤드 구조 및 이 샤워헤드를이용한 가스분사방법
US8951351B2 (en) 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP5349341B2 (ja) 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法
KR20080092766A (ko) 2007-04-13 2008-10-16 (주)소슬 기판 지지대 및 이를 구비하는 플라즈마 처리 장치
KR101357699B1 (ko) 2007-03-16 2014-02-04 참엔지니어링(주) 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법
US20090096349A1 (en) 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
JP4936297B2 (ja) 2007-09-04 2012-05-23 シャープ株式会社 プラズマ処理装置およびプラズマ処理方法ならびに半導体素子
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
KR101432561B1 (ko) 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
CN101889101B (zh) 2007-12-06 2014-09-24 因特瓦克公司 用于基板的双面溅射蚀刻的系统和方法
US20090155488A1 (en) 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
KR101553422B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20110049100A1 (en) 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
KR101515150B1 (ko) 2008-04-07 2015-04-27 참엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
WO2009125951A2 (en) 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
KR20090118676A (ko) 2008-05-14 2009-11-18 (주)퓨전에이드 기판처리장치
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) * 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
CN101358337B (zh) 2008-09-25 2010-08-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
DE102009020436A1 (de) 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
EP2251897B1 (en) 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
JP4969607B2 (ja) 2009-05-25 2012-07-04 シャープ株式会社 半導体積層構造体の製造方法
US20100314725A1 (en) 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
EP2281921A1 (en) 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
NL2003514C2 (en) * 2009-09-18 2011-03-21 Otb Solar Bv Thin film deposition apparatus and method for the same.
US8334017B2 (en) 2009-09-18 2012-12-18 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
NL2005049C2 (en) * 2010-07-07 2012-01-10 Levitech B V Method and apparatus for contactlessly advancing substrates.
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP4794685B1 (ja) 2010-10-19 2011-10-19 ミクロ技研株式会社 基板処理装置及び基板処理方法
US8470614B2 (en) 2010-10-28 2013-06-25 Texas Instruments Incorporated PECVD showerhead configuration for CMP uniformity and improved stress
EP2481832A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2481830A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
JP5933602B2 (ja) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ガス分配を行なう装置および基板処理装置
US9175391B2 (en) 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
NL2007114C2 (en) * 2011-07-14 2013-01-15 Levitech B V Floating substrate monitoring and control device, and method for the same.
US8980767B2 (en) 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9525099B2 (en) * 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
WO2014064779A1 (ja) 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
KR101562192B1 (ko) 2013-02-15 2015-10-22 최대규 플라즈마 반응기
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
JP6495301B2 (ja) 2014-01-21 2019-04-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧ツール交換を可能にする薄膜カプセル化処理システム及び処理キット
KR101564962B1 (ko) 2014-01-29 2015-11-03 주식회사 루미스탈 기판의 하면 에칭이 가능한 반도체 기판 처리 장치 및 이를 이용한 반도체 기판 처리 방법
KR102014279B1 (ko) 2014-02-27 2019-08-26 주식회사 원익아이피에스 기판 처리 장치
US9741575B2 (en) 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10611664B2 (en) 2014-07-31 2020-04-07 Corning Incorporated Thermally strengthened architectural glass and related systems and methods
CA2956929A1 (en) 2014-07-31 2016-02-04 Corning Incorporated Thermally tempered glass and methods and apparatuses for thermal tempering of glass
US11097974B2 (en) 2014-07-31 2021-08-24 Corning Incorporated Thermally strengthened consumer electronic glass and related systems and methods
US10242848B2 (en) 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10648079B2 (en) 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US20160289827A1 (en) 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9870917B2 (en) 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US10301718B2 (en) 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US9644271B1 (en) 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
JP6797939B2 (ja) * 2016-05-27 2020-12-09 エーエスエム イーペー ホールディング ベー.フェー. 半導体ウェハ処理のための装置
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
JP6887280B2 (ja) 2017-03-27 2021-06-16 株式会社Screenホールディングス 基板処理装置、基板処理方法およびプログラム記録媒体
US20180334746A1 (en) 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11149351B2 (en) * 2017-09-11 2021-10-19 Infineon Technologies Ag Apparatus and method for chemical vapor deposition process for semiconductor substrates
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US11133200B2 (en) 2017-10-30 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate vapor drying apparatus and method
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
JPWO2020031778A1 (ja) * 2018-08-09 2021-08-26 東京エレクトロン株式会社 成膜装置及び成膜方法
CN109273378B (zh) 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
KR102641752B1 (ko) 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
KR102185623B1 (ko) 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
KR20230037057A (ko) * 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11525185B2 (en) 2019-09-17 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum systems in semiconductor fabrication facilities
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR102566347B1 (ko) 2019-12-10 2023-08-14 주식회사 원익아이피에스 기판 지지대, 기판 처리 장치 및 기판 처리 방법
KR20220119112A (ko) * 2019-12-20 2022-08-26 램 리써치 코포레이션 에지/중심 불균일성 완화를 위한 웨이퍼의 외측 주변부 근방 리세스된 영역들을 특징으로 하는 반도체 프로세싱 척들
CN113122824A (zh) 2020-01-15 2021-07-16 Asm Ip 控股有限公司 淋喷头组件和部件
US20210404064A1 (en) 2020-06-24 2021-12-30 Tokyo Electron Limited Showerhead for Process Tool
CN113838788A (zh) 2020-06-24 2021-12-24 拓荆科技股份有限公司 晶圆自动承载系统及采用该系统传送晶圆的方法
JP2023532277A (ja) 2020-06-25 2023-07-27 ラム リサーチ コーポレーション 裏面処理のためのステーション可変支持フィーチャを備えたマルチステーション処理ツール
KR20230152801A (ko) * 2020-06-25 2023-11-03 램 리써치 코포레이션 방사상으로 가변된 플라즈마 임피던스를 갖는 캐리어 링들
KR20230069200A (ko) 2020-09-17 2023-05-18 램 리써치 코포레이션 고온 프로세스를 위한 별개의 대면 플레이트를 갖는 하이브리드 샤워헤드

Also Published As

Publication number Publication date
KR102505474B1 (ko) 2023-03-03
KR20220047351A (ko) 2022-04-15
JP2022544221A (ja) 2022-10-17
KR20230037057A (ko) 2023-03-15
WO2021034508A1 (en) 2021-02-25
US11946142B2 (en) 2024-04-02
CN114258436A (zh) 2022-03-29
US20220298632A1 (en) 2022-09-22

Similar Documents

Publication Publication Date Title
US11725283B2 (en) PECVD deposition system for deposition on selective side of the substrate
KR102505474B1 (ko) 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
KR102494202B1 (ko) 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들
TWI832722B (zh) 用於在基板選擇側沉積的pecvd沉積系統

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal