KR101553422B1 - 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리 - Google Patents

플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리 Download PDF

Info

Publication number
KR101553422B1
KR101553422B1 KR1020107013636A KR20107013636A KR101553422B1 KR 101553422 B1 KR101553422 B1 KR 101553422B1 KR 1020107013636 A KR1020107013636 A KR 1020107013636A KR 20107013636 A KR20107013636 A KR 20107013636A KR 101553422 B1 KR101553422 B1 KR 101553422B1
Authority
KR
South Korea
Prior art keywords
sheet
electrode
adhesive
elastomeric
backing member
Prior art date
Application number
KR1020107013636A
Other languages
English (en)
Other versions
KR20100095451A (ko
Inventor
딘 제이 라손
톰 스티븐슨
빅터 왕
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100095451A publication Critical patent/KR20100095451A/ko
Application granted granted Critical
Publication of KR101553422B1 publication Critical patent/KR101553422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/50Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like
    • B29C65/5057Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like positioned between the surfaces to be joined
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/50Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like
    • B29C65/5064Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like of particular form, e.g. being C-shaped, T-shaped
    • B29C65/5071Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like of particular form, e.g. being C-shaped, T-shaped and being composed by one single element
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C66/00General aspects of processes or apparatus for joining preformed parts
    • B29C66/70General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material
    • B29C66/73General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset
    • B29C66/731General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset characterised by the intensive physical properties of the material of the parts to be joined
    • B29C66/7311Thermal properties
    • B29C66/73111Thermal expansion coefficient
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C66/00General aspects of processes or apparatus for joining preformed parts
    • B29C66/70General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material
    • B29C66/73General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset
    • B29C66/731General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset characterised by the intensive physical properties of the material of the parts to be joined
    • B29C66/7311Thermal properties
    • B29C66/73111Thermal expansion coefficient
    • B29C66/73112Thermal expansion coefficient of different thermal expansion coefficient, i.e. the thermal expansion coefficient of one of the parts to be joined being different from the thermal expansion coefficient of the other part
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C66/00General aspects of processes or apparatus for joining preformed parts
    • B29C66/70General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material
    • B29C66/74Joining plastics material to non-plastics material
    • B29C66/742Joining plastics material to non-plastics material to metals or their alloys
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/02Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by a sequence of laminating steps, e.g. by adding new layers at consecutive laminating stations
    • B32B37/025Transfer laminating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/12Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by using adhesives
    • B32B37/1284Application of adhesive
    • B32B37/1292Application of adhesive selectively, e.g. in stripes, in patterns
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K13/00Apparatus or processes specially adapted for manufacturing or adjusting assemblages of electric components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4805Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding characterised by the type of adhesives
    • B29C65/483Reactive adhesives, e.g. chemically curing adhesives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4805Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding characterised by the type of adhesives
    • B29C65/483Reactive adhesives, e.g. chemically curing adhesives
    • B29C65/4835Heat curing adhesives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4855Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding characterised by their physical properties, e.g. being electrically-conductive
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4865Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4865Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives
    • B29C65/487Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives characterised by their shape, e.g. being fibres or being spherical
    • B29C65/4875Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives characterised by their shape, e.g. being fibres or being spherical being spherical, e.g. particles or powders
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4865Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives
    • B29C65/4885Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives characterised by their composition being non-plastics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/50Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like
    • B29C65/5007Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like characterised by the structure of said adhesive tape, threads or the like
    • B29C65/5028Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like characterised by the structure of said adhesive tape, threads or the like being textile in woven or non-woven form
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2305/00Use of metals, their alloys or their compounds, as reinforcement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/20Properties of the layers or laminate having particular electrical or magnetic properties, e.g. piezoelectric
    • B32B2307/202Conductive
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/02Temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/14Printing or colouring
    • B32B38/145Printing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/16Drying; Softening; Cleaning
    • B32B38/164Drying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • Y10T156/1052Methods of surface bonding and/or assembly therefor with cutting, punching, tearing or severing
    • Y10T156/1062Prior to assembly
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53204Electrode

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Thermal Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Adhesives Or Adhesive Processes (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Lining Or Joining Of Plastics Or The Like (AREA)
  • Adhesive Tapes (AREA)

Abstract

플라즈마 처리 장치를 위한 샤워헤드 전극은 열 팽창 계수의 불일치로 인해 온도 사이클링 동안 생성된 응력을 수용하기 위해 전극과 백킹 부재의 메이팅 표면들 사이에 결합된 탄성중합체 시트 접착제를 포함한다. 탄성중합체 시트는, 필러와 함께 열 경화성 고 분자량 디메틸 실리콘과 같이 실온 내지 3000C의 온도 범위에서
Figure 112010039464012-pct00004
300 %의 높은 전단 변형을 견딜 수 있는 열 전도성 실리콘을 포함한다. 시트 형성 접착제는 넓은 영역에 걸쳐 결합된 표면의 유사성에 대한 결합 두께 제어를 갖는다. 시트 접착제는, 불규칙하게 정형된 피쳐와 일치시킬 수 있고 메이팅 전극 표면과 표면 접촉 영역을 최대화할 수 있는 모재 형상으로 캐스트 또는 다이 컷될 수도 있고, 메이팅 어셈블리의 캐비티로 설치될 수도 있다. 설치는 수동으로, 설치 도구를 이용하여 수동으로, 또는 자동화된 기계로 할 수 있다. 상이한 물리적 특성을 갖는 시트 접착제의 복합층은 적층되거나 동일 평면일 수 있다.

Description

플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리{A COMPOSITE SHOWERHEAD ELECTRODE ASSEMBLY FOR A PLASMA PROCESSING APPARATUS}
관련 출원의 상호 참조
본 출원은 전체 내용이 참조문헌으로 본원에 포함된 2007년 12월 19일 출원된 미국 가출원 제 61/008,152 호의 출원일의 혜택을 주장한다.
플라즈마 처리 장치는, 에칭, 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 이온 주입, 및 레지스트 제거를 포함한 기술에 의해 기판을 처리하는데 사용된다. 플라즈마 처리에 사용된 플라즈마 처리 장치의 일 유형은 상부 전극 및 하부 전극을 포함한 반응 챔버를 포함한다. 전극들 사이에 전계가 구축되어 처리 가스를 플라즈마 상태로 여기시켜 반응 챔버 내의 기판을 처리한다.
일 실시형태에서, 플라즈마 처리 장치에서 플라즈마를 생성하는 복합 샤워헤드 전극 어셈블리가 제공된다. 복합 샤워헤드 전극 어셈블리는, 제 1 가스 통로를 사이에 갖는 상부 표면과 하부 표면을 포함하는 백킹 플레이트로서, 하부 표면은 결합된 영역과 결합되지 않은 영역을 갖고, 제 1 가스 통로는 처리 가스를 플라즈마 처리 장치의 내부로 공급하기 위해 결합되지 않은 영역에서 출구를 갖는, 상기 백킹 플레이트; 상부 표면, 플라즈마 노출된 하부 표면, 및 상부 표면과 하부 표면 사이로 연장되어 제 1 가스 통로와 유체 소통하는 제 2 가스 통로를 갖는 전극 플레이트로서, 제 2 가스 통로는 전극 플레이트의 상부 표면의 결합되지 않은 영역들에서 입구를 갖는, 상기 전극 플레이트; 및 전극 플레이트 및 백킹 플레이트의 열 팽창 계수들의 불일치로 인하여 온도 사이클링 동안 백킹 플레이트에 대하여 전극 플레이트의 측면 방향으로의 움직임을 허용하는 결합된 영역들 각각에서 메이팅 표면들 사이에 배치된 탄성중합체 시트 접착제 조인트를 포함한다.
다른 실시형태에서, 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법이 제공된다. 경화되지 않은 탄성중합체 접착제의 시트의 제 1 표면을, 결합되지 않고 남아있는 영역을 제외하고 결합될 영역의 미리결정된 패턴으로 백킹 부재의 하부 표면에 적용하는 단계로서, 백킹 부재는 상부 표면과, 상부 표면과 하부 표면 사이에 연장된 복수의 제 1 가스 통로를 구비하고 그리고 결합되지 않은 영역에서 출구를 갖는다. 전극의 상부 표면을, 결합될 영역의 미리결정된 패턴으로 경화되지 않은 탄성중합체 시트 접착제의 제 2 표면에 적용하는 단계로서, 전극은 플라즈마 노출된 하부 표면과, 전극의 상부 표면과 하부 표면 사이로 연장되는 복수의 제 2 가스 통로를 구비하고, 제 2 가스 통로는 전극의 상부 표면의 결합되지 않은 영역에서 입구를 갖는다. 전극의 상부 표면이 탄성중합체 시트 접착제에 의해 백킹 부재의 하부 표면에 결합되고, 제 2 가스 통로는 제 1 가스 통로를 이용하여 유체 소통한다.
다른 실시형태는 플라즈마 처리 장치에서 반도체 기판을 처리하는 방법을 제공한다. 플라즈마 처리 장치의 반응 챔버 내의 기판 지지체 상에 기판을 위치시킨다. 처리 가스를 복합 샤워헤드 전극 어셈블리를 이용하여 반응 챔버로 도입시킨다. 복합 샤워헤드 전극 어셈블리와 기판 사이의 반응 챔버에서 처리 가스로부터 플라즈마를 생성한다. 플라즈마를 이용하여 기판을 처리한다.
또 다른 실시형태에서, 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리가 제공되며, 결합되지 않고 남아있는 영역을 제외하고 결합될 영역을 가진 하부 표면과, 백킹 부재의 하부 표면과 상부 표면 사이에 연장된 복수의 제 1 가스 통로를 갖는 백킹 부재로서, 제 1 가스 통로는 처리 가스를 플라즈마 처리 장치의 내부로 공급하기 위해 결합되지 않고 남아있는 영역들에서 출구를 갖는, 상기 백킹 부재; 플라즈마 처리 장치에서 플라즈마를 생성하는 전극으로서, 전극은 결합될 영역을 가진 상부 표면과 제 1 가스 통로와 유체 소통하는 복수의 제 2 가스 통로를 갖고, 제 2 가스 통로는 결합되지 않고 남아있는 영역들에서 입구를 갖고 상부 표면으로부터 전극의 플라즈마-노출된 하부 표면으로 전극을 통해 연장되는, 상기 전극; 및 백킹 부재와 전극의 열 팽창 계수의 불일치로 인해 온도 사이클링 동안 백킹 부재에 대하여 전극의 측면 방향으로의 움직임을 허용하기 위해서 결합될 영역들 각각의 메이팅 표면들 사이의 조인트에서 경화되는, 경화되지 않은 탄성중합체 시트 접착제를 포함하고, 탄성중합체 접착제의 시트는, 채워진 열 경화성 미가황 탄성중합체 실리콘 시트이다.
도 1은 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리 및 기판 지지체의 실시형태의 일부의 단면도를 도시한다.
도 2는 가스 경로와 관련하여 미리결정된 패턴으로 접착제를 도포하는 것을 도시하는, 내부 전극의 실시형태의 부분 상면도이다.
도 3a는 결합 전 경화되지 않은 페이스트 또는 액체 접착제의 비드를 지지하는 도 1에 도시된 백킹 플레이트의 실시형태의 단면 일부분을 도시한다; 도 3b는 내부 전극이 페이스트 또는 액체 접착제를 이용하여 백킹 플레이트에 접착된 후 도 3a에 도시된 단면을 도시한다.
도 4a 및 도 4b는 시트 접착제를 이용하여 백킹 플레이트에 접착된 도 1에 도시된 내부 전극의 실시형태의 단면부를 도시한다.
도 5a 내지 도 5c는 시트 접착 절단 패턴의 실시형태를 도시한다.
도 6은 플랫 링의 형태의 시트 접착제의 실시형태 및 도 1에 도시된 백킹 플레이트 상에 위치되는 엘리베이션 조그를 갖는 플랫 링의 단면을 도시한다.
도 7은 도 6에 도시된 엘리베이션 조그를 갖는 시트 접착제의 플랫 링의 실시형태의 세부사항의 도시한다.
도 8은 도 6에 도시된 백킹 플레이트 내의 리세스의 세부사항을 도시한다.
도 9는 백킹 플레이트의 실시형태의 단면을 도시한다.
도 10은 상이한 동일평면 특성을 갖는 시트 접착제의 실시형태를 도시한다.
도 11의 엘리베이션 조그를 갖는 시트 접착제의 실시형태를 도시한다.
도 12는 다양한 형상의 시트 접착제의 실시형태를 도시한다.
도 13은 시트 접착제의 실시형태를 도시한다.
도 14는 시트 접착제 실시예 1에 대해 실온에서 수행된 전단 테스트 결과를 도시한다.
도 15는 시트 접착 실시예 2에 대해 180 ℃에서 수행된 전단 테스트 결과를 도시한다.
도 16은 시트 접착 실시예 3에 대해 180℃에서 수행된 피로 테스트 결과를 도시한다.
도 17은 피로 테스트 후 시트 접착 실시예 3에 대해 180℃에서 수행된 전단 테스트 결과를 도시한다.
상세한 설명
집적 회로의 제조 동안 반도체 웨이퍼의 표면 상의 미립자 오염물질의 제어는 신뢰성있는 디바이스와 고 수율을 획득하는데 필수적이다. 플라즈마 처리 장치와 같은 처리 장비는 미립자 오염물질의 근원일 수 있다. 예를 들어, 웨이퍼 표면 상의 미립자의 존재는 포토리소그래피 및 에칭 단계들 동안 패턴 전사를 국부적으로 방해할 수 있다. 결과적으로, 이들 미립자들은 게이트 구조체, 금속간 유전층 또는 금속 배선을 포함한, 임계 피쳐들로 결함을 도입시켜, 집적 회로 컴포넌트의 고장 또는 실패를 발생시킬 수 있다.
상대적으로 단 수명을 갖는 리액터 부분은 통상적으로 "소모성" 예를 들어, 실리콘 전극으로 여겨진다. 소모성 부분의 수명이 짧다면, 소유 비용이 높아진다. 다수의 RF 시간 (플라즈마를 생성하기 위해 무선 주파수 전력이 사용되는 동안의 시간) 이후 유전체 에칭 툴에 사용된 실리콘 전극 어셈블리들은 악화된다. 소모성 및 다른 부분들의 부식은 플라즈마 처리 챔버에서 미립자의 오염물질을 생성한다.
샤워헤드 전극 어셈블리는 기계적으로 유연하고/하거나 열적으로 전도성인 결합 재료를 가진 2 이상의 상이한 부재를 결합시킴으로써 제조되어 다양한 기능을 허용할 수 있다. 컴포넌트의 표면은 결합 재료의 접착력을 향상시키기 위해서 프라이머로 처리될 수 있다. 전기 또는 열 전도성을 향상시키기 위해서, 결합 재료는 전기적 및/또는 열적으로 전도성인 필러 입자를 포함할 수 있다. 그러나, 결합 재료의 이용과 연관된 프라이머 및 필러 입자들은 또한 미립자 오염물질에 대한 잠재적인 근원일 수 있다. 추가적으로, 샤워헤드 전극 어셈블리는 가스 통로를 포함하기 때문에, 가스 통로가 결합 재료에 의해 방해받지 않도록, 결합 재료의 흐름을 제어하는 것은 필수적이다. 결합 재료로부터 기인하는 오염물질을 감소시키고 결합 재료 배치를 정확하게 제어할 수 있는, 플라즈마 처리 장치의 컴포넌트의 연결을 위한 방법이 제공된다.
도 1은 반도체 기판, 예를 들어, 실리콘 웨이퍼가 처리되는 플라즈마 처리 장치를 위한 샤워헤드 전극 어셈블리 (10) 의 예시적인 실시형태를 도시한다. 예를 들어, 전체로서 참조문헌으로 본원에 포함된, 공동 소유된 미국 특허 출원 제 2005/0133160 호에 샤워 헤드 전극이 설명된다. 샤워헤드 전극 어셈블리 (10) 는 상부 전극 (12), 상부 전극 (12) 에 고정된 백킹 부재 (14), 및 열 제어 플레이트 (16) 를 포함한 샤워헤드 전극을 포함한다. 하부 전극 및 선택적인 정전식 클램핑 전극을 포함한 기판 지지체 (18)(도 1에는 일부만이 도시됨) 는 플라즈마 처리 장치의 진공 처리 챔버 내의 상부 전극 (12) 아래에 위치된다. 플라즈마 처리되는 기판 (20) 은 기판 지지체 (18) 의 상부 지지 표면 (22) 상에 기계적으로 또는 정전적으로 클램핑된다.
도시된 실시형태에서, 샤워헤드 전극의 상부 전극 (12) 은 내부 전극 부재 (24), 및 옵션의 외부 전극 부재 (30) 를 포함한다. 내부 전극 부재 (24) 는 원통형 플레이트 (예를 들어, 실리콘으로 이루어진 플레이트) 가 바람직하고 플라즈마-노출 하부 표면 (26) 및 상부 표면 (28) 을 포함한다. 내부 전극 부재 (24) 는 처리되는 웨이퍼보다 더 작은, 동일한, 또는 더 큰 직경 (플레이트가 실리콘으로 이루어진 경우 약 8 인치 (약 200 mm) 까지 또는 약 12 인치 (약 300 mm) 까지) 를 가질 수 있다. 바람직한 실시형태에서, 샤워헤드 전극 어셈블리 (10) 는 300 mm 또는 이보다 더 큰 직경을 갖는 반도체 웨이퍼와 같은 큰 기판을 처리하기에 충분히 크다. 300 mm 웨이퍼에 있어서, 상부 전극 (12) 은 적어도 직경이 300 mm이다. 그러나, 샤워헤드 전극은 다른 웨이퍼 사이즈 또는 원이 아닌 구성을 갖는 기판을 처리하도록 사이즈될 수 있다. 도시된 실시형태에서, 내부 전극 부재 (24) 는 기판 (20) 보다 더 넓다.
300 mm 웨이퍼의 처리에 있어서, 외부 전극 부재 (30) 는 상부 전극 (12) 의 직경을 약 15 인치에서 약 17 인치로 연장하기 위해 제공된다. 외부 전극 부재 (30) 는 연속 부재 (예를 들어, 연속적인 폴리-실리콘 링) 이거나, (예를 들어, 실리콘으로 이루어진 세그먼트와 같은 링 구성으로 마련된 2-6 개의 별개의 세그먼트를 포함한) 분할된 부재일 수 있다. 복수의 세그먼트의 외부 전극 부재 (30) 를 포함하는 상부 전극 (12) 의 실시형태에서, 세그먼트는 하부 결합 재료가 플라즈마에 노출되지 않도록 보호하기 위해서 서로 중첩된 에지들을 갖고, 내부에 가스 통로를 갖지 않는 것이 바람직하다. 내부 전극 부재 (24) 는, 상부 전극 (12) 과 하부 전극 (18) 사이에 위치된 플라즈마 반응 챔버 내의 공간에 처리 가스를 주입하기 위해서 백킹 부재 (14) 를 통해 연장되는 가스 통로 (32) 의 어레이 또는 패턴을 포함하는 것이 바람직하다. 선택적으로, 또한, 외부 전극 부재 (30) 는 상부 전극 (12) 과 하부 전극 (18) 사이에 위치된 플라즈마 반응 챔버 내의 공간에 처리 가스를 주입하기 위해서 백킹 부재 (14) 의 백킹 링 (36) 을 통해 연장되는 가스 통로 (미도시) 의 어레이 또는 패턴을 포함한다.
실리콘은 내부 전극 부재 (24) 및 외부 전극 부재 (30) 의 플라즈마 노출 표면들에 대해 바람직한 재료이다. 두 개의 전극 모두는 플라즈마 처리 동안 기판의 오염을 최소화하고 또한 플라즈마 처리 동안 매끄럽게 마모시킴으로써, 미세입자를 최소화하는 고순도, 단결정 실리콘으로 이루어지는 것이 바람직하다. 상부 전극 (12) 의 플라즈마-노출된 표면을 위해 사용될 수 있는 대안적인 재료는 예를 들어 SiC 또는 AlN을 포함한다.
예시된 실시형태에서, 백킹 부재 (14) 는 백킹 플레이트 (34) 및 이 백킹 플레이트 (34) 의 주변 주위로 연장된 백킹 링 (36) 을 포함한다. 백킹 플레이트 (34) 는 하부 표면 (38) 을 포함한다. 실시형태에서, 내부 전극 부재 (24) 는 백킹 플레이트 (34) 와 동연 (coextensive) 이고, 외부 전극 부재 (30) 는 주위의 백킹 링 (36) 과 동연이다. 그러나, 하나의 백킹 플레이트가 내부 전극 부재 (24) 및 분할된 외부 전극 부재 (30) 를 지지하도록 사용될 수 있도록, 백킹 플레이트 (34) 는 내부 전극 부재 (24) 를 지나서 연장될 수 있다. 내부 전극 부재 (24) 및 외부 전극 부재 (30) 는 결합 재료에 의해 백킹 부재 (14) 에 부착된다. 무선 주파수 (RF) 링 가스켓 (80) 은 내부 전극 부재 (24) 와 내부 전극 부재 (24) 의 외주 근처의 백킹 플레이트 (34) 사이에 위치될 수 있다. 백킹 부재 (14) 는 백킹 부재 (14) 를 열 제어 플레이트 (16) 에 부착하기 위해 패스너 부재 (42) 를 수용하도록 구성된 복수의 홀들 (40) 을 포함한다. 또한, 백킹 플레이트 (34) 는 백킹 플레이트 (34) 를 통해 연장되고 내부 전극 부재 (24) 내의 가스 통로 (32) 와 유체 소통하는 복수의 가스 통로들 (44) 을 포함한다. 선택적으로, 백킹 링 (36) 은 또한 백킹 링 (36) 을 통해 연장되고 외부 전극 부재 (30) 내의 옵션의 가스 통로 (미도시) 와 유체 소통하는 복수의 가스 통로들 (미도시) 을 포함한다.
백킹 플레이트 (34) 및 백킹 링 (36) 은 플라즈마 처리 챔버 내의 반도체 기판을 처리하는데 사용된 처리 가스와 화학적으로 양립가능하고 전기적 그리고 열적으로 전도성인 재료로 이루어지는 것이 바람직하다. 백킹 부재 (14) 를 제조하는데 사용될 수 있는 예시적인 적절한 재료는 알루미늄, 알루미늄 합금, 흑연 및 SiC를 포함한다. 백킹 플레이트 (34) 및 백킹 링 (36) 을 위한 바람직한 재료 양극산화처리되지 않은 알루미늄 합금 6061이다.
상부 전극 (12) 은, 열 응력을 수용하고, 상부 전극 (12) 과 백킹 플레이트 (34) 와 백킹 링 (36) 사이에서 열 및 전기 에너지를 전달하는 적절한 열 및 전기 전도성의 탄성 결합 재료를 이용하여 백킹 플레이트 (34) 및 백킹 링 (36) 에 부착될 수 있다. 전극 어셈블리의 표면들을 함께 결합하기 위한 탄성중합체의 이용은, 예를 들어, 전체로서 참조문헌으로 본원에 포함되는 공동 소유된 미국 특허 제 6,073,577 호에 기재된다.
실시형태에서, 탄성중합체 접합부는 탄성중합체 시트 접착제이다. 시트 접착제는 진공 환경과 양립가능하고 200 ℃ 초과와 같은 고온에서 열적 저하에 잘 견디는 폴리머 재료와 같은 임의의 적절한 탄성중합체 재료일 수 있다. 탄성중합체 재료는 선택적으로, 전기적 및/또는 열적 전도성 입자의 필러 또는 와이어 메쉬, 직조된 또는 직조되지 않은 전도성 섬유와 같은 다른 형상의 필러를 포함할 수 있다. 160 ℃를 초과하는 플라즈마 환경에서 사용될 수 있는 폴리머 결합 재료는 폴리이미드, 폴리케톤, 폴리에테르케톤, 폴리에테르 술폰, 폴리에틸렌 테레프탈레이트, 플루오로에틸렌 프로필렌 코폴리머, 셀룰로오스, 트리아세테이트, 실리콘, 및 고무를 포함한다.
바람직하게, 시트 접착제는 상부 전극 알루미늄 (Al) 백킹 플레이트를 단결정 실리콘 (Si) 샤워헤드에 결합시키는 열 전도성 실리콘 접착제이다. 바람직하게, 접착제는 실온부터 180 ℃ 이상 (예를 들어, 실온부터 300 ℃ 까지) 의 온도 범위에서 적어도 200 % (예를 들어, 200 내지 500 % 또는 200 내지 300 %) 의 높은 전단 변형을 견딘다. 또한 바람직하게, 접착제는 실온 내지 180 ℃ 이상 (예를 들어, 실온 내지 300 ℃) 의 온도 범위에서 적어도 300 % (예를 들어, 300 내지 500 %) 의 높은 전단 변형을 견딘다. 접착제는 (180 ℃ 이상의 실온에서) 300 % 변형을 달성하기 위해서 약 340 psi 전단 응력을 요구할 수 있다. 바람직하게, (180 ℃ 이상의 실온에서) 300 % 응력을 달성하기 위해서 약 20 내지 300 psi 전단 응력을 요구한다. 예를 들어, 접착제는 (180 ℃ 이상의 실온에서) 300 % 변형을 달성하기 위해서 20 - 50 psi, 50 - 100 psi, 100 - 200 psi, 또는 200 - 300 psi 전단 응력을 달성할 수 있다. 가장 바람직하게, 접착제는 (180 ℃ 이상의 실온에서) 200 - 400 % 변형을 달성하기 위해서 약 20 - 80 psi를 달성할 수 있다. 접착제는 실온부터 180 ℃ 까지 또는 실온부터 300 ℃ 까지의 온도 범위에서 적어도 200 % 까지 또는 적어도 300 % 까지 선형 전단 응력/변형 커브를 나타내는 것이 바람직하지만, 또한 거의 직선인 것이 바람직하다. 또한 바람직하게, 접착제는 궁극적인 실패로 최저의 가능한 최대 전단 응력을 가지며, 예를 들어, (실온부터 180 ℃까지 또는 실온부터 300 ℃ 까지의 온도 범위에서) 400 % 변형에서 80 psi 전단 응력보다 작거나 같다.
바람직하게, 전극 플레이트가 적어도 200 mm의 직경을 가진 단결정 실리콘의 디스크인 경우, 시트 접착제는, 실온에서 250 ℃까지 전극 어셈블리를 가열하는 약 5,000 번의 온도 사이클 이후 20 내지 340 psi 의 전단 응력으로부터, 실온 내지 180 ℃ 또는 실온 내지 300 ℃의 온도 범위에서 적어도 200 % 까지의 또는 적어도 300 % 까지의 선형 전단 응력/변형 커브를 나타낸다.
알루미늄 백킹 플레이트 및 실리콘 샤워헤드가 상이한 속도로 열적으로 확장되는 경우, 2개의 부분들을 결합하는데 사용된 접착제는 2개 부분들 사이에서 부하들을 연결한다. 대조적으로, 접착제가 부드럽다면 (실시형태에 따라 주어진 변형에서 낮은 전단 응력), 2개 부분은 응력 또는 다이아프램 (diaphragm) 편향을 서로에게 유발하지 않을 것이다. 바람직하게, 백킹 플레이트 및 샤워헤드는 2개의 메이팅 표면의 결합되지 않은 영역들 사이에 갭을 갖는다. 다이아프램 편향은, 백킹 플레이트 표면의 결합되지 않은 영역들로 하여금, 2개 부분의 열적 확장 동안 샤워헤드 표면의 결합되지 않은 영역들을 따라 접촉하고 러빙되게 한다. 이러한 러빙은 하나 또는 2 표면들 모두의 입자를 마모시킬 수 있다. 또한, 다이아프램 편향이 상부 전극 어셈블리에 존재하는 경우, 고도로 국부화된 접촉 부하는 알루미늄 백킹 플레이트가 열 제어 플레이트와 메이팅하는 경우 발생할 수 있다. 이것은 백킹 플레이트와 열 제어 플레이트 사이의 마멸을 발생시켜, 시스템 내에 미세먼지를 생성한다. 이와 같이, 접착제가 부드러운 경우, 다이아프램 편향이 거의 없거나 전혀 없고 열적 확장 계수의 불일치로 인한 부분 왜곡으로부터 백킹 플레이트와 열 제어 플레이트 사이의 마멸이 거의 없거나 전혀 없기 때문에 보다 미립자 오염물질이 보다 적게 생성된다.
시트 접착제는 고 분자량의 디메틸 실리콘 및 옵션의 필러로 순수하게 포뮬레이트될 수 있고, 또는 섬유유리 스크린 (스크림), 금속 스크린 주위로 매트릭스될 수 있거나, 유리 마이크로비드 및/또는 유리 나노비드 또는 다른 재료로 혼합될 수 있어 다양한 적용 요건을 수용한다. 바람직하게, 시트 접착제는 Al2O3 마이크로비드 주위로 매트릭스된 고 분자량 디메틸 실리콘으로 포뮬레이트된다. 시트 접착제의 복합층은 상이한 물리적 특성을 갖도록 제조 및 적층될 수 있다. 바람직한 실시형태에서, 시트 접착제의 동일평면 영역은 상이한 물리적 특성으로 별개로 포뮬레이트될 수 있다. 물리적 특성의 예는 열 전도성, 탄성, 장력 및 전단 강도, 두께, 열 팽창 계수, 내화학성, 입자 부식, 및 서비스 온도 범위이다.
예를 들어, 채워진 탄성중합체 재료는 플라즈마 부식될 수도 있고 플라즈마 처리 동안 전도성 필러 입자를 방출할 가능성이 있다. 플라즈마 처리 동안, 이온 또는 라디칼은 가스 통로 (32) 로 이동하여 홀 주위의 조인트 계면에서 채워진 탄성중합체 재료를 부식시킨다. 예를 들어, 플라즈마 침식된 탄성중합체 재료로부터 발생된 알루미늄 합금 필러 입자가 웨이퍼 상에 증착되어 에칭 프로세스 동안 결함을 생성할 수 있다. 전도성 필러 입자의 방출을 감소시키는 실시형태에서, 시트 접착제의 동일평면 영역은 상이한 필러 입자 밀도로 별개로 포뮬레이트될 수 있다. 예를 들어, 가스 통로 (32) 를 통해 이동한 이온 또는 라디칼에 노출된 조인트 계면의 시트 접착제의 영역은 채워지지 않을 수 있는 (필러 입자가 없는) 반면 이온 또는 라디칼에 노출되지 않은 시트 접착제의 동일평면 영역은 필러 입자들을 포함할 수 있다.
바람직하게, 탄성중합체 시트 접착제의 고순도 탄성 재료는 디페닐 디메틸 실리콘 코폴리머에 기초하여 열 경화성 열 전도성 실리콘이다. 바람직한 탄성중합체 시트 접착제는 NUSIL TECHNOLOGY로부터 이용가능한 상표명 HCR-9800-30 하에서 열 전도성 실온 경화하지 않은 실리콘 시트로부터 포뮬레이트된다. 바람직하게, 실리콘 시트 접착제 제품은 Al2O3를 사용하고 열 경화성이되도록 포뮬레이트된다, 즉, 바람직하게, 시트 접착제는 가교 반응을 개시하기 위해서 별개의 활성제의 인가는 요구되지 않는다. 바람직하게, 시트 접착제는 적절한 열 활성 컴포넌트로 포뮬레이트되어 미리결정된 경화 온도에서 가교 반응을 수행하고, 예를 들어, 열 활성된 가교제는 과산화물일 수 있다. 이러한 포뮬레이트된 접착제 시트는 NUSIL TECHNOLOGY로부터 이용가능하다.
탄성중합체가 전기 전도성 탄성중합체인 경우, 전기 전도성 필러 재료는 전기 전도성 재료의 입자를 포함할 수 있다. 플라즈마 반응 챔버의 불순물 민감 환경에 사용하기 위한 잠재적인 전기 전도성 재료는 니켈 코팅된 탄소 분말, 니켈 분말, 탄소 나노-튜브, 그라핀, 흑연 및 그 결합이다.
탄성중합체가 열 전도성 탄성중합체인 경우, 열 전도성 필러 재료는 열 전도성 금속 또는 금속 합금의 입자를 포함할 수 있다. 플라즈마 반응 챔버의 불순물 민감 환경에 사용하기 위한 바람직한 재료는 알루미늄 합금, 산화 알루미늄 (Al2O3) 또는 질화 붕소 (BN) 이다. 바람직하게 탄성중합체 시트 접착제는 낮은 강도를 갖고, 높은 전단 변형을 견딜 수 있고 높은 열 전도성을 갖는다. 열 전도성은 적어도 0.5 W/mK가 바람직하고, 적어도 0.8 W/mK가 더욱 바람직하고 그리고 적어도 1.0 W/mK가 가장 바람직하다. 열 및/또는 전기 전도성 입자의 더욱 균일한 분배는 액체 또는 페이스트 탄성중합체 결합 재료보다는 탄성중합체 시트 접착제에서 달성될 수 있다.
최종적으로 형성된 조인트의 탄성 한계 내에 머무르기 위해서, 적절한 결합 두께가 사용될 수 있다. 즉, 시트 접착제 조인트가 너무 얇으면 열 사이클 동안 찢어질 수 있는 반면 시트 접착제 조인트가 너무 두꺼우면 연결되는 부분들 간의 열 전도성을 감소시킬 수 있다. 전극과 지지 부재 사이의 용량성 결합으로 인해 충분한 RF 전력이 탄성중합체 조인트의 얇은 영역을 통해 전극으로 공급될 수 있기 때문에 전기 및/또는 열 전도성 탄성중합체를 이용할 필요가 없다.
도 1은, 복수의 리세스들 (48) 이, 내부에 위치된 시트 접착제 (52) 의 플랫 링을 갖는 백킹 플레이트 (34) 에 위치되어 내부 전극 부재 (28) 및 백킹 플레이트 (38) 의 메이팅 표면을 결합하는 실시형태를 도시한다. 도 1의 실시형태는 플랫 링 시트 접착제 (56) 를 수용하기 위해 더 깊은 깊이를 갖는 리세스 (54) 를 도시한다. 이 실시형태는 또한 내부 전극 부재 (24) 와 내부 전극 부재 (24) 의 주변부 근처의 백킹 플레이트 (34) 사이의 RF 가스켓 (80) 을 도시한다. 도 1의 실시형태에서, 외부 링 전극 (30) 은, 백킹 링 (58) 의 리세스 내의 시트 접착제 (60) 의 단일 플랫 링에 의해 백킹 링 (36) 에 결합될 수 있다.
전극 및 지지 부재의 메이팅 표면은 평면이거나 평면이 아닐 수 있다. 예를 들어, 일 메이팅 표면이 평면일 수 있고 다른 메이팅 표면은 시트 접착제 결합 재료를 수용하기 위해 리세스를 포함할 수 있다. 이러한 리세스는, 예를 들어, 시트 접착제가 플라즈마에 노출되지 않게 보호할 수 있다. 대안으로, 메이팅 표면은 인터록킹 및/또는 자기 정렬 배열을 제공하기 위해서 등고선일 수 있다. 탄성중합체 결합 재료의 접착력을 향상시키기 위해서, 메이팅 표면은 적절한 프라이머로 바람직하게 코팅된다. 결합 재료가 상술된 NUSIL TECHNOLOGY HCR-9800-30 재료로부터 포뮬레이트되는 경우, 프라이머는 NUSIL TECHNOLOGY에 의해 제조된 상표명 SP-120 또는 SP-270 하의 실리콘 프라이머일 수 있다. 바람직하게, 이러한 프라이머는 시트 접착제를 결합될 표면 위치에 위치시키기 전에 메이팅 표면에 인가되고 건조된다.
프라이머는, 바람직하게 샤워헤드 어셈블리 컴포넌트의 별개의 결합 표면 상에 와이핑 (wiping), 브러싱, 스프레잉과 같은 임의의 적절한 기술에 의해 얇은 코팅으로서 도포되어 나중에 도포된 결합 재료를 위한 결합 사이트를 생성한다. 프라이머가 용매를 포함한다면, 와이핑에 의한 프라이머의 도포는 표면을 세정함으로써 결합을 향상시킬 수 있다. 실록산 함유 프라이머는 공기와 반응하고 실온에서 공기 중에 경화되는 경우 실리콘 결합 사이트를 생성한다. 이러한 프라이머는 분말로 나타나는 과잉 프라이머 위치로 결합 사이트의 양의 시각적 표시를 제공한다.
시트제 접착제는 처리하기 위한 전달 시트들 사이에 있는 것이 바람직하다. 바람직하게, 전달 시트는 DUPONT에 의해 제조된 TEFLON이다. 전달 시트는 경화되지 않은 시트 접착제에 대한 예를 들어, 변경 및 손상을 방지하는 것이 바람직하다. 시트 접착제는, 하나의 전달 시트를 제거하고 접착 시트의 노출 표면을 제 1 메이팅 표면에 도포하고, 다른 전달 시트를 제거하고 제 2 메이팅 표면을 접착 시트의 다른 노출된 표면에 도포함으로써 메이팅 표면들 또는 준비된 메이팅 표면들에 도포된다. 접착 시트 표면은 점착성일 수 있고 바람직하게, 전달 시트를 정확하게 제거하고 메이팅 표면 상에 시트 접착제를 위치시키기 위해 툴링 (tooling) 이 사용될 수 있다. 또한, 바람직하게, 메이팅 표면 상의 접착제 시트는 접착제 아래의 갭이나 보이드를 뽑아내고 진공 배깅과 같은 것에 의해 일시적인 시팅 하중 (seating load) 을 인가하기 위해 진공 하에 위치시킬 수 있다.
시트 접착성 결합 재료가 적어도 하나의 표면에 도포된 후, 표면들이 압축 하에서, 고정 질량 하에서, 또는 진공 백 내의 대기 압력에 의해 함께 압력이 가해지도록 부품들을 조립할 수 있다. 탄성중합체는 시트 접착제의 형태이기 때문에, 형성되는 조인트에 걸쳐 탄성중합체를 확산시키기 위해 지압 (hand pressure) 과 같은 초기의 경미한 압력을 인가할 필요가 없다. 그러나, 접착제를 메이팅 표면들에 시팅시키기 위해서 지압과 같은 경미한 압력 또는 진공 백 내의 가벼운 대기 무게가 요구된다. 대략 5 분 이하로 시트 무게를 인가한 후, 접착제 상의 모든 무게를 제거하는 것이 바람직하다. 경화는 임의의 상당한 고정 하중 또는 진공 백 무게없이 수행되는 것이 바람직하다. 결합은 대기 중 상승된 온도 또는 보호용 기체 환경에서 경화될 수 있다. 어셈블리는 컨벡션 오븐에 위치되어 결합을 경화시키는 가교 처리를 활성화시키기 위해 가열될 수 있다. 예를 들어, 열 경화성 결합 재료는 10 내지 20 분 (예를 들어, 15 분) 동안 110 ℃ 와 122 ℃ 사이의 1차 경화 온도 (예를 들어, 116 ℃) 에서 처리될 수 있다. 어셈블리의 성공적인 점검 시, 결합 재료는 1.5 내지 2.5 시간 (예를 들어 2 시간) 동안 140 ℃ 와 160 ℃ 사이의 2차 경화 온도 (예를 들어, 150 ℃) 에서 처리된다. 대안으로, 1차 경화를 건너뛰고, 2차 경화만을 2.5 내지 3.5 시간 동안 적용될 수 있다.
바람직하게, 시트 접착제가 결합 및 경화 동안 불거져 나오거나 흐르지 않도록 시트 접착제는 그 기하학적인 형상을 유지한다. 그러나, 경화 동안 시트 접착제 체적 변화가 5 % 체적까지 수축될 수 있다. 바람직하게, 시트 접착제는 경화 동안 2 내지 3 % 이하의 체적 수축을 받는다.
플라즈마 처리 동안, 탄성중합체 결합된 전극 어셈블리들은 높은 동작 온도, 높은 전력 밀도, 및 긴 RF 시간을 지속시킬 수 있다. 또한, 시트 접착성 탄성중합체 재료를 전극 어셈블리들을 연결하기 위한 메커니즘으로서 이용하는 것은 반도체 웨이퍼의 플라즈마 처리 동안 논-시트 접착제에 대하여 추가적인 이점을 갖는다.
남은 미사용된 프라이머 (결합되지 않은 영역) 를 갖는 컴포넌트의 영역은 오염의 근원일 수 있다. 예를 들어, 실록산 프라이머 (예를 들어, RHODIA SILICONES VI-SIL V-06C) 의 이용은 티타늄을 포함한 오염의 수준을 도입하는 잠재성을 갖는 것으로 결정되었다. 티타늄 오염은 에칭 처리 동안 기판의 원하지 않는 영역에 티타늄 실리사이드를 형성하는, 실리콘 기판과 잠재적으로 반응할 수도 있다.
시트 접착제는 샤워헤드 어셈블리 상의 영역들에 프라이머를 선택적으로 인가 (예를 들어, 내부 전극 부재 (24) 를 백킹 플레이트 (34) 와 연결) 함으로써 프라이머 재료로부터 발생된 오염을 감소시키며 모든 표면을 프라이머로 코팅하기 보다는 시트 접착제 결합 재료를 나중에 인가할 것이다. 도 2는, 하부 플라즈마-노출된 표면 (26) 을 통해 연장된 복수의 가스 통로들 (32) 을 포함하는, 내부 전극 부재 (24) 의 상면도이다. 본 실시형태에서, 시트 접착제 탄성중합체 재료는 가스 통로들 (32) 을 포함한 영역들 사이에 환형 구역 패턴 (46) 으로서 인가된다. 그러나, 탄성중합체 재료를 인가하기 전, 프라이머가 탄성중합체 재료에 대응하는 동일한 환형 구역 패턴으로 인가될 수 있다.
시트 접착제가 환형 구역에 인가된 것으로 나타내었지만, 시트 접착제를 인가하는 패턴은 제한되지 않으며 환형이 아닌 구역과 같은 다른 패턴으로 인가될 수 있다. 시트 접착제는, 시트 접착제의 개별 섹션을, 연결될 부품들에 전달되게 하기 위해서 임의의 원하는 패턴 및 전달 시트로부터 제거된 부분으로 절단될 수 있다.
프라이머는, 결합되지 않은 영역으로 둘러싸인, 결합 영역의 미리결정된 패턴으로 내부 전극 부재 (24) 의 상부 표면 (28) 에 인가될 수 있다. 일례로, 프라이머 (46) 는 내부 전극 부재 (24) 를 그 중심점 C 에 대하여 회전시키는 단계, 중심점 C에 대하여 하나의 위치 또는 복수의 방사상 위치에서 디스펜서의 하나 이상의 출구들을 접촉시킴으로써 디스펜서 (예를 들어, 펠트-팁 (felt-tip) 디스펜서) 를 이용하여 프라이머를 패턴 (46) 으로 인가하는 단계, 하나 이상의 환형 구역을 한번에 생성하는 단계에 의해 인가될 수 있다. 다른 실시예에서, 환형 구역 패턴 (또는 임의의 원하는 패턴) 은 미리결정된 패턴의 개구를 갖는 마스크를 이용하여 내부 전극 부재 (24) 의 상부 표면 (28) 을 커버함으로써 인가될 수 있다. 그러나, 프라이머가 시트 접착성 탄성중합체 재료 밑에 있는 영역에만 인가되는 한, 프라이머는 임의의 적절한 미리결정된 패턴 (예를 들어, 복수의 개별 영역, 방사상 및/또는 불연속 환형 영역) 으로 인가될 수도 있다. 프라이머는 또한 마스크의 개구를 통해 와이핑, 브러싱, 스프레잉에 의해 인가될 수 있다. 상술된 방법들 둘 모두는 또한 백킹 플레이트 (34) 의 하부 표면 (38) 에 프라이머를 인가하기 위해 사용될 수 있다. 시트 접착성 탄성중합체 재료 밑에 있는 선택된 영역 (46) 에만 인가할 때, 프라이머의 인가와 연관된 오염물질은 상당히 감소될 수 있다.
마스크 재료의 예는 KAPTON
Figure 112010039464012-pct00001
, 폴리이미드계 재료, MYLAR
Figure 112010039464012-pct00002
, 폴리에스테르계 재료, 또는 TEFLON
Figure 112010039464012-pct00003
, 플루오로폴리머 수지를 포함할 수 있고, 모두 DUPONT로부터 이용가능하다.
액체, 겔 및 페이스트 접착제에 대해 시트 접착제가 갖는 다른 이점은 흐름을 제어하거나 흐름을 제거하는 것이다. 예를 들어, 도 3a에 도시된 바와 같이, 연결될 샤워헤드 어셈블리 컴포넌트가 가스 통로 (32/44) 를 포함하는 경우, 액체 또는 페이스트의 경화되지 않은 탄성중합체 재료 (50) 의 흐름은 탄성중합체가 경화되기 전 컴포넌트들이 압력이 가해지는 경우 함께 제어되어야 한다. 경화되지 않은 페이스트 (50) 가 2개의 컴포넌트들 사이에 인가되고 압력이 가해지는 경우, 경화되지 않은 탄성중합체 재료의 흐름을 제어하는 것은 곤란하다. 도 3b에 도시된 바와 같이, 경화되지 않은 탄성중합체 재료 (50) 의 제어되지 않은 흐름은 가스 통로 (32/44) 의 차단 또는 장애물을 발생시킬 수 있다. 결과적으로, 차단되거나 막힌 가스 통로 (32/44) 를 클리어하기 위해 추가적인 세정 또는 머시닝이 요구될 수 있다. 시트 접착제 (52) 는 도 4a에 도시된 바와 같은 액체 또는 페이스트 탄성중합체 재료 보다 매우 더 좋은 내성을 가지고 연결되는 샤워헤드 어셈블리 컴포넌트들 사이에 위치될 수 있기 때문에, 시트 접착제 탄성중합체 재료는 이러한 문제를 방지할 수 있다. 시트 접착제는 원하지 않는 영역으로 스며들어가거나 흘러들어가지 않도록 양호한 체적 제어를 나타내도록 구성될 수 있다. 이와같이, 시트 접착제 탄성중합체 재료 (52) 는 가스 통로 (32/44) 의 차단 또는 장애물의 위험 없이 가스 통로 (32/44) 에 대하여, 액체, 페이스트 또는 겔 보다 더 가깝게 위치될 수 있다.
상부 전극 (12) 및 백킹 부재 (14) 가 상이한 열 팽창 계수를 갖는 재료로 구성되는 경우, 열 팽창의 차를 수용하기 위해서 탄성중합체 재료의 두께가 변할 수 있다. 예를 들어, 상부 전극 (12) 은 실리콘일 수 있고 백킹 부재 (14) 는 금속 (예를 들어, 알루미늄, 스테인레스 스틸, 구리, 몰리브덴, 또는 그 합금) 일 수 있다. 그러나, 열 팽창 계수의 매우 상이한 2개의 화합물 (즉, 알루미늄과 실리콘) 이 결합된다면, 경화 온도 동안 또는 전극의 동작 동안 가열 시, 상이한 열 팽창률로 인해 탄성중합체 결합 재료에서 불균일한 전단 응력이 생성된다. 예를 들어, 원형 알루미늄 백킹 부재 (14) 가 원형 실리콘 상부 전극 (12) 에 동심원으로 결합되는 경우, 백킹 부재 (14) 및 상부 전극 (12) 의 중심 근처의 탄성중합체 결합 재료의 전단 응력은 상승된 처리 온도에서 최소이다. 그러나, 알루미늄 백킹 부재 (14) 의 바깥 부분은 실리콘 상부 전극 (12) 의 바깥 부분보다 더 많은 양의 열 팽창을 받는다. 결과적으로, 2개의 재료가 결합되는 경우, 백킹 부재 (14) 또는 상부 전극 (12) 의 외주 에지에서 최대 전단 응력이 발생하며, 열 팽창의 차는 최대가 된다.
시트 형태의 접착제는, 인서트 또는 스페이서가 결합 두께 또는 유사성을 제어할 것을 요구하지 않도록 넓은 영역에 걸쳐 결합된 표면의 유사성을 정확하게 제어하기 위해서 예외적인 결합 두께 제어를 제공할 수 있다. 도 4a는 가스 통로들 (44) 사이의 백킹 플레이트 (34) 의 하부 표면의 리세스 (48) 에 결합된 시트 접착제 (52) 의 실시형태를 도시한다. 도 4b는 백킹 플레이트 (34) 의 하부 표면 (38) 과 가스 통로들 (32/44) 사이의 상부 전극 (24) 의 상부 표면 (28) 에 결합된 시트 접착제 (52) 를 도시한다.
시트 형태는 접착제가 원하지 않는 영역으로 흐르는 것을 제한하거나 방지하도록 예외적인 체적 제어를 허용한다. 시트 접착제의 도포는 액체 또는 페이스트 접착제를 도포하는데 사용된 정확한 디스펜싱 장비의 필요성을 배제한다. 자동 및/또는 수동 디스펜싱 절차의 공급 속도가 갖는 문제점, 및 접착제 디스펜스 비드의 연관된 건조, 넥킹 (necking) 또는 글로빙 (globing) 이 이와 같이 제거된다. 시트 접착제는 열 전도성 필러의 보다 많은 균일한 서스펜션, 예상된 보다 양호한 저장 수명을 갖고, 보다 효율적인 신뢰성있는 제조 프로세스를 제공할 수 있다.
모재 시트 접착제 형상은 불규칙적으로 정형된 평면 피쳐와 일치하도록 설계될 수 있고, 메이팅 부분을 갖는 표면 접촉 영역을 최대화하도록 최적화될 수 있다. 예를 들어, 도 3a에서, 액체 또는 페이스트 접착제 (50) 의 비드는 리세스 (48) 에서 단면으로 도시된 비드 (50) 의 곡선을 이룬 표면을 따라 백킹 플레이트 (34) 에 접촉한다. 비드 (50) 와 백킹 플레이트 (38) 의 메이팅 표면 사이의 접촉 영역은 비드 (50) 보다 더 좁고 결합의 균일성 및 재현성을 제어하기 곤란하다. 도 3b에서, 전극 (24) 이 백킹 플레이트 (34) 와 메이트되는 경우, 액체 또는 페이스트 접착제 비드 (50) 와 백킹 플레이트와 전극 (38/28) 의 메이팅 표면들 사이의 접촉이 제한되고 제어하기 곤란하여 접촉 영역이 비드 (50) 의 직경 보다 더 작을 수도 있고, 백킹 플레이트 (34) 와 전극 (24) 사이의 적절한 결합 강도 및 열 및/또는 전기 전도성에 대해 원하는 접촉 영역을 달성하기 위해서 액체 또는 페이스트 접착제의 과잉을 요구한다.
도 4a에서, 탄성중합체 시트 접착제 (52) 는 백킹 플레이트 표면과 평행한 리세스 (48) 에서 단면으로 도시된 접착제 시트의 미리결정된 표면을 따라 백킹 플레이트 (34) 와 정확하게 접촉한다. 접착제 시트 (52) 와 백킹 플레이트 (34) 및 전극 (24) 의 메이팅 표면 (38/28) 사이의 접촉 영역은 도 4b에 도시된 바와 같이 탄성중합체 접착제의 체적에 대하여 최대 접촉 영역비를 제공한다. 시트 접착제 (52) 의 더 큰 접촉 영역은, 보다 적은 탄성중합체 시트 접착제 (52) 가 결합에 사용되게 하여 백킹 플레이트 (34) 와 전극 (24) 사이에 적절한 열 및/또는 전기 전도성, 결합 강도 및 결합 탄성을 달성된다.
바람직하게, 시트 접착제는, 예를 들어, 레이저, 워터 제트, 다이 컷, 플롯터 커팅 및 다른 커팅 방법에 의해 모재 형상으로 절단될 수 있다. 시트 접착제는 또한, 예를 들어, 테이프 캐스팅과 같은 캐스팅, 롤링 또는 잉크 젯 프린팅에 의해 모재 형상으로 캐스트될 수 있다. 도 5a 내지 도 5c는, 시트 접착제 (100) 가 플랫 링 (52) 으로 절단되는 바람직한 실시형태를 도시한다. 도 5a는 시트 접착제 (100) 및 다양한 내부 및 외부 직경의 플랫 링 (52) 의 평면도를 도시하며, 즉, 플랫 링은 다양한 평면 폭을 가질 수 있다. 도 5b는 도 5a의 시트 접착제 실시형태의 에지 시야를 도시한다. 도 5c는, 시트 (100) 로부터 절단된 큰 직경과 좁은 평면 폭의 시트 접착제 링을 도시하는 도 5a의 시트 접착제 실시형태의 영역 "A"의 상세한 상세한 도면을 도시한다. 예를 들어, 시트 접착제 (100) 는 0.012 인치 (305 ㎛) 두께일 수 있고 플랫 링 (52) 은 내부 및 외부 직경이 (0.195, 0.464), (0.854, 1.183), (1.573, 1.902), (2.725, 3.625), (4.449, 4.778), (5.168, 5.497), (6.320, 7.220), (8.043, 8.372), (9.196, 10.096), (10.919, 11.248), (11.638, 11.724), 및 (11.913, 12.000) 인치일 수 있다.
바람직하게, 시트 접착제 및 시트 접착제 (52) 의 플랫 링이 절단되고, 핸들링되고, TEFLON (미도시) 의 전달 시트들 사이에 적층으로서 전달된다. 도 6은 백킹 플레이트 (34) 의 메이팅 표면 (38) 의 리세스 (48) 에 걸쳐 위치된 시트 접착제 (52) 의 플랫 링의 단면을 도시한다 (백킹 플레이트 (34) 는 반전되어 있음). 이러한 리세스 (48) 는 레이스트랙 그루브 (racetrack grooves) 의 형태이다. 바람직하지는 않지만, 시트 접착제의 플랫 링은 엘리베이션 조그를 가질 수 있다. 도 6은, 플랫 링이 그 평면 폭을 따라 변화하는 두께를 갖도록 플랫 링 시트 접착제 (56) 가 엘리베이션 조그를 갖는 것을 도시한다. 플랫 링 시트 접착제 (56) 는 백킹 플레이트 (34) 의 레이스트랙 그루브 (54) 와 일치한다. 도 7은 도 6에 도시된 시트 접착제 링 (56) 의 엘리베이션 조그의 "B"의 세부사항을 도시하며, 시트 접착제 링은 링의 중심에서 더 두껍다. 이러한 엘리베이션 조그는, 예를 들어, 상이한 방사상 폭 및/또는 상이한 축 높이 (두께) 를 갖는 시트 접착제의 플랫 링을 적층함으로써 제조될 수 있다. 도 8은 시트 접착제 링 (56) 을 수용하도록 형성된 백킹 플레이트 (34) 의 리세스 (54) 의 "D"에 관한 세부사항을 도시한다.
도 9는 도 6에 도시된 백킹 플레이트 (34)(비반전된) 의 "E"에 관한 세부사항을 도시한다. 메이팅 표면 (38) 의 리세스 (48) 는 결합된 영역과 결합되지 않은 영역을 정확하게 제어하도록 위치될 수 있다. 결합되지 않은 영역은 메이팅 표면 (38) 의 표면 영역의 1 내지 95 % 일 수 있다. 예를 들어, 결합되지 않은 영역은 메이팅 표면 (38) 의 표면 영역의 1-5 %, 5-10 %, 10-15 %, 15-20%, 20-30%, 30-40 %, 40-50 %, 50-60 %, 60-70 %, 70-80 %, 80-90 %, 또는 90-95 %일 수 있다. 가스 통로 (44) 는 결합되지 않은 영역에 있고 시트 접착제는 결합된 영역들을 결합시킨다. 시트 접착제의 에지, 예를 들어 플랫 링 (52) 내부 또는 외부 직경과 표면 (38) 내의 가스 통로 (44) 개구 사이의 거리는 결합 특성을 최적화하도록 그리고 미리 언급된 바와 같이, 비-시트 탄성중합체 접착제가 흐르거나 불거져 나옴으로써 가스 통로 (44) 가 막히는 위험을 제거하도록 정확하게 제어될 수 있다. 바람직하게, 시트 접착제는 수축이 거의 없거나 전혀 없이 경화 전, 경화 동안, 그리고 경화 후 본질적으로 원래 사이즈를 유지하고 동일한 형상을 유지하며, 예를 들어 경화 후 체적 측정 수축은 2-3 %이다.
바람직한 실시형태로서, 시트 접착제는 두께 방향 (적층된) 또는 평면 방향 (동일 평면) 에서 하나 이상의 상이한 물리적 특성을 갖는 다양한 평면 폭의 플랫 링의 합성 층일 수 있다. 도 10은 상이한 동일평면의 물리적 특성을 갖는 플랫 링 시트 접착제 (102) 의 일부를 도시한다. 예를 들어, 안쪽 부분 (62) 및 바깥 부분 (64) 은 낮은 미립자 오염물질 방출을 위한 채워지지 않은 실리콘 탄성중합체 시트 접착제일 수 있고 중간 부분 (66) 은 열 전도성을 위한 Al2O3 미립자를 포함할 수 있다.
도 11은 시트 접착제 (104) 의 실시형태를 도시한다. 시트 접착제 (104) 는 엘리베이션 조그 (68)(작은 계단) 를 갖는 다양한 폭의 복수의 플랫 원 또는 반원 링일 수 있다. 표면 (70, 72) 은 전극 메이팅 표면 (미도시, 그러나 백킹 부재 (14) 의 리세스 (48, 54 및 58) 과 유사함) 의 리세스들에 결합될 수 있고 표면 (70) 은 내부 전극 메이팅 표면 (28) 및/또는 외부 전극 링 (30) 의 메이팅 표면과 같은 리세스가 없는 전극 메이팅 표면에 결합될 수도 있다. 표면 (74, 76) 은 리세스 (48, 54 및 58) 와 유사한 백킹 부재 (14) 메이팅 표면의 리세스와 결합될 수도 있고 또는 표면 (76) 은 리세스 없는 백킹 링 메이팅 표면 (미도시) 및/또는 백킹 플레이트에 결합될 수도 있다.
예를 들어, 시트 접착제는 균일한 또는 균일하지 않은 패턴의 도트, 삼각형, 기둥 및 적층없는 다양한 폭 및 두께의 다른 기하학적 형상으로서 마련될 수 있다. 도 12는 시트 접착제의 원뿔 (106), 직선 줄무늬 (108), 삼각형 (110), 원형 도트 (112) 및 엘리베이션 조그 (114) 를 갖는 원형 도트를 도시한다. 시트 접착제는 백킹 부재 (14) 및 전극 (12) 의 메이팅 표면 상에 결합 영역을 결합시키기 위해서 이러한 복수의 기하학적 형상일 수 있다. 그러나, 다른 실시형태에서, 시트 접착제는 "거미줄 망"의 기학학적 형상을 갖는 단일 시트여서 결합 영역과 정확하게 일치하는 한편 가스 통로들 (32/44) 에 결합되지 않을 영역을 남겨둘 수 있다. 도 13은, 예를 들어 메이팅 표면 (28, 38) 을 결합시키기 위한 평면도의 단일 시트 (116) 의 실시형태를 도시한다. 따라서, 시트 접착제 (116) 의 공간 (78) 은 결합되지 않은 영역에 대응할 수 있다. 본 실시형태에서, 이러한 결합되지 않은 영역은 메이팅 표면 영역의 90 % 넘게 해당된다.
경화 전, 시트 접착제는 물리적으로 안정한 본질을 갖는 것이 바람직하다. 경화 전 시트 접착제는 치수적 안정성을 갖는 미가황, 비가교된 구성이다. 비경화된 시트 접착제는 가단성 (malleable) 일 수 있다. 언급된 바와 같이, 전달 시트는 경화 전 시트 접착제의 변형을 방지하기 위해 경화되지 않은 시트 접착제를 핸들링하는 것이 바람직하다. 가열 시, 퍼옥시드 필러와 같은 가교제는 경화되지 않은 시트 접착제와 전체적으로 동일한 형상의 시트 접착제를 경화하는 것이 바람직하다. 경화 후, 시트 접착제는 기계적 힘이 제거된 후 동일한 형상으로 복귀한다. 더 큰 접촉 영역 제어는 부착된 부분들 간의 열 및/또는 전기 전도성을 증가시킨다. 또한, 경화된 시트 접착제는 경화된 겔 탄성중합체의 탄성에 대하여 필러 입자들의 높은 체적체서 비교할 만한 탄성을 유지하고 경화된 액체 및 페이스트 탄성중합체보다 필러 입자들의 높은 볼륨에서 더 큰 탄성을 유지한다. 탄성중합체 시트 접착제의 필러 입자들의 높은 체적을 이용함으로써, 결합 강도 또는 탄성을 희생시키지 않고 탄성중합체 접착제의 주어진 체적에 대하여 들러붙은 부분들 간에 더 큰 열 및/또는 전기 전도성이 달성될 수 있다.
바람직하게, 모재 형상이 메이팅 어셈블리의 캡티베이팅 캐비티 (48) 로 설치된다. 설치는 수동적으로, 설치 도구를 이용하여 수동으로, 또는 자동화된 기계를 이용하여 이러한 방법들에 의해 수행될 수 있다. 접착제 시트는 제한된 또는 제한되지 않은 작업 시간을 갖고 이후 경화하기 편리할 때 열 경화하도록 포뮬레이팅될 수 있다.
도 4a 및 도 4b에 도시된 바와 같이, 백킹 부재 (34) 가 내부 전극 (24) 에 연결되어 내부 전극 (24) 의 제 1 가스 통로 (32) 및 백킹 부재 (34) 의 제 2 가스 통로 (44) 가 유체 소통한다. 접착력을 향상시키기 위해서, 프라이머 (46) 는 또한 내부 전극 부재 (24) 의 상부 표면 (28) 에 도포된 것과 동일한 미리결정된 패턴으로 백킹 부재 (34) 의 하부 표면 (38) 에 도포될 수 있다. 대안적인 실시형태에서, 백킹 부재 (34) 또는 내부 전극 부재 (24) 는 원하는 가스 분배 패턴으로 하나 이상의 가스 공급을 분배하기 위한 플리넘을 포함할 수도 있다. 다른 실시형태에서, 가스 통로 (32) 는 하나 이상의 가스 통로 (44) 로 유체 소통할 수 있다.
바람직한 실시형태에서, 시트 접착제는, 결합되지 않은 영역 내의 사이의 갭이 51 내지 381 ㎛ (0.002 내지 0.015 in) 이도록 전극 (28) 의 상부 표면을 백킹 플레이트 (38) 의 하부 표면에 접착한다. 예를 들어, 백킹 플레이트 하부 표면 및/또는 전극 상부 표면 상의 리세스 (48) 의 깊이는 102 내지 508 ㎛ (0.004 내지 0.020 in) 가 바람직하고, 예를 들어, 100 내지 200 ㎛ 또는 200 내지 500 ㎛이다. 더욱 바람직하게, 리세스 (48) 는 178 ㎛ (0.007 in) 깊이이다. 그러나, 백킹 플레이트 하부 표면 및 전극 상부 표면은 리세스 없는 시트 접착제에 의해 접착될 수 있다. 또한 바람직하게, 시트 접착제는 백킹 플레이트 하부 표면을 전극 상부 표면과 평행하게 +/-25 ㎛ (0.001 in) 미만으로 변하는 2개의 메이팅 표면들 사이의 거리로 접착시킨다.
백킹 플레이트 (34) 는, 예를 들어, 그 전체가 참조문헌에 의해 본원에 포함되는 공동소유의 미국 특허 출원 공개공보 제 2007/0068629 호에 설명된 적절한 패스너 부재에 의해 열 제어 플레이트 (16) 에 접착된다. 백킹 부재 (34) 는 백킹 부재 (34) 를 열 제어 플레이트 (16) 에 접착시키는 패스너 부재 (42) 를 수용하도록 구성된 복수의 홀들 (40) 을 포함한다.
실시예
시트 접착제의 무제한 실시예는 상술된 바와 같이 포뮬레이트, 열 경화 및 테스트된다. 테스트 견본은 메이팅 표면들 간의 결합에서 시트 접착제의 성능을 시뮬레이트하기 위해서 시트 접착제로 이루어져 있지만, 전극들과 백킹 부재들 간의 실제 결합의 결과는 본원에 나타내지 않았음을 주목한다. 전단 테스트는 실온 및 상술된 온도, 예를 들어 180 ℃에서 수행되었다. 상승된 온도 피로 테스트는 예를 들어 180 ℃에서 수행되었다. 도 14는 실온에서 실시예 1 시트 접착제의 전단 테스트 결과를 나타낸다. 실시예 1은 300 % 전단 변형 및 높은 전단 변형에서의 낮은 전단 응력에 대한 근사한 직선의 응력-변형 커브를 나타낸다. 이러한 부드러운 시트 접착제 이루어진 결합은 결합된 전극 및 백킹 플레이트의 다이아프래밍 없이 힘을 연결함으로써 높은 전단 변형을 수용하는데 적합할 수 있다.
도 15 및 도 17은 180 ℃에서의 실시예 2 시트 접착제의 전단 테스트 결과를 도시한다. 실시예 2는 180 ℃에서의 300 % 전단 변형 및 높은 변형에서의 낮은 강도를 대한 근사한 직선의 응력-변형 커브를 나타낸다. 이러한 부드러운 시트 접착제 결합은 결합된 전극 및 백킹 플레이트의 다이아프래밍 없이 높은 전단 변경을 수용하는데 적합할 수 있다.
도 16은 180 ℃에서의 실시예 3 시트 접착제의 피로 테스트 결과를 도시한다. 피로 테스트는 36,000 사이클 보다 더 많이 구성되었다 (약 35,000 사이클이 도시됨). 시트 접착제의 견본만을 테스트하였지만, 각각의 사이클은 열 사이클을 시뮬레이트하며 백킹 플레이트는 백킹 플레이트와 전극의 재료의 상이한 열 팽창 계수로 인해 플라즈마 처리 동안 전극과 상이한 양으로 팽창한다. 도 17은 36,000 사이클이 넘는 피로 테스트 이후 180 ℃에서의 실시예 3 시트 접착제의 전단 테스트 결과를 도시한다. 실시예 3은 180 ℃에서의 300 % 전단 변형을 넘고 높은 변형에서의 낮은 강도에 관한 근사한 직선의 응력-변형 커브를 나타낸다. 예를 들어, 실시예 3은 약 0 % 내지 약 450 % 전단 변형의 범위의 근사한 직선의 응력-변형 커브를 나타낸다. 이러한 부드러운 시트 접착제 결합은 36,000이 넘는 온도 사이클 이후에도 결합된 전극 및 백킹 플레이트의 다이아프래밍 없이 높은 전단 변형을 수용하는데 적합할 수 있다.
본 발명은 구체적인 실시형태를 참고로 하여 상세하게 설명하였지만, 당업자는 다양한 변경 및 수정이 이루어질 수 있고, 첨부된 청구범위로부터 벗어남 없이 등가물이 이용될 수 있음을 이해할 것이다.

Claims (25)

  1. 플라즈마 처리 장치에서 플라즈마를 생성하기 위한 복합 샤워헤드 전극 어셈블리로서,
    제 1 가스 통로를 사이에 갖는 상부 표면과 하부 표면을 포함하는 백킹 부재로서, 상기 하부 표면은 결합된 영역과 결합되지 않은 영역을 갖고, 상기 제 1 가스 통로는 처리 가스를 상기 플라즈마 처리 장치의 내부로 공급하기 위해 결합되지 않은 영역에서 출구를 갖는, 상기 백킹 부재;
    상부 표면, 플라즈마 노출된 하부 표면, 및 상기 상부 표면과 상기 하부 표면 사이로 연장되어 상기 제 1 가스 통로와 유체 소통하는 제 2 가스 통로를 갖는 전극 플레이트로서, 상기 제 2 가스 통로는 상기 전극 플레이트의 상기 상부 표면의 결합되지 않은 영역에서 입구를 갖는, 상기 전극 플레이트; 및
    상기 전극 플레이트 및 상기 백킹 부재의 열 팽창 계수들의 불일치로 인하여 온도 사이클링 동안 상기 백킹 부재에 대하여 상기 전극 플레이트의 측면 방향으로의 움직임을 허용하는 상기 결합된 영역 각각에서 메이팅 표면들 사이에 배치된 탄성중합체 시트 접착제 조인트를 포함하는, 복합 샤워헤드 전극 어셈블리.
  2. 제 1 항에 있어서,
    상기 백킹 부재는 내부 백킹 플레이트 및 외부 백킹 링을 포함하고, 상기 외부 백킹 링은 상기 내부 백킹 플레이트를 둘러싸고, 상기 제 1 가스 통로는 상기 내부 백킹 플레이트에 있고 선택적으로 상기 외부 백킹 링에 있으며,
    상기 전극 플레이트는 상기 내부 백킹 플레이트에 결합된 내부 샤워헤드 전극 및 상기 외부 백킹 링에 결합된 외부 링 전극을 포함하고, 상기 제 2 가스 통로는 상기 내부 샤워헤드 전극에 있고 선택적으로 상기 외부 링 전극에 있는, 복합 샤워헤드 전극 어셈블리.
  3. 제 2 항에 있어서,
    (a) 상기 백킹 부재의 메이팅 표면은 상기 샤워헤드 전극의 메이팅 표면과 평행하고/하거나 (b) 상기 전극 플레이트는 단결정 실리콘, 다결정 실리콘, 흑연 또는 실리콘 카바이드이고; 상기 백킹 부재는 알루미늄, 흑연, 또는 실리콘 카바이드인, 복합 샤워헤드 전극 어셈블리.
  4. 제 1 항에 있어서,
    상기 탄성중합체 시트 접착제 조인트는 열 전도성 실리콘 접착제 시트를 포함하는, 복합 샤워헤드 전극 어셈블리.
  5. 제 4 항에 있어서,
    상기 탄성중합체 시트 접착제 조인트는 실온 내지 300 ℃의 온도 범위에서 20 내지 340 psi의 전단 응력으로부터 적어도 200 % 전단 변형에 대하여 상기 측면 방향으로 탄력적으로 변형가능한, 복합 샤워헤드 전극 어셈블리.
  6. 제 5 항에 있어서,
    상기 탄성중합체 시트 접착제 조인트는 20 내지 80 psi의 전단 응력으로부터 적어도 300 % 전단 변형에 대하여 상기 측면 방향으로 탄력적으로 변형가능한, 복합 샤워헤드 전극 어셈블리.
  7. 제 5 항에 있어서,
    상기 전극 플레이트는 적어도 200 mm의 직경을 가진 단결정 실리콘의 디스크이고 상기 탄성중합체 시트 접착제 조인트는 실온부터 250 ℃까지 상기 복합 샤워헤드 전극 어셈블리를 가열하는 5000 회의 온도 사이클 이후 실온 내지 300 ℃의 온도 범위에서 20 내지 340 psi의 전단 응력으로부터 적어도 200 % 전단 변형에 대하여 상기 측면 방향으로 탄력적으로 변형가능한, 복합 샤워헤드 전극 어셈블리.
  8. 제 4 항에 있어서,
    상기 열 전도성 실리콘 접착제 시트는 상이한 물리적 특성을 갖는 2 이상의 적층식 층을 포함하고/하거나 상기 열 전도성 실리콘 접착제 시트는 상이한 물리적 특성을 갖는 2 이상의 동일 평면 부분을 포함하고,
    상기 물리적 특성은 열 전도성, 탄성, 장력 및 전단 강도, 두께, 열 팽창 계수, 내화학성, 입자 부식, 및 서비스 온도 범위 중 적어도 어느 하나인, 복합 샤워헤드 전극 어셈블리.
  9. 제 4 항에 있어서,
    상기 열 전도성 실리콘 접착제 시트의 적어도 일 부분은 0.5 W/mK 내지 0.8 W/mK 의 열 전도성을 갖고, 상기 열 전도성 실리콘 접착제 시트의 적어도 일 부분은 0.8 W/mK 내지 1 W/mK 의 열 전도성을 갖고/갖거나 상기 열 전도성 실리콘 접착제 시트의 적어도 일 부분은 1 W/mK 보다 큰 열 전도성을 갖는, 복합 샤워헤드 전극 어셈블리.
  10. 제 4 항에 있어서,
    (a) 상기 탄성중합체 시트 접착제 조인트는 상기 백킹 부재의 메이팅 표면들 중 하나 이상, 또는 전극의 배면측의 메이팅 표면들 중 하나 이상, 또는 상기 백킹 부재 및 전극의 배면측 모두의 메이팅 표면들 중 하나 이상에 프라이머를 더 포함하고; 또는 (b) 상기 열 전도성 실리콘 접착제 시트는 열 전도성 필러의 균일한 분포를 포함하는, 복합 샤워헤드 전극 어셈블리.
  11. 제 10 항에 있어서,
    (a) 상기 열 전도성 필러는 질화 붕소 (BN), 산화 알루미늄 (Al2O3), 실리콘, 실리콘 카바이드, 및 그 조합 중 하나이고/이거나 (b) 상기 열 전도성 실리콘 접착제 시트는 (ⅰ) 고 분자량 디메틸 실리콘 및 열 전도성 필러, (ⅱ) 섬유유리 스크린 (스크림) 주위로 매트릭스된 열 전도성 필러 및 고 분자량 디메틸 실리콘, (ⅲ) 금속 스크린 주위로 매트릭스된 열 전도성 필러 및 고 분자량 디메틸 실리콘 또는 (ⅳ) 유리 마이크로비드, 또는 나노비드와 혼합된 열 전도성 필러 및 고 분자량 디메틸 실리콘인, 복합 샤워헤드 전극 어셈블리.
  12. 제 1 항에 있어서,
    상기 메이팅 표면들 사이의 갭 거리는 ± 25 ㎛ (0.001 in) 보다 작게 변하는, 복합 샤워헤드 전극 어셈블리.
  13. 제 1 항에 있어서,
    (a) 상기 탄성중합체 시트 접착제 조인트는 모재 형상으로 캐스트되거나 감아지는 탄성중합체 시트 접착제를 포함하고; (b) 상기 탄성중합체 시트 접착제 조인트는 다이 컷 모재 형상의 탄성중합체 시트 접착제를 포함하고; (c) 상기 탄성중합체 시트 접착제 조인트는 탄성중합체 시트 접착제 레이저 컷, 플롯터 컷 및 워터 젯 컷 모재 형상 중 적어도 어느 하나를 포함하고; 또는 (d) 상기 메이팅 표면들 중 하나는 캐비티를 포함하는, 복합 샤워헤드 전극 어셈블리.
  14. 제 13 항에 있어서,
    (a) 상기 캐비티의 깊이는 100 내지 200 ㎛ 의 범위에 있고; (b) 상기 캐비티의 깊이는 200 내지 500 ㎛ 의 범위에 있고; (c) 상기 캐비티는 상기 시트 접착제의 치수와 일치하도록 사이즈된 엘리베이션 조그를 포함하고; (d) 상기 시트 접착제는 상기 전극 플레이트의 상기 상부 표면을 50 내지 400 ㎛의 사이의 간격으로 상기 백킹 부재의 상기 하부 표면에 결합하고; (e) 상기 탄성 중합체 시트 접착제 조인트는 단일 시트 형태인 실리콘 접착제 시트를 포함하고; (f) 상기 탄성 중합체 시트 접착제 조인트는 하나 이상의 플랫 링, 엘리베이션 조그를 갖는 플랫 링, 원통, 플랫 또는 주상 다각형, 블록 또는 그 조합 형태인 실리콘 접착제 시트를 포함하고; 또는 (g) 상기 탄성중합체 시트 접착제 조인트는 열 경화성 접착제를 포함하는, 복합 샤워헤드 전극 어셈블리.
  15. 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법으로서,
    미리결정된 패턴의 경화되지 않은 탄성중합체 접착제의 시트의 제 1 표면을 결합되지 않고 남아있는 영역을 제외한 결합 영역의 미리결정된 패턴의 백킹 부재의 하부 표면에 적용하는 단계로서, 상기 백킹 부재는 상부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에 연장된 복수의 제 1 가스 통로를 갖고 그리고 결합되지 않은 영역에서 출구를 갖는, 상기 탄성중합체 접착제의 시트의 제 1 표면을 적용하는 단계;
    전극의 상부 표면을 결합 영역의 미리결정된 패턴의 경화되지 않은 상기 탄성중합체 접착제의 시트의 제 2 표면에 적용하는 단계로서, 상기 전극은 플라즈마 노출된 하부 표면, 및 상기 전극의 상기 상부 표면과 하부 표면 사이로 연장되는 복수의 제 2 가스 통로를 갖고, 상기 제 2 가스 통로는 상기 전극의 상기 상부 표면의 결합되지 않은 영역에서 입구를 갖는, 상기 전극의 상부 표면을 적용하는 단계; 및
    상기 탄성중합체 접착제의 시트가 개재되도록 상기 전극의 상기 상부 표면을 상기 백킹 부재의 상기 하부 표면에 결합하는 단계를 포함하고,
    상기 제 2 가스 통로는 상기 제 1 가스 통로와 유체 소통하고,
    상기 탄성중합체 접착제의 시트는 상기 전극 및 상기 백킹 부재의 열 팽창 계수들의 불일치로 인하여 온도 사이클링 동안 상기 백킹 부재에 대하여 상기 전극의 측면 방향으로의 움직임을 허용하는, 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법.
  16. 제 15 항에 있어서,
    상기 백킹 부재의 상기 하부 표면에 미리결정된 패턴으로 프라이머를 적용하는 단계; 및
    상기 전극의 상기 상부 표면에 미리결정된 패턴으로 프라이머를 적용하는 단계를 더 포함하는, 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법.
  17. 제 16 항에 있어서,
    상기 전극의 상기 상부 표면에 프라이머를 적용하는 단계는,
    상기 전극을 전극의 중심점에 대하여 회전시키고 상기 중심점에 대한 복수의 방사상 위치들에서 상기 회전하는 전극에 디스펜서의 출구를 접촉시킴으로써 상기 프라이머의 원형 구역을 상기 디스펜서를 이용하여 증착하는 단계; 또는
    상기 상부 표면을 미리결정된 패턴의 개구를 갖는 마스크로 커버하고 상기 상부 표면의 마스크되지 않은 영역 상에 상기 프라이머를 코팅하는 단계를 포함하는, 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법.
  18. 제 17 항에 있어서,
    상기 마스크의 미리결정된 패턴은 복수의 반원 구역인, 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법.
  19. 제 16 항에 있어서,
    상기 백킹 부재의 상기 하부 표면에 프라이머를 적용하는 단계는,
    상기 백킹 부재를 백킹 부재의 중심점에 대하여 회전시키고 상기 중심점에 대한 복수의 방사상 위치들에서 상기 회전하는 백킹 부재에 디스펜서의 출구를 접촉시킴으로써 상기 프라이머의 원형 구역을 상기 디스펜서를 이용하여 증착하는 단계; 또는
    상기 하부 표면을 미리결정된 패턴의 개구를 갖는 마스크로 커버하고 상기 하부 표면의 마스크되지 않은 영역 상에 상기 프라이머를 코팅하는 단계를 포함하는, 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법.
  20. 제 15 항에 있어서,
    (a) 상기 탄성중합체 접착제의 시트의 제 1 표면을 적용하는 단계는, 기계식 컷팅, 다이-컷팅, 레이저 컷팅, 워터 젯 컷팅, 플라즈마 컷팅, 플롯터 컷팅 및 그 조합 중 하나를 이용하여 상기 탄성중합체 접착제의 시트의 결합 재료를 미리결정된 패턴으로 프리컷팅하는 단계를 포함하고;
    (b) 상기 전극의 상기 상부 표면 및 상기 백킹 부재의 상기 하부 표면 중 적어도 어느 하나는 상기 미리결정된 패턴의 적어도 일부에 걸친 채널을 포함하고;
    (c) 상기 탄성중합체 접착제의 시트는, 채워지고 경화되지 않은 탄성중합체 실리콘 시트이고; 또는
    (d) 상기 채워지고 경화되지 않은 탄성중합체 실리콘 시트는 알루미늄, 산화 알루미늄, 실리콘, 실리콘 카바이드, 질화 붕소 또는 그 합금의 열 전도성 입자로 채워지는, 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법.
  21. 제 15 항에 있어서,
    (a) 상기 결합 단계는 상기 전극의 상기 상부 표면 및 상기 백킹 부재의 상기 하부 표면을 함께, 압축 하에서, 고정 하중 하에서, 또는 선택적으로 진공 백 내의 대기압에 의해 압력을 가함으로써 시트 접착제를 시팅 (seating) 하는 단계를 더 포함하고, 상기 탄성중합체 접착제의 시트는 열 경화성이고;
    (b) 상기 고정 하중 또는 선택적인 진공 백 내의 대기압이 제거되는 경우, 시팅 이후 상기 결합 재료를 경화하기 위해 상기 복합 샤워헤드 전극 어셈블리를 가열하고;
    (c) 상기 전극은 실리콘, 흑연 또는 실리콘 카바이드이고; 그리고 상기 백킹 부재는 알루미늄, 흑연 또는 실리콘 카바이드이고;
    (d) 상기 탄성중합체 접착제의 시트의 제 1 표면을 적용하는 단계는, 상기 제 1 표면을 상기 백킹 부재의 상기 하부 표면에 적용하기 전에 상기 제 1 표면으로부터 전달 시트를 제거하는 단계를 포함하고;
    (e) 상기 전극의 상기 상부 표면을 적용하는 단계는, 상기 전극의 상기 상부 표면을 상기 탄성중합체 접착제의 시트의 제 2 표면에 적용하기 전에 상기 탄성중합체 접착제의 시트의 상기 제 2 표면으로부터 전달 시트를 제거하는 단계를 포함하고;
    (f) 상기 탄성중합체 접착제의 시트의 상기 제 1 표면을 적용하는 단계는 상기 제 1 표면을 상기 백킹 부재의 상기 하부 표면에 적용한 후 진공을 인가하여 그 사이의 갭을 제거하는 단계를 포함하고;
    (g) 상기 전극의 상기 상부 표면을 적용하는 단계는 상기 전극의 상기 상부 표면을 상기 탄성중합체 접착제의 시트의 상기 제 2 표면에 적용한 후 진공을 인가하여 그 사이의 갭을 제거하는 단계를 포함하고; 또는
    (h) 상기 전극은 내부 샤워헤드 전극 및 외부 링 전극을 포함하고, 상기 백킹 부재는 내부 백킹 플레이트 및 외부 백킹 링을 포함하는, 복합 샤워헤드 전극 어셈블리를 위한 컴포넌트들을 연결하는 방법.
  22. 플라즈마 처리 장치에서 반도체 기판을 처리하는 방법으로서,
    플라즈마 처리 장치의 반응 챔버 내의 기판 지지체 상에 기판을 위치시키는 단계;
    처리 가스를 제 1 항에 기재된 복합 샤워헤드 전극 어셈블리를 이용하여 상기 반응 챔버로 도입시키는 단계;
    상기 복합 샤워헤드 전극 어셈블리와 상기 기판 사이의 상기 반응 챔버에서 상기 처리 가스로부터 플라즈마를 생성하는 단계; 및
    상기 플라즈마를 이용하여 상기 기판을 처리하는 단계를 포함하는, 플라즈마 처리 장치에서 반도체 기판을 처리하는 방법.
  23. 제 22 항에 있어서,
    상기 처리 단계는 상기 기판을 에칭하는 단계를 포함하는, 플라즈마 처리 장치에서 반도체 기판을 처리하는 방법.
  24. 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리로서,
    결합되지 않고 남아있는 영역을 제외하고 결합될 영역을 가진 하부 표면, 및 백킹 부재의 상기 하부 표면과 상부 표면 사이에 연장된 복수의 제 1 가스 통로를 갖는 백킹 부재로서, 상기 제 1 가스 통로는 처리 가스를 플라즈마 처리 장치의 내부로 공급하기 위해 결합되지 않고 남아있는 영역에서 출구를 갖는, 상기 백킹 부재;
    상기 플라즈마 처리 장치에서 플라즈마를 생성하기 위한 전극으로서, 상기 전극은 결합될 영역을 가진 상부 표면, 및 상기 제 1 가스 통로와 유체 소통하는 복수의 제 2 가스 통로를 갖고, 상기 제 2 가스 통로는 결합되지 않고 남아있는 영역에서 입구를 갖고, 상기 입구는 상기 상부 표면으로부터 상기 전극의 플라즈마-노출된 하부 표면으로 상기 전극을 통해 연장되는, 상기 전극; 및
    상기 백킹 부재와 전극의 열 팽창 계수의 불일치로 인해 온도 사이클링 동안 상기 백킹 부재에 대하여 상기 전극의 측면 방향으로의 움직임을 허용하기 위해서 결합될 영역 각각의 메이팅 표면들 사이의 조인트에서 경화되는, 경화되지 않은 탄성중합체 시트 접착제를 포함하고,
    상기 경화되지 않은 탄성중합체 시트 접착제는, 채워진 열 경화성 미가황 탄성중합체 실리콘 시트인, 복합 샤워헤드 전극 어셈블리.
  25. 제 24 항에 있어서,
    상기 경화되지 않은 탄성중합체 시트 접착제는 열 활성 컴포넌트로서 퍼옥사이드와 포뮬레이트되어 (formulated) 가교 반응을 수행하고, 상기 탄성중합체 시트 접착제의 체적 수축은 경화 동안 3-5 % 이거나, 또는 경화 동안 3 % 미만인, 복합 샤워헤드 전극 어셈블리.
KR1020107013636A 2007-12-19 2008-12-17 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리 KR101553422B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US815207P 2007-12-19 2007-12-19
US61/008,152 2007-12-19

Publications (2)

Publication Number Publication Date
KR20100095451A KR20100095451A (ko) 2010-08-30
KR101553422B1 true KR101553422B1 (ko) 2015-09-15

Family

ID=40789176

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107013636A KR101553422B1 (ko) 2007-12-19 2008-12-17 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리

Country Status (8)

Country Link
US (2) US8418649B2 (ko)
JP (1) JP5265700B2 (ko)
KR (1) KR101553422B1 (ko)
CN (1) CN101903979B (ko)
MY (1) MY166000A (ko)
SG (2) SG187386A1 (ko)
TW (1) TWI507093B (ko)
WO (1) WO2009085163A1 (ko)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
TWI484576B (zh) 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWI511619B (zh) * 2009-07-03 2015-12-01 Advanced Micro Fab Equip Inc Electrode elements for plasma processing, internal components and methods for their manufacture and separation
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110120651A1 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Showerhead assembly with improved impact protection
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
JP5762798B2 (ja) * 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
US9129795B2 (en) * 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US20140272341A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
TW201517112A (zh) * 2013-10-09 2015-05-01 Applied Materials Inc 具有同軸和方位角對稱和具有一致中央觸發的多區中空陰極放電系統
JP6215002B2 (ja) * 2013-10-25 2017-10-18 東京エレクトロン株式会社 フォーカスリングの製造方法及びプラズマ処理装置の製造方法
KR102342846B1 (ko) * 2014-04-28 2021-12-27 삼성디스플레이 주식회사 플렉서블 표시장치
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
JP6805032B2 (ja) * 2017-03-09 2020-12-23 日本特殊陶業株式会社 保持装置の製造方法
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
CN109848897A (zh) * 2019-03-18 2019-06-07 深圳市优界科技有限公司 一种带加热功能的真空吸盘
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
CN114258436A (zh) 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
CN112885691A (zh) * 2019-11-29 2021-06-01 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法
KR20210152072A (ko) * 2020-06-05 2021-12-15 삼성디스플레이 주식회사 기상 젯 증착 장치 및 기상 젯 노즐 유닛의 제조 방법
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6881608B2 (en) 1999-12-22 2005-04-19 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US20050178748A1 (en) 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
DE3122641A1 (de) * 1981-06-06 1982-12-23 Herberts Gmbh, 5600 Wuppertal Kathodisch abscheidbares waessriges elektrotauchlack-ueberzugsmittel
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
DE69407137T2 (de) * 1993-10-06 1998-04-09 Dow Corning Toray Silicone Mit Silber gefüllte, elektrisch leitfähige Organosiloxan-Zusammensetzungen
US5801915A (en) * 1994-01-31 1998-09-01 Applied Materials, Inc. Electrostatic chuck having a unidirectionally conducting coupler layer
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
KR0183823B1 (ko) 1996-02-22 1999-04-15 김광호 웨이퍼 로딩용 스테이지를 갖춘 반도체 제조 장치
JP3789586B2 (ja) * 1996-03-04 2006-06-28 信越化学工業株式会社 静電チャック
US6071630A (en) * 1996-03-04 2000-06-06 Shin-Etsu Chemical Co., Ltd. Electrostatic chuck
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
JP3454333B2 (ja) * 1996-04-22 2003-10-06 日清紡績株式会社 プラズマエッチング電極
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5744199A (en) * 1996-10-31 1998-04-28 Dow Corning Corporation Method of sealing openings in structural components of buildings for controlling the passage of smoke
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
WO2000004085A1 (en) * 1998-07-15 2000-01-27 Thermon Manufacturing Company Thermally-conductive, electrically non-conductive heat transfer material and articles made thereof
US6256187B1 (en) * 1998-08-03 2001-07-03 Tomoegawa Paper Co., Ltd. Electrostatic chuck device
US6279402B1 (en) * 1998-08-10 2001-08-28 Applied Materials, Inc. Device for measuring pressure in a chamber
JP3725430B2 (ja) * 1999-04-06 2005-12-14 東京エレクトロン株式会社 電極およびプラズマ処理装置
JP4301468B2 (ja) * 1999-07-07 2009-07-22 信越化学工業株式会社 耐熱熱伝導性シリコーンゴム複合シート及びその製造方法
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6490144B1 (en) * 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
JP2001226656A (ja) 2000-02-16 2001-08-21 Tomoegawa Paper Co Ltd 半導体製造装置またはエッチング装置用接着剤、該装置用接着シート及びそれらを用いた構造部品
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
JP2002093777A (ja) * 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
US6753498B2 (en) * 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6733594B2 (en) * 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
JP4311600B2 (ja) * 2001-01-30 2009-08-12 日本碍子株式会社 静電チャック用接合構造体及びその製造方法
KR100372251B1 (ko) * 2001-02-09 2003-02-15 삼성전자주식회사 반도체 설비용 가스 분배장치
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6781060B2 (en) * 2002-07-26 2004-08-24 X-Ray Optical Systems Incorporated Electrical connector, a cable sleeve, and a method for fabricating an electrical connection
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4034096B2 (ja) * 2002-03-19 2008-01-16 日本碍子株式会社 半導体支持装置
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
DE60333088D1 (de) * 2002-04-17 2010-08-05 Lam Res Corp Verfahren zur herstellung einer silizium-elektrode für plasma-reaktionskammer
KR101075046B1 (ko) * 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
JP2004122621A (ja) * 2002-10-03 2004-04-22 Daicel Chem Ind Ltd 表面保護フィルム及びその製造方法
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US6882537B2 (en) * 2002-12-23 2005-04-19 Eastman Kodak Company Electrical assemblage and method for removing heat locally generated therefrom
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050051098A1 (en) 2003-09-05 2005-03-10 Tooru Aramaki Plasma processing apparatus
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7470343B2 (en) * 2004-12-30 2008-12-30 Neenah Paper, Inc. Heat transfer masking sheet materials and methods of use thereof
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体
US7644745B2 (en) * 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7651585B2 (en) 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
JP4970767B2 (ja) * 2005-10-26 2012-07-11 リンテック株式会社 導電接合シート用の絶縁シート、導電接合シート、導電接合シートの製造方法および電子複合部品の製造方法
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5361457B2 (ja) * 2009-03-06 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
JP5683822B2 (ja) * 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
GB2471330B (en) * 2009-06-26 2012-01-04 Swelltec Ltd Improvements to swellable apparatus and materials therefor
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5513104B2 (ja) * 2009-12-28 2014-06-04 東京エレクトロン株式会社 プラズマ処理装置
JP5674328B2 (ja) * 2010-03-16 2015-02-25 東京エレクトロン株式会社 電極及びプラズマ処理装置
JP5592129B2 (ja) * 2010-03-16 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881608B2 (en) 1999-12-22 2005-04-19 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US20050178748A1 (en) 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor

Also Published As

Publication number Publication date
TWI507093B (zh) 2015-11-01
CN101903979B (zh) 2012-02-01
US20090163034A1 (en) 2009-06-25
WO2009085163A1 (en) 2009-07-09
US20130244441A1 (en) 2013-09-19
MY166000A (en) 2018-05-21
SG187386A1 (en) 2013-02-28
JP5265700B2 (ja) 2013-08-14
US8701268B2 (en) 2014-04-22
TW200944067A (en) 2009-10-16
US8418649B2 (en) 2013-04-16
SG10201407723PA (en) 2014-12-30
KR20100095451A (ko) 2010-08-30
CN101903979A (zh) 2010-12-01
JP2011508422A (ja) 2011-03-10

Similar Documents

Publication Publication Date Title
KR101553422B1 (ko) 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5567494B2 (ja) 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
EP2316253B1 (en) A composite showerhead electrode assembly for a plasma processing apparatus
KR100329974B1 (ko) 플라즈마 공정을 위한 전극 및 이의 제조 방법과 사용 방법
US8542474B2 (en) Electrostatic chuck
US8848335B2 (en) Electrostatic chuck
WO2011118658A1 (ja) 静電チャック
CN102610477A (zh) 用于半导体真空处理装置的薄膜粘合剂
CN103201823A (zh) 用于连接腔室部件的附着材料

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180824

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190829

Year of fee payment: 5