JP5592129B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP5592129B2
JP5592129B2 JP2010059486A JP2010059486A JP5592129B2 JP 5592129 B2 JP5592129 B2 JP 5592129B2 JP 2010059486 A JP2010059486 A JP 2010059486A JP 2010059486 A JP2010059486 A JP 2010059486A JP 5592129 B2 JP5592129 B2 JP 5592129B2
Authority
JP
Japan
Prior art keywords
base material
plasma
processing apparatus
plasma processing
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010059486A
Other languages
English (en)
Other versions
JP2011192912A (ja
Inventor
大輔 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010059486A priority Critical patent/JP5592129B2/ja
Priority to US13/046,925 priority patent/US8968513B2/en
Priority to KR1020110022979A priority patent/KR101741062B1/ko
Publication of JP2011192912A publication Critical patent/JP2011192912A/ja
Application granted granted Critical
Publication of JP5592129B2 publication Critical patent/JP5592129B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、プラズマ処理装置に用いられる電極及び被駆動体を用いて高周波の電界強度分布を制御するプラズマ処理装置に関する。
近年の微細化の要請に伴い、比較的高い周波数の電力を供給し、高密度プラズマを生成することが不可欠になってきている。図10に示したように、高周波電源150から供給される電力の周波数が高くなると、表皮効果により高周波電流は、下部電極110の表面を伝搬して下部電極110の上部表面を端部から中央部に向けて伝搬する。これによれば、下部電極110の中心側の電界強度は下部電極110の端部側の電界強度より高くなり、下部電極110の中心側では端部側よりガスの電離や解離が促進される。この結果、下部電極110の中心側のプラズマの電子密度は、端部側のプラズマの電子密度より高くなる。プラズマの電子密度が高い下部電極110の中心側ではプラズマの抵抗率が低くなるため、対向する上部電極105においても上部電極105の中心側に高周波による電流が集中し、さらにプラズマ密度が不均一になる。
これに対して、プラズマの均一性を高めるために、電極本体の導電体の下部中心に矩形状のフラットな誘電体を埋設することが提案されている(たとえば、特許文献1を参照)。これによれば、誘電体の作用により、誘電体の下方にて電界強度分布を低下させることができる。
更にプラズマの均一性を高めるために、電極本体の導電体に埋設する誘電体の形状をテーパ状にすることも提案されている(たとえば、特許文献2を参照)。特許文献2では誘電体がテーパ状であるため、誘電体がフラットな場合より誘電体の端部にて電界強度分布が低下しすぎない。これにより電界強度分布の均一性をさらに高めることができる。
特開2000−323456号公報 特開2005−228973号公報
しかしながら、導電体に誘電体を埋め込む場合、異種材の接合方法を駆使する必要がある。特に、導電体にテーパ状の誘電体を埋め込む場合、大がかりなテーパ構造を作り込んで作製する必要がある。例えば、導電体と誘電体とは接着剤やネジを用いて接合される。また、導電体はアルミニウム等の金属から形成され、誘電体はセラミックス等から形成されるため線熱膨張差が生じることを考慮して部材間の接合部分に適当な隙間を設けておく必要がある。ところが、誘電体がテーパ状であると機械加工が難しく、テーパ部分での寸法精度が悪くなる。よって、線熱膨張差により接合部分の一部に応力が集中しやすくなって接合面の接着剤が剥離し、チャンバ内の汚染源となる場合があった。
これに加えて、プラズマ処理の効果のプロファイルを変更したい場合にも、導電体に誘電体を埋め込む場合には大がかりな設計変更を余儀なくされ、たとえ部分的な変更によりプラズマ処理の効果を少し変えたい場合であっても、基本的な製作時の加工上の難易度は変わらないという問題を有していた。従って、以上の問題を解決するために完全な単一素材又は一体的に形成可能な素材によって電界強度分布を制御可能な電極を製作することが望まれていた。
さらに、このようにして単一素材を用いて完成した電極を、その構造に機械的加工を加えることなく、電界強度分布を可変に制御できれば、ガス種や圧力等のプロセス条件に応じて変化する電界強度分布に対しても柔軟に対応し、プラズマをより均一に生成することができる。
上記問題に対して、本発明の目的とするところは、均質素材の電極及び被駆動体を用いて高周波の電界強度分布を可変に制御することが可能な、新規かつ改良されたプラズマ処理装置を提供することにある。
上記課題を解決するために、本発明のある観点によれば、減圧可能な処理容器内に処理ガスを導入して高周波電力のパワーによりプラズマを生成し、前記プラズマによって被処理体に所望のプラズマ処理を施すプラズマ処理装置であって、複数の凹部が形成された、前記処理容器内に配設される上部電極を構成する誘電体の基材と、前記複数の凹部に出し入れ可能な複数の凸部材を含む被駆動体と、前記被駆動体を駆動することにより、前記複数の凹部に前記複数の凸部材を出し入れさせる駆動機構と、前記処理容器内に、前記上部電極に対向して配設され高周波電力が供給される下部電極と、を備えることを特徴とするプラズマ処理装置が提供される。
かかる構成によれば、真空空間は誘電率εが1の誘電層として見なされることを利用して、ベースとなる基材の誘電率εと、基材に形成された凹部内の真空空間の誘電率εとの差を作り出す。ここで、真空空間の誘電率εの1は、誘電物質の誘電率の中で最も低い。よって、凹部内の誘電率εは、基材の誘電率εより必ず小さくなる。これにより、凹部内のキャパシタンス(静電容量)は、基材のキャパシタンスより低くなる。これは、静電容量的にいえば、例えば、図4(a)の上部に示した凹部としての細孔Aの存在するエリアだけ、図4(a)の下部に突出部分105a1にて示したように基材の誘電体が厚くなったのと同等の効果を有する。
この原理を用いて、本発明では、電極の基材に複数の凹部を設けることにより、基材の複数の凹部の静電容量をそれ以外の基材部分の静電容量より低くする。これによって、基材の複数の凹部がそれ以外の基材の部分より厚くなったのと同様の効果、つまり、基材の凹部ではその他の部分より高周波を通り抜けにくくする効果を奏することができる。この結果、複数の凹部を基材の中央部に形成することにより、基材中央のプラズマ密度を低下させ、プラズマ生成に消費される高周波の電界強度分布を均一化することができる(以下、これを上部電極による均一化効果ともいう)。
さらに、本発明は、複数の凹部に出し入れ可能な複数の凸部材を含む被駆動体と、この被駆動体を駆動することにより複数の凹部に複数の凸部材を出し入れさせる駆動機構とを有する。これによれば、凸部材の素材が誘電体であれば、真空空間の誘電率εより必ず高い誘電率を有する。このため、静電容量的にいえば、凸部材を凹部に入れたときは、凸部材を凹部から出したときより図4(a)の下部に示した突出部分105a1の基材の誘電体の厚みは薄くなる。これは、複数の凹部に複数の凸部材を出し入れすることにより、電極の構造に機械的加工を加えることなく、高周波の通り抜けやすさや通り抜けにくさを示すインピーダンスを可変に制御できることを意味する。この結果、本発明によれば、凸部材の誘電率や凸部材の凹部への挿入の程度等により、プロセス条件に応じて変化する電界強度分布に対しても柔軟に対応でき、プラズマをより均一に生成することができる。
また、上記本発明の構成では基材と誘電体とを接合する必要がないため、特殊素材、特殊接合材等を必要とせず、平板である基材に対して上部電極による均一化効果が欲しいところだけに細孔を施工すればよく、製造が簡単かつ容易である。
前記複数の凹部は、複数の細孔又は複数の溝であってもよい。
前記複数の凸部材は、前記複数の細孔に出し入れ可能な複数の棒状部材又は前記複数の溝に出し入れ可能な複数の板状部材であってもよい。
前記複数の凸部材は、誘電部材又は金属部材から形成されていてもよい。
前記複数の凸部材が誘電部材から形成される場合、前記基材を構成する誘電体の誘電率は、前記複数の凸部材を構成する誘電部材の誘電率より大きくてもよい。
前記被駆動体は、前記基材の内周側から外周側に形成された複数の凹部に応じて前記内周側から外周側に設けられた複数の凸部材を、少なくとも前記内周側及び前記外周側の2系統以上に分けて多系統で駆動してもよい。
前記複数の凸部材のうち、前記基材の外周側に形成された凹部に出し入れされる凸部材ほど、前記基材の内周側に形成された凹部に出し入れされる凸部材よりも誘電率が高い部材又は金属部材の少なくともいずれかから形成されていてもよい。
前記複数の凹部は、前記処理容器と連通し、前記処理容器内を真空状態にすると、これに応じて真空状態となっていてもよい。
前記複数の凹部は、前記基材をプラズマ空間側に貫通しない範囲で深さを変えて形成され、前記複数の凸部材は、前記複数の凹部の深さにそれぞれ対応した長さに形成されていてもよい。
前記基材の外周側に形成された凹部の深さは、前記基材の内周側に形成された凹部の深さより浅く、前記基材の外周側に形成された凸部材の長さは、前記複数の凹部の深さに応じて前記基材の内周側に形成された凸部材より短くてもよい。
前記複数の凹部の深さは、前記複数の凹部が形成された全範囲において全体としてテーパ状に形成されていてもよい。
前記複数の細孔の直径は、シースの厚さの2倍以下の範囲で異なるサイズに形成されていてもよい。
前記基材の外周側に形成された細孔の直径は、前記基材の内周側に形成された細孔の直径より小さく、前記基材の外周側に形成された棒状部材の直径は、前記複数の細孔の直径に応じて前記基材の内周側に形成された棒状部材の直径より小さくてもよい。
また、上記課題を解決するために、本発明の別の観点によれば、内部にて被処理体をプラズマ処理する処理容器と、前記処理容器の内部にて互いに対向し、その間に処理空間を形成する第1及び第2の電極と、前記処理容器内に高周波電力を出力する高周波電源と、を備えるプラズマ処理装置であって、前記第1の電極には、複数の凹部が形成された誘電体の基材が設けられ、前記複数の凹部に出し入れ可能な複数の凸部材を含む被駆動体と、前記被駆動体を駆動することにより、前記複数の凹部に前記複数の凸部材を出し入れする駆動機構と、を備え、前記高周波電源は、前記第2の電極に接続され、前記第2の電極に高周波電力を供給することを特徴とするプラズマ処理装置が提供される。
前記第1の電極は、上部電極であり、前記上部電極には、複数のガス導入管が形成され、シャワーヘッドとして機能し、前記第2の電極は、下部電極であってもよい。
以上説明したように本発明によれば、均質素材の電極及び被駆動体を用いて高周波の電界強度分布を可変に制御することができる。
本発明の一実施形態に係るRIEプラズマエッチング装置の縦断面図である。 図2(a)は一般的な上部電極の縦断面図であり、図2(b)は同実施形態に係る電極の縦断面図である。 同実施形態に係る電極の基材の横断面図(図2(b)の1−1断面)である。 図4(a)は同実施形態に係る電極に設けられた細孔の作用を説明するための図であり、図4(b)は同実施形態に係る電極に設けられた金属棒の作用を説明するための図である。 同実施形態に係る細孔に誘電体棒の可変機構を出し入れしたときの効果を説明するための図である。 可変機構の棒状部材及び基材の物質の関係と静電容量的効果とを説明するための図である。 同実施形態に係る細孔に金属棒の可変機構を出し入れしたときの効果を説明するための図である。 可変機構が多系統から構成される場合を示した図である。 可変機構を駆動する駆動機構を示した図である。 一般的なプラズマ装置に印加される高周波の電流を説明するための図である。
以下に添付図面を参照しながら、本発明の一実施形態について詳細に説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。
まず、本発明の一実施形態に係る電極を用いたRIEプラズマエッチング装置(平行平板型プラズマ処理装置)について図1を参照しながら説明する。RIEプラズマエッチング装置10は、処理容器内にてプラズマを生成し、そのプラズマによって被処理体に所望のプラズマ処理を施すプラズマ処理装置の一例である。
RIEプラズマエッチング装置10は、減圧可能な処理容器100を有する。処理容器100は、小径の上部チャンバ100aと大径の下部チャンバ100bとから形成されている。処理容器100は、たとえばアルミニウム等の金属から形成され、接地されている。
処理容器100の内部では、上部電極105及び下部電極110が対向配設され、これにより、一対の平行平板電極が構成されている。ウエハWは、ゲートバルブVから処理容器100の内部に搬入され、下部電極110に載置される。処理容器内では、処理ガスを導入して高周波電力のパワーによりプラズマが生成される。下部電極110のウエハWは、そのプラズマによりエッチング処理される。
上部電極105は、上部基材105a及び上部基材105a直上のベースプレート105bを有している。上部基材105aは、石英(SiO)から形成されている。上部基材105aは、石英(SiO)に限られず、アルミナ(Al)、窒化珪素(Si)、窒化アルミニウム(AlN)、イットリア(Y)、テフロン(登録商標:ポリテトラフルオロエチレン)等の誘電体から形成されていてもよい。
ガスは、ガス供給源115から供給され、導電体のベースプレート105bと処理容器100とで形成される拡散空間にて拡散された後、図2(b)の上部電極105の縦断面図に示したように、複数のガス通路105dから上部基材105aに形成された複数のガス導入管105eに通され、複数のガス穴105cから処理容器内に導入される。このようにして、上部電極105は、上部基材105a及びベースプレート105bが一体となってシャワーヘッドとして機能するようになっている。なお、上部電極105は、ベースプレート105bを有さず、上部基材105aが処理容器100の天板に直接密着する構造でもよい。
上部基材105aの上部中央には、細孔Aが複数形成されている。複数の細孔Aの深さについては、上部基材105aの中央部に形成された細孔Aは同じ深さに掘られている。また、上部基材105aの周辺部に形成された細孔Aは、上部基材105aの中央部に形成された細孔Aより浅くなっている。これにより、複数の細孔Aは、全体としてテーパ状になるように形成されている。
図3は、本実施形態に係る上部電極105の基材105aの横断面(図2(b)の1−1断面)を示す。細孔Aは、上部基材105aの中央側に均等に配置されている。細孔Aの作用、効果については後述する。
細孔Aのパターンは、略正方形パターンに限られず、略正三角形や略円形等、面内均等性を有するパターンであればどのようなパターンであってもよい。また、上記細孔Aに替えて、リング状の溝を同心円状に1つ又は複数設けてもよい。
図1に戻って、RIEプラズマエッチング装置10の天井上部には被駆動体としての可変機構200が配設されている。可変機構200には、複数の細孔Aに出し入れ可能な複数の棒状部材Bがベース板205に固定され、全体として剣山状に形成されている。
ベース板205には、駆動機構としてのモータMが連結されている。モータMの動力で可変機構200を駆動することにより、複数の棒状部材Bが昇降し、これにより複数の細孔Aへの複数の棒状部材Bの出し入れが制御される。
下部電極110は、アルミニウム等の金属から形成された下部基材110aが絶縁層110bを介して支持台110cに支持されている。これにより、下部電極110は電気的に浮いた状態になっている。支持台110cの下方部分はカバー110dにて覆われている。支持台110cの下部外周には、バッフル板120が設けられていてガスの流れを制御する。
下部電極110には、冷媒室110a1が設けられていて、冷媒導入管110a2のイン側から導入された冷媒が、冷媒室110a1を循環し、冷媒導入管110a2のアウト側から排出される。これにより、下部電極110を所望の温度に制御する。
下部電極110直上の静電チャック機構125では、絶縁部材125aに金属シート部材125bが埋め込まれている。電極部125bには直流電源135が接続され、直流電源135から出力された直流電圧が電極部125bに印加されることにより、ウエハWは下部電極110に静電吸着される。静電チャック機構125の外周には、たとえばシリコンにて形成されたフォーカスリング130が設けられていて、プラズマの均一性を維持する役割を果たしている。
下部電極110は、第1の給電棒140を介して第1の整合器145及び第1の高周波電源150に接続されている。処理容器内のガスは、第1の高周波電源150から出力されたプラズマ励起用の高周波の電界エネルギーにより励起され、これにより生成された放電型のプラズマによってウエハWにエッチング処理が施される。本実施形態では、上部電極105が第1の電極、下部電極110が第2の電極として説明を続けるが、第1の電極は上部電極105であっても下部電極110であってもよく、同様に第2の電極も上部電極105であっても下部電極110であってもよい。
下部電極110はまた、第1の給電棒140から分岐した第2の給電棒155を介して第2の整合器160及び第2の高周波電源165に接続されている。第2の高周波電源165から出力された、たとえば3.2MHzの高周波はバイアス電圧として下部電極110へのイオンの引き込みに使われる。
処理容器100の底面には排気口170が設けられ、排気口170に接続された排気装置175を駆動することにより、処理容器100の内部を所望の真空状態に保つようになっている。
上部チャンバ100aの周囲には、マルチポールリング磁石180a、180bが配置されている。マルチポールリング磁石180a、180bは、複数の異方性セグメント柱状磁石がリング状の磁性体のケーシングに取り付けられていて、隣接する複数の異方性セグメント柱状磁石同士の磁極の向きが互いに逆向きになるように配置されている。これにより、磁力線が隣接するセグメント磁石間に形成され、上部電極105と下部電極110との間の処理空間の周辺部のみに磁場が形成され、処理空間にプラズマを閉じこめるように作用する。
次に、本実施形態に係るRIEプラズマエッチング装置10に取り付けられた電極構造についてさらに詳しく説明する。図2(a)は一般的な上部電極の縦断面図であり、図2(b)は前述のとおり本実施形態に係る上部電極105の縦断面図である。
(上部電極の細孔と高周波の電界強度分布の制御)
前述したように、図10に示した高周波電源150から供給される電力の周波数が高くなると、表皮効果により高周波の電流は、下部電極110の表面を伝搬して下部電極110の上部表面を端部から中央部に向けて伝搬し、下部電極110の中心側では端部側より電界強度が高くなり、ガスの電離や解離が促進される。これにより下部電極110の中心側では端部側よりプラズマの電子密度が高くなる。この結果、下部電極110の中心側では端部側よりプラズマの抵抗率が低くなるため、上部電極105においても上部電極105の中心側に高周波による電流が集中して、プラズマ密度分布が不均一になる。図2(a)には、プラズマが生成されるプラズマ空間にてプラズマ密度分布が中央部で高く端部で低くなった状態が示されている。なお、図2(a)に示したキャパシタンス成分(静電容量)の分布は、誘電体で形成された上部基材105aがフラットであるため、これに応じて一様な分布となっている。
これに対して、図2(b)に示した本実施形態に係る上部電極105には、前述したように上部基材105aの上面に開口した細孔Aが複数形成されている。複数の細孔Aは処理容器100と連通している。つまり、一般に上部基材105aとベースプレート105bとの接触面等の部材間には機械加工上隙間が生じている。このため、処理容器100の内部空間と各細孔Aの内部空間とは連通している。よって、プラズマプロセスを実行するために排気装置175により処理容器100の内部を真空状態まで排気すると、前記隙間を介して細孔Aの内部も真空状態となる。よって、細孔A内部の真空空間は、誘電率εが「1」の誘電層と見なすことができる。
一方、上部基材105a自体は、前述のとおり、誘電率εが約「3.8」の石英から形成されている。よって、かかる構成によれば、上部基材105aと細孔Aとの間に誘電率の差を作り出すことができる。ここで、真空空間の誘電率εは、誘電物質の中で最も低い。よって、細孔A内部の誘電率εは、上部基材105aの誘電率εより必ず小さくなる。よって、細孔A内では上部基材105aよりキャパシタンスが小さくなる。これは、静電容量的にいえば、図4(a)の上部に示した細孔Aの存在するエリアだけ、図4(a)の下部に示した突出部分105a1にて示したように上部基材105aの誘電体が厚くなったのと同等の効果を奏する。換言すれば、上部基材105aに内部が真空の細孔Aを形成すると、図4(a)の下部に示した上部基材105aの突出部分105a1のキャパシタンスとフラット部分105a2のキャパシタンスとを並列につなぐことと等価の効果を奏することができる。
この原理を用いて、本実施形態では、上部基材105aの中央に複数の細孔Aを設けることにより、上部基材105aの中央の静電容量を周辺の静電容量より小さくする。これによって、上部基材105aの誘電体が中央において周辺より厚くなったのと同じ効果、つまり上部基材105aの中央部ではその周辺部より高周波を通り抜けにくくする効果を奏することができる。この結果、本実施形態では、上部基材105a中央のプラズマ密度を低下させ、プラズマ密度分布を均一化することができる。
さらに、本実施形態の複数の細孔Aは、上部基材105aをプラズマ空間側に貫通しない範囲で深さを変えて形成されている。具体的には、外周部の細孔Aの深さは、中央部の細孔Aより浅く形成される。これにより、全体として上部基材105aの上面を底面としたテーパ状に形成される。これにより、図2(b)に示したように、上部基材105a内の静電容量の分布を、中央部が周辺部より低くなるように、なだらかに変化させることができ、プラズマ密度分布をより均一にすることができる。
なお、各細孔Aの深さは、本実施形態の例に限られない。各細孔Aの深さは、プラズマ密度が高くなる部分の細孔Aを深くし、プラズマ密度が低くなる部分の細孔Aを浅くするように各細孔の深さを調節することが好ましい。
複数の棒状部材Bは、複数の細孔Aの深さにそれぞれ対応した長さに形成されている。本実施形態では、上部基材105aの外周部(外側)に形成された細孔Aの深さは、上部基材105aの中央部(内側)に形成された凹部の深さより浅い。よって、複数の細孔Aの深さに応じ上部基材105aの外側に形成された棒状部材Bの長さは上部基材105aの内側に形成された棒状部材Bより短くなっている。
細孔Aは、プラズマ空間側に上部基材105aを貫通しておらず、プラズマ空間と物理的に遮断されている。これにより、細孔Aの内部にプラズマ中のイオンが入り込むことを防ぐことができ、細孔Aの内部で異常放電が発生することを回避することができる。
また、細孔Aは、シースの厚さの2倍以下の直径に形成されている。ここで、「プラズマのシース幅」sは、下記の式(数1)で与えられる。
ρ:直流シースの場合のシース巾
ε:真空中の誘電率
R:ボルツマン定数
:電子温度
V:シース電位
:イオン密度
細孔Aには、通常、特別な機械加工を行わない限り、ガス通路105d及びガス導入管105eを通るガスが入り込む。従って、細孔Aの内部空間がシースで満たされていないと、高周波のエネルギーにより細孔A内のガスが励起して細孔Aの内部で異常放電が発生する可能性がある。しかしながら、本実施形態では、細孔Aの直径がシース厚さの2倍以下になっている。よって、細孔Aの内部空間はすべてシース領域となる。これにより、細孔Aの内部で異常放電が発生することを回避するとともに細孔A内へのプラズマの侵入を防ぐことができる。
細孔Aは、細孔Aの直径をシースの厚さの2倍以下の範囲で変えてもよい。例えば、中央側に位置する細孔Aの直径を大きく、周辺側に位置する細孔Aの直径を小さくしてもよい。これによれば、細孔Aの深さが同じであっても、図2(b)に示した全体としてテーパ形状の細孔Aと同等の効果を得ることができ、プラズマ密度分布の均一化を図ることができる。なお、細孔Aの深さと細孔Aの直径の両方を調整してもよい。
複数の棒状部材Bは、複数の細孔Aの深さにそれぞれ対応した太さに形成される。よって、本実施形態では、上部基材105aの周辺側に形成された棒状部材Bの直径は、複数の細孔Aの直径に応じて上部基材105aの中央側に形成された棒状部材Bの直径より小さくなる。
なお、誘電体の基材に形成された複数の凹部は、上部基材105aに形成された複数の細孔Aに限られず、例えば図3(b)に示した同心円上のリング状の溝であってもよい。また、可変機構200に含まれる複数の凸部材は、複数の棒状部材に限られず、例えば図3(b)に示した同心円上のリング状の溝に出し入れ可能なリング状の板状部材であってもよい。
(誘電体棒の可変機構:基材の誘電率ε=誘電体の誘電率ε
次に、可変機構200の棒状部材Bとして誘電体棒Bを出し入れしたときの作用、効果について図5を参照しながら説明する。ここでは、誘電体棒Bと上部基材105aとが同一誘電部材で形成されているとする。例えば、上部基材105aが石英から形成されているとすると、誘電体棒Bも石英から形成されている。よって、上部基材105aの誘電率εと誘電体棒Bの誘電率εとは3.8と同じ数値となる。
図5の上部は、可変機構200が最も下降し、複数の誘電体棒Bが複数の細孔Aの底部まで挿入され、細孔Aの内部が誘電体棒Bの石英で埋められている状態を示している。この状態は、図6の左から2番目の「同一誘電体の場合」のように、上部基材105aに細孔Aが形成されていないのとほぼ同じ状態と考えられる。よって、可変機構200が最も下降したとき、上部基材105aの下方にて静電容量は一様に分布し、上部電極による均一化効果は得られない。
次に、図5の下部に示したように、可変機構200が上昇すると、誘電体棒Bが出てしまった細孔A内は真空空間となる。前述したように、プロセス中、処理容器100の内部は真空状態であるため、これに伴って細孔A内も真空状態となるからである。このとき、細孔A内は真空の棒で形成されているとも考えられる。真空空間の誘電率εは約1であり、上部基材105aの誘電率εより必ず小さくなる。これは、前述の原理によれば、静電容量的には細孔Aの存在するエリアだけ、上部基材105aの誘電体が厚くなったのと同等の効果を奏する。この結果、図6の下図の「真空の場合」に示したように、上部基材105aの中央の静電容量を周辺の静電容量より小さくすることができる。これによって、上部基材105aの誘電体が中央において周辺より厚くなったのと同じ効果、つまり、上部基材105aの中央部ではその周辺部より高周波を通り抜けにくくする効果を得ることができる。この結果、本実施形態では、上部基材105a中央のプラズマ密度を低下させ、プラズマ密度分布を均一化することができる。さらに、複数の細孔Aを全体としてテーパ状に形成することにより、周辺側の細孔Aにて電界強度分布が低下しすぎないように制御することができ、これにより、よりプラズマを均一に生成することができる。
(金属棒の可変機構)
次に、可変機構200の棒状部材Bとして金属棒Bを出し入れしたときの作用、効果について図7を参照しながら説明する。図7の上部は、可変機構200が最も下降し、複数の金属棒Bが複数の細孔Aの底部まで挿入され、細孔Aの内部が金属棒Bで埋められている状態を示している。これは、図6の最も左図の「金属の場合」ように、上部基材105aの中央にてテーパ状に金属のベースプレート105bが出っ張ったのと同じ状態と考えられる。
これは、静電容量的にいえば、例えば、図4(b)の上部に示した凹部としての細孔Aの存在するエリアだけ、図4(b)の下部に凹み部分105a3にて示したように基材の誘電体が薄くなったのと同等の効果を有する。よって、可変機構200が最も下降したとき、図6の最も左図に示したように、上部基材105aの中央の静電容量を周辺の静電容量より大きくすることができる。これによって、上部基材105aの中央部分ではその周辺部分より高周波を通り抜けやすくする効果を奏することができる。
図7の中央に示したように可変機構200が半分程度上昇すると、金属棒Bが出てしまった細孔A内は真空空間となる。これは、静電容量的には細孔Aの真空空間部分だけ、図4(a)の効果、つまり上部基材105aの誘電体が厚くなったのと同等の効果を奏する。この結果、図6の下図に示したように、上部基材105aの中央の静電容量を周辺の静電容量より小さくすることができる。これによって、上部基材105aの誘電体が中央において周辺より厚くなったのと同じ効果、つまり、上部基材105aの中央部分ではその周辺部分より高周波を通り抜けにくくすることができる。
さらに、図7の下部に示したように、可変機構200が上昇し、金属棒Bが出てしまうと、細孔A内はすべて真空空間となる。これにより、上部基材105aの中央部の静電容量を周辺部の静電容量よりさらに小さくすることができる。これによって、上部基材105aの中央部分ではその周辺部分より高周波をさらに通り抜けにくくすることができる。
以上に説明したように、可変機構200の棒状部材Bを誘電部材B又は金属部材Bから形成し、可変機構200の位置を制御して棒状部材Bによる細孔Aの塞ぎ具合をコントロールすることにより、上部電極による均一化効果を可変にすることができる。これにより、プロセス条件に応じてプラズマ密度分布をより均一にすることができる。
(誘電体棒の可変機構:基材の誘電率ε<誘電体の誘電率ε
また、図6の右側の2つの図の「異なる誘電体の場合」には、上部基材105aとテーパ状誘電体とを異なる誘電物質で形成した場合の上部電極による均一化効果が示されている。図6の右から2番目の図には、上部基材105aの誘電率εがテーパ状誘電体の誘電率εより小さい場合の上部電極による均一化効果が示されている。この場合には、上部基材105aの中央の静電容量を周辺の静電容量より大きくすることができる。これによって、上部基材105aの中央部ではその周辺部より高周波を通り抜けやすくすることができる。ただし、金属棒の場合と比べると前記通り抜けやすさの程度は低くなる。
(誘電体棒の可変機構:基材の誘電率ε>誘電体の誘電率ε
図6の最も右の図には、上部基材105aの誘電率εがテーパ状誘電体の誘電率εより大きい場合の上部電極による均一化効果が示されている。この場合には、上部基材105aの中央の静電容量を周辺の静電容量より小さくすることができる。これによって、上部基材105aの中央部において高周波を通り抜けにくくすることができる。ただし、真空の棒と見なされる真空空間の場合と比べると前記通り抜けにくさの程度は低くなる。
以上に説明した上部電極による均一化効果によれば、プラズマ密度が中央で高くなる場合には複数の凸部材が誘電体棒Bから形成される場合、上部基材105aの誘電率εは、誘電体棒Bの誘電率εより大きいことが好ましい。
また、ここまで説明した上部電極による均一化効果の違いを考慮すると、プラズマ密度が中央で高くなる場合には、上部基材105aの誘電率ε及び誘電体棒Bの誘電率εとの間にε<εなる相対関係を有する誘電体棒Bは可変機構200の外側に位置づけることが好ましい。一方、上部基材105aの誘電率ε及び誘電体棒Bの誘電率εとの間にε>εなる相対関係を有する誘電体棒Bは可変機構200の内側に位置づけることが好ましい。
金属棒Bの場合、前述のように上部電極による均一化効果は高い。ただし、金属棒Bを使用する場合には異常放電を回避するために金属棒Bの先端を尖らせず、丸まった形状にすると好ましい。
また、誘電体棒Bは、誘電率εの高い材質を使うほど、元の真空空間の誘電率εとの差が大きいので、誘電体棒を差し込んだことによる上部電極による均一化効果は大きくなる。つまり、誘電体棒Bは、誘電率εの高い材質を使うほど、金属棒の作用、効果に近似してくる。
可変機構200に固定する複数の棒状部材Bは同じ種類でなくてもよく、例えば上部基材105aの外周側に金属棒Bを固定し、上部基材105aの外周側と内周側の中間部にε<εなる相対関係を有する誘電率εの誘電体棒Bを固定し、上部基材105aの内周側にε>εなる相対関係を有する誘電率εの誘電体棒Bを固定してもよい。また、これはあくまで一例であり、プラズマ密度分布に応じてその他の組み合わせも可能である。
(多系統の駆動)
可変機構200は、多系統に構成され、各系統で別々に駆動することもできる。具体的には、可変機構200は、複数の細孔Aに応じて設けられた複数の棒状部材Bを、少なくとも上部基材105aの内側に位置する棒状部材B及び上部基材105aの外側に位置する棒状部材Bの2系統、若しくはそれ以上の系統に分けて駆動するようにしてもよい。
多系統の駆動方法の一例を図8に示す。ここでは、可変機構200は、上部基材105aに設けられた細孔Aの外周側に位置する棒状部材Ba、及び細孔Aの内部側に位置する棒状部材Bbの2系統に分かれて駆動可能なように、ベース板205a、205bが分離されている。外周側の棒状部材BaはモータMaにより駆動され、内部側の棒状部材BbはモータMbにより駆動される。これにより、外周側の細孔Aaに対する棒状部材Baの出し入れと、内側の細孔Abに対する棒状部材Bbの出し入れとを別々に行うことができる。これにより、プロセスに応じてプラズマの更なる均一性を図ることができる。
なお、各系統で棒状部材Bの種類を変えてもよい。例えば、複数の棒状部材Bのうち、上部基材105aの外側に形成された細孔Aaに出し入れされる棒状部材Baほど、上部基材105aの内側に形成された細孔Abに出し入れされる棒状部材Bbよりも誘電率が高い部材又は金属部材の少なくともいずれかから形成されるようにしてもよい。
以上に説明したように、本実施形態に係る上部電極105を組み込んだRIEプラズマエッチング装置10によれば、均質素材の電極構造及び可変機構200を用いて高周波の電界強度分布を可変に制御することが可能なプラズマ処理装置を構築することができる。
(具体的駆動方法)
最後に、可変機構200の具体的駆動方法について図9を参照しながら説明する。
可変機構200の具体的駆動方法として、図9(a)は3等配以上の直動ガイド機構210aを設置した場合を示し、図9(b)は3等配以上のボールネジ昇降機構210bを設置した場合を示す。いずれも、可変機構200の棒状部材Bが誘電体棒Bであっても、金属棒Bであっても利用することができる。
図9(a)の場合、処理容器100の天井上であってベース板205の外周近傍に3等配以上の直動ガイド機構210aが設置される。ベース板205にはエアーシリンダ215が連結する。図示しないエアー供給源からエアーシリンダ215への圧縮空気の出し入れにより、直動ガイド機構210に動力が伝えられると、これによりベース板205が昇降し、棒状部材Bが上部電極105に設けられた細孔Aに出し入れされる。エアーシリンダ215を使用した場合、可変機構200を最上位置及び最下位置の2ポジションにしか制御できないのが一般である。ただし、所定の場合には複数ポジションの制御が可能である。なお、直動ガイド機構210a及びエアーシリンダ215は、駆動機構の一例である。また、直動ガイド機構210は、リニアシャフトやLMガイド等の一般的な技術を使用することができる。
図9(a)の駆動機構215の他の例としては、エアーシリンダ215の替わりに電磁ソレノイドアクチュエータを使用した、電磁ソレノイドアクチュエータと直動ガイド機構210aとの組み合わせが挙げられる。電磁ソレノイドアクチュエータを使用した場合、可変機構200を最上位置及び最下位置の2ポジションだけでなく複数ポジションに制御することができる。電磁ソレノイドアクチュエータを使用した場合の駆動原理は、エアーシリンダを使用した場合と同様であるため、ここでの説明は省略する。
図9(b)の場合、処理容器100の天井上であってベース板205の外周近傍に3等配以上のボールネジ昇降機構210bが設置される。ボールネジ昇降機構210bは、外周にネジ状のらせん状溝が形成されている。この場合、モータ220(たとえば、サーボ・ステッピング)の動力を、駆動ベルト225を介してボールネジ昇降機構210bに伝えることにより、図示しないボールネジを介してベース板205が昇降する。これによれば、可変機構200の無断階制御が可能となる。なお、ボールネジ昇降機構210b、モータ220、駆動ベルト225及び図示しないボールネジは、駆動機構の一例である。
以上、可変機構200の駆動方法について説明したが、駆動方法は、磁気的な駆動でも、電気的な駆動でも一般的な駆動技術を用いることができる。また、以上の説明では可変機構200側が昇降したが、可変機構200側を固定にし、上部電極105側を昇降させるようにしてもよい。
以上、添付図面を参照しながら本発明の好適な実施形態について詳細に説明したが、本発明はかかる例に限定されない。本発明の属する技術の分野における通常の知識を有する者であれば、特許請求の範囲に記載された技術的思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、これらについても、当然に本発明の技術的範囲に属するものと了解される。
例えば、上記実施形態では、上部電極を第1電極とし下部電極を第2電極としたが、本発明はかかる例に限定されない。例えば、本発明は、下部電極を第1電極とし、上部電極を第2電極としてもよい。この場合、下部電極に細孔Aが形成される。もちろん、本発明を上部電極及び下部電極の両方に適用してもよい。
また、上記実施形態では、下部電極にプラズマ励起用の高周波電力を印加したが、本発明はかかる例に限定されない。例えば、上部電極及び下部電極のいずれか、若しくは上部電極及び下部電極の両方にプラズマ励起用の高周波電力を印加してもよい。
本発明に係るプラズマ処理装置は、平行平板型のプラズマ処理装置に限られない。本発明に係るプラズマ装置は、容量結合型(平行平板型)プラズマ処理装置の他に、誘導結合型プラズマ処理装置、マイクロ波プラズマ処理装置等他のプラズマ処理装置のいずれにも用いることができる。
また、上記実施形態では、プラズマ処理装置をプラズマエッチング装置に限定したが、本発明はかかる例に限定されない。例えば、成膜装置やアッシング装置等、プラズマを励起させて被処理体にプラズマ処理を施すプラズマ処理装置に適用することができる。
被処理体は、シリコンウエハであってもよく、基板であってもよい。
10 RIEプラズマエッチング装置
100 処理容器
105 上部電極
105a 上部基材
105a1 突出部分
105a2 フラット部分
105a3 凹み部分
105b ベースプレート
105c ガス穴
105d ガス通路
105e ガス導入管
110 下部電極
150 高周波電源
175 排気装置
200 可変機構
205 ベース板
210a 直動ガイド機構
210b ボールネジ昇降機構
215 駆動機構
220 モータ
A 細孔
B 棒状部材
B1 誘電体棒
B2 金属棒

Claims (15)

  1. 減圧可能な処理容器内に処理ガスを導入して高周波電力のパワーによりプラズマを生成し、前記プラズマによって被処理体に所望のプラズマ処理を施すプラズマ処理装置であって、
    複数の凹部が形成された、前記処理容器内に配設される上部電極を構成する誘電体の基材と、
    前記複数の凹部に出し入れ可能な複数の凸部材を含む被駆動体と、
    前記被駆動体を駆動することにより、前記複数の凹部に前記複数の凸部材を出し入れさせる駆動機構と、
    前記処理容器内に、前記上部電極に対向して配設され高周波電力が供給される下部電極と、
    を備えることを特徴とするプラズマ処理装置。
  2. 前記複数の凹部は、複数の細孔又は複数の溝であることを特徴とする請求項1に記載のプラズマ処理装置。
  3. 前記複数の細孔の直径は、シースの厚さの2倍以下の範囲で異なるサイズに形成されることを特徴とする請求項2に記載のプラズマ処理装置。
  4. 前記複数の凸部材は、前記複数の細孔に出し入れ可能な複数の棒状部材又は前記複数の溝に出し入れ可能な複数の板状部材であることを特徴とする請求項2又は3に記載のプラズマ処理装置。
  5. 前記基材の外周側に形成された細孔の直径は、前記基材の内周側に形成された細孔の直径より小さく、
    前記基材の外周側に形成された棒状部材の直径は、前記複数の細孔の直径に応じて前記基材の内周側に形成された棒状部材の直径より小さいことを特徴とする請求項4に記載のプラズマ処理装置。
  6. 前記複数の凸部材は、誘電部材又は金属部材から形成されていることを特徴とする請求項1〜5のいずれか一項に記載のプラズマ処理装置。
  7. 前記複数の凸部材が誘電部材から形成される場合、前記基材を構成する誘電体の誘電率は、前記複数の凸部材を構成する誘電部材の誘電率より大きいことを特徴とする請求項6に記載のプラズマ処理装置。
  8. 前記被駆動体は、前記複数の凹部に応じて設けられた前記複数の凸部材を、少なくとも前記基材の内周側に位置する凸部材及び前記基材の外周側に位置する凸部材の2系統以上に分けて駆動することを特徴とする請求項1〜7のいずれか一項に記載のプラズマ処理装置。
  9. 前記複数の凸部材のうち、前記基材の外周側に形成された凹部に出し入れされる凸部材ほど、前記基材の内周側に形成された凹部に出し入れされる凸部材よりも誘電率が高い部材又は金属部材の少なくともいずれかから形成されることを特徴とする請求項8に記載のプラズマ処理装置。
  10. 前記複数の凹部は、前記処理容器と連通し、前記処理容器内を真空状態にすると、これに応じて真空状態となることを特徴とする請求項1〜9のいずれか一項に記載のプラズマ処理装置。
  11. 前記複数の凹部は、前記基材をプラズマ空間側に貫通しない範囲で深さを変えて形成され、
    前記複数の凸部材は、前記複数の凹部の深さにそれぞれ対応した長さに形成されていることを特徴とする請求項1〜10のいずれか一項に記載のプラズマ処理装置。
  12. 前記基材の外周側に形成された凹部の深さは、前記基材の内周側に形成された凹部の深さより浅く、
    前記基材の外周側に形成された凸部材の長さは、前記複数の凹部の深さに応じて前記基材の内周側に形成された凸部材より短いことを特徴とする請求項11に記載のプラズマ処理装置。
  13. 前記複数の凹部の深さは、前記複数の凹部が形成された全範囲において全体としてテーパ状に形成されることを特徴とする請求項11又は12に記載のプラズマ処理装置。
  14. 内部にて被処理体をプラズマ処理する処理容器と、前記処理容器の内部にて互いに対向し、その間に処理空間を形成する第1及び第2の電極と、前記処理容器内に高周波電力を出力する高周波電源と、を備えるプラズマ処理装置であって、
    前記第1の電極には、複数の凹部が形成された誘電体の基材が設けられ、
    前記複数の凹部に出し入れ可能な複数の凸部材を含む被駆動体と、
    前記被駆動体を駆動することにより、前記複数の凹部に前記複数の凸部材を出し入れする駆動機構と、を備え
    前記高周波電源は、前記第2の電極に接続され、前記第2の電極に高周波電力を供給することを特徴とするプラズマ処理装置。
  15. 前記第1の電極は、上部電極であり、
    前記上部電極には、複数のガス導入管が形成され、シャワーヘッドとして機能し、
    前記第2の電極は、下部電極であることを特徴とする請求項14に記載のプラズマ処理装置。
JP2010059486A 2010-03-16 2010-03-16 プラズマ処理装置 Active JP5592129B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2010059486A JP5592129B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置
US13/046,925 US8968513B2 (en) 2010-03-16 2011-03-14 Plasma processing apparatus
KR1020110022979A KR101741062B1 (ko) 2010-03-16 2011-03-15 플라즈마 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010059486A JP5592129B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2011192912A JP2011192912A (ja) 2011-09-29
JP5592129B2 true JP5592129B2 (ja) 2014-09-17

Family

ID=44646276

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010059486A Active JP5592129B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置

Country Status (3)

Country Link
US (1) US8968513B2 (ja)
JP (1) JP5592129B2 (ja)
KR (1) KR101741062B1 (ja)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101553423B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 반도체 진공 프로세싱 장치용 필름 점착제
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
JP5982129B2 (ja) * 2011-02-15 2016-08-31 東京エレクトロン株式会社 電極及びプラズマ処理装置
JP5048853B2 (ja) * 2011-03-07 2012-10-17 シャープ株式会社 昇降機構を有する気相成長装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101932169B1 (ko) * 2012-03-23 2018-12-27 삼성디스플레이 주식회사 기판 처리 장치 및 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107735857B (zh) * 2015-06-05 2022-01-11 应用材料公司 基座定位及旋转设备及使用方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6560150B2 (ja) * 2016-03-28 2019-08-14 日本碍子株式会社 ウエハ載置装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11367591B2 (en) * 2016-12-06 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Composite plasma modulator for plasma chamber
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018129224A (ja) * 2017-02-09 2018-08-16 東京エレクトロン株式会社 プラズマ処理装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7208873B2 (ja) * 2019-08-08 2023-01-19 東京エレクトロン株式会社 シャワープレート、下部誘電体、及びプラズマ処理装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US20210098230A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular high-frequency plasma source
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220067696A (ko) * 2020-11-18 2022-05-25 (주)포인트엔지니어링 가스 공급부재 및 이를 구비한 기판처리장치
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07191764A (ja) * 1993-12-27 1995-07-28 Fujitsu Ltd 高周波電源装置及びプラズマ発生装置
JP3979453B2 (ja) * 1998-01-14 2007-09-19 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP4454718B2 (ja) 1999-05-07 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置およびそれに用いられる電極
JP4493756B2 (ja) * 1999-08-20 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4286404B2 (ja) * 1999-10-15 2009-07-01 東京エレクトロン株式会社 整合器およびプラズマ処理装置
WO2001052302A1 (en) * 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US7879182B2 (en) * 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
JP4364667B2 (ja) 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
JP5103049B2 (ja) * 2007-04-04 2012-12-19 株式会社日立ハイテクノロジーズ ウエハ載置用電極
US8317969B2 (en) * 2008-03-25 2012-11-27 Tokyo Electron Limited Plasma processing apparatus
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
JP2011192912A (ja) 2011-09-29
US8968513B2 (en) 2015-03-03
KR101741062B1 (ko) 2017-05-29
KR20110104447A (ko) 2011-09-22
US20110226421A1 (en) 2011-09-22

Similar Documents

Publication Publication Date Title
JP5592129B2 (ja) プラズマ処理装置
JP5674328B2 (ja) 電極及びプラズマ処理装置
JP5606063B2 (ja) プラズマ処理装置
JP5982129B2 (ja) 電極及びプラズマ処理装置
JP5808697B2 (ja) ドライエッチング装置及びドライエッチング方法
US20060288934A1 (en) Electrode assembly and plasma processing apparatus
KR101995099B1 (ko) 플라즈마 처리 장치
JP5970268B2 (ja) プラズマ処理装置および処理方法
JP2007250967A (ja) プラズマ処理装置および方法とフォーカスリング
KR20170012106A (ko) 플라즈마 처리 장치
JP7018331B2 (ja) プラズマ処理方法及びプラズマ処理装置
TWI791874B (zh) 電漿蝕刻方法及電漿處理裝置
JP5650479B2 (ja) 電極及びプラズマ処理装置
JP5814430B2 (ja) プラズマ処理装置およびプラズマ処理装置用電極
KR100980288B1 (ko) 자기 조절 메커니즘을 구비한 플라즈마 처리 장치
KR101281191B1 (ko) 유도 결합 플라즈마 반응기
JP3632542B2 (ja) プラズマ処理装置
JP2011034705A (ja) プラズマ処理装置
JP2017091934A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130314

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140417

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140722

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140731

R150 Certificate of patent or registration of utility model

Ref document number: 5592129

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250