TWI507093B - 電漿處理設備用之複合噴淋頭電極組件 - Google Patents

電漿處理設備用之複合噴淋頭電極組件 Download PDF

Info

Publication number
TWI507093B
TWI507093B TW097149365A TW97149365A TWI507093B TW I507093 B TWI507093 B TW I507093B TW 097149365 A TW097149365 A TW 097149365A TW 97149365 A TW97149365 A TW 97149365A TW I507093 B TWI507093 B TW I507093B
Authority
TW
Taiwan
Prior art keywords
adhesive
sheet
electrode
elastic
electrode assembly
Prior art date
Application number
TW097149365A
Other languages
English (en)
Other versions
TW200944067A (en
Inventor
Dean Jay Larson
Tom Stevenson
Victor Wang
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200944067A publication Critical patent/TW200944067A/zh
Application granted granted Critical
Publication of TWI507093B publication Critical patent/TWI507093B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/50Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like
    • B29C65/5057Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like positioned between the surfaces to be joined
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/50Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like
    • B29C65/5064Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like of particular form, e.g. being C-shaped, T-shaped
    • B29C65/5071Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like of particular form, e.g. being C-shaped, T-shaped and being composed by one single element
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C66/00General aspects of processes or apparatus for joining preformed parts
    • B29C66/70General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material
    • B29C66/73General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset
    • B29C66/731General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset characterised by the intensive physical properties of the material of the parts to be joined
    • B29C66/7311Thermal properties
    • B29C66/73111Thermal expansion coefficient
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C66/00General aspects of processes or apparatus for joining preformed parts
    • B29C66/70General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material
    • B29C66/73General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset
    • B29C66/731General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the intensive physical properties of the material of the parts to be joined, by the optical properties of the material of the parts to be joined, by the extensive physical properties of the parts to be joined, by the state of the material of the parts to be joined or by the material of the parts to be joined being a thermoplastic or a thermoset characterised by the intensive physical properties of the material of the parts to be joined
    • B29C66/7311Thermal properties
    • B29C66/73111Thermal expansion coefficient
    • B29C66/73112Thermal expansion coefficient of different thermal expansion coefficient, i.e. the thermal expansion coefficient of one of the parts to be joined being different from the thermal expansion coefficient of the other part
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C66/00General aspects of processes or apparatus for joining preformed parts
    • B29C66/70General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material
    • B29C66/74Joining plastics material to non-plastics material
    • B29C66/742Joining plastics material to non-plastics material to metals or their alloys
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/02Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by a sequence of laminating steps, e.g. by adding new layers at consecutive laminating stations
    • B32B37/025Transfer laminating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/12Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by using adhesives
    • B32B37/1284Application of adhesive
    • B32B37/1292Application of adhesive selectively, e.g. in stripes, in patterns
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K13/00Apparatus or processes specially adapted for manufacturing or adjusting assemblages of electric components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4805Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding characterised by the type of adhesives
    • B29C65/483Reactive adhesives, e.g. chemically curing adhesives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4805Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding characterised by the type of adhesives
    • B29C65/483Reactive adhesives, e.g. chemically curing adhesives
    • B29C65/4835Heat curing adhesives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4855Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding characterised by their physical properties, e.g. being electrically-conductive
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4865Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4865Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives
    • B29C65/487Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives characterised by their shape, e.g. being fibres or being spherical
    • B29C65/4875Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives characterised by their shape, e.g. being fibres or being spherical being spherical, e.g. particles or powders
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/4865Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives
    • B29C65/4885Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding containing additives characterised by their composition being non-plastics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • B29C65/50Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like
    • B29C65/5007Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like characterised by the structure of said adhesive tape, threads or the like
    • B29C65/5028Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding using adhesive tape, e.g. thermoplastic tape; using threads or the like characterised by the structure of said adhesive tape, threads or the like being textile in woven or non-woven form
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2305/00Use of metals, their alloys or their compounds, as reinforcement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/20Properties of the layers or laminate having particular electrical or magnetic properties, e.g. piezoelectric
    • B32B2307/202Conductive
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/02Temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/14Printing or colouring
    • B32B38/145Printing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/16Drying; Softening; Cleaning
    • B32B38/164Drying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • Y10T156/1052Methods of surface bonding and/or assembly therefor with cutting, punching, tearing or severing
    • Y10T156/1062Prior to assembly
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53204Electrode

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Thermal Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Adhesives Or Adhesive Processes (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Lining Or Joining Of Plastics Or The Like (AREA)
  • Adhesive Tapes (AREA)

Description

電漿處理設備用之複合噴淋頭電極組件 【相關申請案之交互參照】
本申請案主張申請於2007年12月19日之美國臨時申請案第61/008,152號之申請日之優先權,其整體內容藉由參考文獻方式合併於此。
本發明係關於電漿處理設備用之複合噴淋頭電極組件,尤有關於該複合噴淋頭電極組件用之彈性片狀黏著劑。
電漿處理設備藉由包含蝕刻、物理氣相沉積(PVD,physical vapor deposition)、化學氣相沉積(CVD,chemical vapor deposition)、離子植入、及光阻移除之技術而使用於處理基板。一使用於電漿處理之電漿處理設備形式包含一具有頂部及底部電極之反應室。一電場在該電極之間建立以在該反應室中激化處理氣體至電漿狀態以處理基板。
在一實施例中,提供一用於在電漿處理設備中產生電漿之複合噴淋頭電極組件。該複合噴淋頭電極組件包含:一支撐板,該支撐板包含其間具有第一氣體通道之頂部及底部表面,該底部表面具有黏合及未黏合區域,該第一氣體通道在未黏合區域中具有出口,以供應一處理氣體至該電漿處理設備之內部;一電極板,具有一頂部表面、一電漿曝露之底部表面、及延伸於其間並與該第一氣體通道進行流體交流之第二氣體通道,其中該第二氣體通道在該電極板之該頂部表面之未黏合區域中具有出口;及一彈性片狀黏著接縫,配置於各該黏合區域之配合表面之間,其允許在溫度循環期間,因在該電極板及該支撐板中之熱膨脹係數之失配所導致之該電極板在橫向上相對於該支撐板之移動。
在另一實施例中,提供一種複合噴淋頭電極組件之元件的連結方法,該複合噴淋頭電極組件係用於電漿處理設備。以黏合區域之排除欲保持未黏合之區域的預定圖案,將未固化彈性黏著片之第一表面施加至支撐構件之底部表面,該支撐構件具有頂部表面及複數個延伸於該頂部表面及該底部表面之間且在未黏合區域中具有出口之第一氣體通道。以黏合區域之預定圖案,將電極之頂部表面施加至該未固化彈性黏著片之第二表面,該電極具有電漿曝露之底部表面及複數個延伸於該電極之該頂部表面及該底部表面之間之第二氣體通道,其中該第二氣體通道在該電極之該頂部表面之未黏合區域中具有出口。以介於其間之該彈性黏著片,將該電極之該頂部表面黏合至該支撐構件之該底部表面,其中該第二氣體通道係與該第一氣體通道作流體交流。
另一實施例提供一種在電漿處理設備中處理半導體基板的方法。將一基板放置在電漿處理設備之反應室中之基板支座上。以該複合噴淋頭電極組件,將一處理氣體引入該反應室中。在該反應室中,自該處理氣體產生一電漿於該複合噴淋頭電極組件與該基板之間。以該電漿處理該基板。
在又另一實施例中,提供一種用於電漿處理設備之複合噴淋頭電極組件,其包含:一支撐構件,該支撐構件包含具有欲黏合區域、排除欲保持未黏合之區域之一底部表面,及複數個延伸於該支撐構件之該底部表面及頂部表面之間之第一氣體通道,其中該第一氣體通道在欲保持未黏合之區域中具有出口,以供應處理氣體至電漿處理設備之內部;一電極,用以在該電漿處理設備中產生電漿,該電極包含具有欲黏合區域之頂部表面及複數個與該第一氣體通道作流體交流之第二氣體通道,該第二氣體通道在欲維持未黏合之區域中具有出口,並自該電極之該頂部表面延伸穿過該電極至電漿曝露底部表面;及一未固化之彈性片狀黏著劑,在介於各該欲黏合之區域之配合表面之間的接縫中被固化,以允許在溫度循環期間,因該支撐構件及電極之熱膨脹係數之失配所導致之該電極在橫向上相對於該支撐構件之移動,其中該彈性黏著片係一填充的、熱固性、未硫化之彈性聚矽氧片。
在積體電路之製造期間,為了達到可靠之元件及獲得高良率,半導體晶圓之表面上的微粒汙染物控制是必須的。處理設備,例如電漿處理設備,可能是微粒汙染物之來源。例如,晶圓表面上微粒之存在可使光微影及蝕刻步驟期間之圖案轉印局部破裂。因此,此等微粒可引進缺陷至包含閘極結構、金屬層間介電層或金屬互聯線路之關鍵特徵部內,而導致積體電路元件之機能失常或故障。
具有相對短之使用期的反應器零件一般稱為「消耗品」,例如,矽電極。如果消耗性零件之使用期短,於是物主之成本高。使用在介電蝕刻機台之矽電極組件在大量之無線射頻小時數(無線射頻功率使用於產生電漿期間之以小時計之時間)之後品質會降低。消耗品及其他零件之腐蝕在電漿處理室中產生微粒汙染物。
可藉由以機械應允性及/或熱傳導性之黏合材料而結合二個或更多相異構件而製造可多功能使用之噴淋頭電極組件。構件之表面可使用底漆處理以增進黏合材料之附著力。黏合材料可包含導電性或熱傳導性之填充微粒以增加導電性或熱傳導性。然而,與黏合材料結合使用之底漆及填充粒子亦可為微粒汙染之潛在來源。因此,因為噴淋頭電極組件包含氣體通道,有必要控制黏合材料之流動,俾使氣體通道保持未被黏合材料所阻礙。茲提供用以結合電漿處理設備之構件的方法,其可降低源自於黏合材料之汙染及可精準控制黏合材料之配置。
圖1例示一噴淋頭電極組件10之例示實施例,其用於處理其中之例如矽晶圓之半導體基板之電漿處理設備。該噴淋頭電極組件在例如共同擁有之美國公開專利申請案第2005/0133160號中被說明,其整體內容藉由參考文獻方式合併於此。該噴淋頭電極組件10包含一噴淋頭電極,其包含一頂部電極12、一固定於頂部電極12之支撐構件14、及一熱控制板16。一包含底部電極及選擇性配置之靜電夾持電極之基板支座18(僅其一部分顯示於圖1中),在電漿處理設備之真空處理室中,裝置於頂部電極12之下。作為電漿處理主體之一基板20以機械或靜電夾持於該基板支座18之頂部支座表面22上。
在例示實施例中,噴淋頭電極之頂部電極12包含一內部電極構件24、及一選擇性配置之外部電極構件30。該內部電極構件24最佳地係一圓柱型平板(例如一矽所組成之平板)並包含電漿曝露之底部表面26及頂部表面28。該內部電極構件24可具有小於、等於、或大於被處理晶圓之直徑(例如,如果該平板由矽所組成,最大達約8英吋(約200mm)或最大達約12英吋(約300mm))。在一較佳實施例中,噴淋頭電極組件10足夠大以用於處理例如具有300mm或更大之直徑之半導體晶圓之大型基板。對於300mm晶圓,頂部電極12在直徑上至少為300mm。然而,噴淋頭電極組件可依一定尺寸製作以處理其他尺寸之晶圓或具有非圓形結構之基板。在例示實施例中,該內部電極構件24較該基板20為寬。
為了處理300mm晶圓,提供外部電極構件30以擴張頂部電極12之直徑由約15英吋至約17英吋。外部電極構件30可為一連續構件(例如一連續之多晶矽環),或分段之構件(例如,包含以2-6個經排列成環狀結構之獨立片段,例如以矽所組成之片段)。在包含多個片段之頂部電極12之實施例中,外部電極構件30、片段最好具有邊緣,該邊緣彼此相重疊以防止下層之黏合材料曝露於電漿且其中不具有氣體通道。內部電極構件24最好包含延伸通過支撐構件14之氣體通道32之圖案或陣列,其用以注入處理氣體至在電漿處理室中位於頂部電極12及基板支座18之間之空間內。選擇性地,外部電極構件30亦包含延伸通過支撐構件14之支撐環36之氣體通道(未顯示)之圖案或陣列,其用以注入處理氣體至電漿處理室中位於頂部電極12及基板支座18之間的空間內。
矽係作為內部電極構件24及外部電極構件30之電漿曝露表面之較佳材料。各電極較佳地由高純度、單晶矽所製成,其最小化電漿處理期間之基板汙染物且其在電漿處理期間之磨耗平順,因此最小化缺陷。可使用於頂部電極12之電漿曝露表面之供選擇之材料包含例如碳化矽(SiC)或氮化鋁(AlN)。
在例示實施例中,支持構件14包含支持板34及延伸環繞支持板34之周圍之支持環36。支持板34包含下部表面38。在實施例中,內部電極構件24與支持板34共同延伸,而外部電極構件30與環繞之支持環36共同延伸。然而,支持板34可延伸超出內部電極構件24,俾使吾人可利用單一支持板來支持內部電極構件24及分段之外部電極構件30。內部電極構件24及外部電極構件30藉由黏合材料而依附於支持構件14。無線射頻(RF)環狀墊片80可設置於靠近內部電極構件24之外部周圍之內部電極構件24及支持板34之間。支持構件14包含適合於接受緊固構件42之複數個孔洞40,用以使支持構件14依附至熱控制板16。支持板34亦包含延伸經過支持板34之多個氣體通道44,其並與在內部電極24中之氣體通道32流體交流。選擇性地,支持環36亦包含延伸經過支持環36之多個氣體通道(未顯示),其並與在外部電極30中之選擇性之氣體通道(未顯示)流體交流。
支撐板34及支撐環36較佳地係由與使用在電漿處理室中處理半導體基板之處理氣體化學相容及具有導電性及熱傳導性之材料所製成。可用於製作支撐構件14之例示適合材料包含鋁、鋁合金、石墨及碳化矽。用於支撐板34及支撐環36之較佳材料為未經電鍍之鋁合金6061。
頂部電極12可使用適合之導電性及熱傳導性之彈性黏合材料而依附至支撐板34及支撐環36,該黏合材料可適應熱應力並傳導頂部電極12及支撐板34及支撐環36之間之熱及電能量。使用彈性體用以黏合電極組件之表面在一起,在例如共同擁有之美國專利第6,073,577號中被說明,其整體內容藉由參考文獻方式合併於此。
在一實施例中,該彈性接縫係一彈性片狀黏著劑。該片狀黏著劑可為任何適合之彈性材料,例如與真空環境相容及在例如高於200℃之高溫下可抵抗熱劣化之聚合物材料。該彈性材料選擇性地包含導電性及/或熱傳導性之微粒之填充物或其他形狀填充物例如金屬線篩孔、編織或未編織之傳導性纖維。可使用在高於160℃之電漿環境之聚合黏合材料包含聚醯亞胺(polyimide)、聚酮(polyketone)、聚醚酮(polyetherketone)、聚醚碸(polyether sulfone)、聚乙烯對苯二甲酸酯(polyethylene terephthalate)、氟化乙烯-丙烯共聚物(fluoroethylene propylene copolymers)、纖維素(cellulose)、三醋酸(triacetates)、聚矽氧(silicone)、及橡膠(rubber).
較佳地,該片狀黏著劑係一熱傳導性聚矽氧黏著劑,用以黏合一頂部電極鋁(Al)支撐板至一單晶矽(Si)噴淋頭。較佳地,該黏著劑在自室溫至180℃或更高之溫度範圍下(例如,自室溫至300℃),可抵抗至少200%(例如,200至500%或200至300%)之高剪應變。亦較佳地,該黏著劑在自室溫至180℃或更高之溫度範圍下(例如,自室溫至300℃),可抵抗至少300%(例如,300至500%)之高剪應變。該黏著劑可需求以340psi之剪應力達到300%之應變(在室溫至180℃或更高下)。較佳地,該黏著劑需求以20至300psi之剪應力達到300%之應變(在室溫至180℃或更高下)。例如,該黏著劑可需求20-50psi、50-100psi、100-200psi、或200-300psi之剪應力達到300%應變(在室溫至180℃或更高下)。更佳地,該黏著劑需求以20至80psi之剪應力達到200-400%之應變(在室溫至180℃或更高下)。吾人喜好該黏著劑在自室溫至180℃或自室溫至300℃之溫度範圍下表顯出一線性剪應力/應變曲線增加至至少200%或增加至至少300%,然而接近線性亦受喜好。亦較佳地,該黏著劑具有在其最終故障時最低之可能最大剪應力,例如,在400%應變下,小於或等於80psi之剪應力(在自室溫至180℃或自室溫至300℃之溫度範圍下)。
較佳地,當電極板為具有至少200mm直徑之單晶矽圓盤時,及在將該電極組件自室溫加熱至250℃約5000次溫度循環之後,及自20至340psi之剪應力情況下,該片狀黏著劑在自室溫至180℃或自室溫至300℃之溫度範圍下表顯出一線性剪應力/應變曲線增加至至少200%或增加至至少300%。
當鋁支撐板及矽噴淋頭以不同速率熱膨脹時,該黏著劑使用於耦合兩個零件之間之負載以將兩個零件黏合在一起。相較下,當該黏著劑係軟時(在根據一實施例給定之應變下有低的剪應力),該兩個零件將不會引起應力或隔板撓曲進入互相。較佳地,該支撐板及噴淋頭在兩個配合表面之未黏合區域之間具有一間隙。在該兩個零件之熱膨脹期間,隔板撓曲可導致支撐板表面之未黏合區域沿著噴淋頭表面之未黏合區域接觸並摩擦。此等摩擦可自一個或兩個表面磨出微粒。亦當隔板撓曲在頂部電極組件中出現時,較高之局部接觸負載發生於鋁支撐板與熱控制板配合處。這會導致支撐板及熱控制板之間之磨損,而產生微粒於系統中。因此,當該黏著劑係軟時,在支撐板及熱控制板之間,由於少或沒有因為失配之熱膨脹係數所造成之零件變形而造成之隔板撓曲及磨損,而產生較少之微粒汙染物。
該片狀黏著劑可純粹以高分子量之聚二甲矽氧(dimethyl silicone)及選擇性填充物加以配製;或亦可將其填充於玻璃纖維篩(網紗)、金屬篩周圍;或與玻璃微珠及/或奈米玻璃珠或其他材料混合,以符合各種應用之需求。較佳地,該片狀黏著劑以填充於氧化鋁(Al2 O3 )微珠周圍之高分子量聚二甲矽氧加以配製。可製造具有不同物理性質之片狀黏著劑複合層並形成疊片。在一較佳實施例中,片狀黏著劑之共平面區域可分別以不同物理性質配製。物理性質之範例為熱傳導性、彈性、抗拉(tensile)及剪切(shear)強度、厚度、熱膨脹係數、抗化學腐蝕性、微粒腐蝕性、及作用之溫度範圍。
例如,填充之彈性體材料可能易受電漿腐蝕並具有在電漿處理期間釋放傳導性填充微粒之可能性。電漿處理期間,離子及自由基可遷移至氣體通道32內,而導致在孔洞附近之接縫介面處的填充之彈性體材料腐蝕。例如,源自電漿腐蝕之彈性體材料之鋁合金填充微粒可沉積於晶圓上,而在蝕刻處理期間產生缺陷。在一用以降低傳導性填充微粒之釋放的實施例中,片狀黏著劑之共平面區域可分別以不同填充微粒密度來配製。例如,片狀黏著劑在曝露於已遷移經過氣體通道32之離子或自由基之接縫介面中之區域可以不填充(無填充微粒),而片狀黏著劑沒有曝露於離子或自由基之共平面區域可包含填充微粒。
較佳地,彈性片狀黏著劑之高純度彈性材料係以聚二苯二甲矽氧(diphenyl dimethyl silicone)共聚物為基礎之一熱固性之熱傳導性聚矽氧。較佳的彈性片狀黏著劑係由熱傳導性、室溫不會硫化之聚矽氧片所配製,其可得自NUSIL TECHNOLOGY,商品名稱為HCR-9800-30。較佳地,聚矽氧片狀黏著劑產品使用氧化鋁填充物,並經配製成熱固性者,那就是,較佳地,片狀黏著劑不需要獨立施加活化劑來初始化交聯反應。較佳地為以適合之熱活化成分配製片狀黏著劑,以在預定之固化溫度下施行交聯反應,例如,該熱活化交聯劑可為過氧化物。如此配製之黏著片可得自NUSIL TECHNOLOGY。
在彈性體為導電性彈性體之情況下,導電性填充材料可包含導電性材料之微粒。使用於電漿反應室之雜質敏感環境之可能之導電性材料為鎳塗佈之碳粉末、鎳粉末、碳奈米管、石墨烯(graphene)、石墨及其組合。
在彈性體為熱傳導性彈性體之情況下,熱傳導性填充材料可包含熱傳導性金屬或金屬合金之微粒。使用於電漿反應室之雜質敏感環境之較佳材料為鋁合金、氧化鋁(Al2 O3 )及氮化硼(BN)。彈性片狀黏著劑較佳地具有低強度、可承受高剪應變及具有高熱傳導係數。較佳地,熱傳導係數為至少0.5W/mK,更佳地為至少0.8W/mK且最佳地為至少1.0W/mK。可在彈性片狀黏著劑中達到比在液狀或糊狀彈性黏合材料中更均勻之熱導體及/或電導體微粒之分佈。
為了保持在最終形成之接縫之彈性限度內,可使用一適合之黏合厚度。那就是,過薄之片狀黏著接縫在熱循環中可能被撕裂,然而過厚之片狀黏著接縫可能降低在待接合零件之間的熱傳導係數。由於電極及支撐構件之間之電容式耦合,故可將足夠之RF功率經由細薄之彈性接縫區域而供應至電極,因此,可不需使用導電性及/或熱傳導性彈性體。
圖1顯示一實施例,其中複數個凹部48設置在支撐板34中,該凹部48具有片狀黏著劑52之平面環設置於其中,以黏合內部電極構件24及支撐板34之配合表面。圖1中之實施例顯示具有較大深度之凹部54,以接受片狀黏著劑之平面環56。此實施例亦顯示靠近內部電極構件24之周圍之內部電極構件24及支持板34之間之RF墊片80。在圖1之實施例中,外部環形電極30可藉由在支撐環36之凹部58中之片狀黏著劑60之單一平面環而黏合至支撐環36。
電極及支撐構件之配合表面可為平面或非平面。例如,一個配合表面可為平面,而另一個可包含接受片狀黏著劑黏合材料之凹部。此等凹部,例如,可防護片狀黏著劑免於曝露於電漿。或者,配合表面可形成輪廓以提供連鎖及/或自我對準配置。為了增進彈性黏合材料之附著力,配合表面最好塗佈一適合之底漆。當黏合材料係由上述NUSIL TECHNOLOGY HCR-9800-30材料所配製時,底漆可為以SP-120或SP-270為商業名稱、由NUSIL TECHNOLOGY所製造之聚矽氧底漆。較佳地,將此等底漆在放置片狀黏著劑至欲黏合之表面位置上之前施加於配合表面並加以乾燥。
可藉由例如擦拭、塗刷、噴塗等任何適合技術,而較佳地在噴淋頭組件之元件之不連續黏合表面上施加底漆成一薄塗層,以產生用於後續施加之黏合材料的黏合部位。如果底漆含有溶劑,以擦拭來施加底漆可藉由清潔表面而增進黏合。在室溫下,當含有矽氧烷之底漆於空氣中固化時,其與空氣反應並產生矽鍵結部位。此等底漆提供具有過量的顯現粉末狀之底漆位置之黏合部位總量的目視指標。
片狀黏著劑較佳地係在處理用之轉印片之間。較佳地,轉印片為由DU PONT所製造之TEFLON。轉印片較佳用以防止,例如,未固化之片狀黏著劑之變形及損傷。藉由移除一轉印片並施加黏著片之曝露表面至第一配合表面、及移除另一轉印片並施加第二配合表面至該另一黏著片之曝露表面,可將片狀黏著劑施加至配合表面或上底漆之配合表面。黏著片表面可為尚未乾透的且較佳地可使用工具以精準地移除轉印片並放置片狀黏著劑在配合表面上。亦較佳地,可於真空下將黏著片放置在配合表面上,以引出在黏著劑下之任何之間隙或空隙並施加一暫時之安裝負載,例如藉由真空裝袋(vacuum bagging)。
在片狀黏著劑黏合材料施加至至少一個表面之後,可組裝零件俾使表面在壓縮下、在靜重下、或藉由真空袋中之大氣壓而緊壓在一起。因為彈性體係片狀黏著劑之形式,不需施加例如手壓之初始輕微壓力去塗開該彈性體遍佈將形成之接縫。然而,需要例如手壓或真空袋中之輕微大氣負載之輕微壓力以安裝黏著劑至配合表面。在約五分鐘或更少之安裝負載之後,最好移除所有黏著劑上之負載。固化必須較佳地在沒有任何顯著之靜重或真空袋負載下施行。黏合可在上升之溫度下於大氣中或保護之氣體環境中固化。組件可放置於對流爐中並加熱以活化固化黏合之交聯處理。例如,一熱固性黏合材料可在介於110℃及122℃之間(例如116℃)之初始固化溫度下處理10至20分鐘(例如15分鐘)。根據組件之成功觀察,黏合材料在介於140℃及160℃之間(例如150℃)之第二固化溫度下處理1.5至2.5小時(例如2小時)。或者,略過初始固化,可僅施加第二固化2.5至3.5小時(例如3小時)。
較佳地,片狀黏著劑維持其幾何形狀,俾使片狀黏著劑在黏合及固化期間不會膨脹凸起或流動。然而,片狀黏著劑在固化期間之體積變化可高達5%之體積收縮。較佳地,片狀黏著劑在固化期間經歷不大於2%至3%之體積收縮。
電漿處理期間,彈性體黏合之電極組件有能力承受高操作溫度、高功率密度、及長RF小時。並且,使用片狀黏著劑彈性體材料作為結合電極組件之裝置,在半導體晶圓之電漿處理中,具有較非片狀黏著劑更多之額外優點。
具有殘留之未使用底漆之元件區域(未黏合區域)可為一汙染物之來源。例如,已測定矽氧烷底漆(例如,RHODIA SILICONES VI-SIL V-06C)之使用具有引起包含鈦之某程度汙染物之可能性。鈦汙染物可能與矽基板反應而在蝕刻處理期間於基板之非期望區域形成鈦矽化物。
藉由選擇性地將底漆施用至隨後將施加片狀黏著劑黏合材料之噴淋頭組件上(例如,結合內部電極構件24與支撐板34)之區域,而不是以底漆塗佈整個表面,片狀黏著劑得以減少源自於底漆材料之汙染物。圖2為內部電極構件24之頂視圖,其包含複數個延伸直至底部電漿曝露表面26之氣體通道32。在此實施例中,係以環狀區域圖案46將片狀黏著彈性材料施加於包含氣體通道32的區域之間。然而,在施加彈性材料之前,可將底漆施加在對應於彈性材料之相同環狀區域圖案中。
儘管所施加之片狀黏著劑係顯示為環狀區域之形式,施加片狀黏著劑之圖案不受限制並可以例如非環狀區域之其他圖案來施加。可將片狀黏著劑裁切成任何期望之圖案以及自轉印片移除之部分,以允許將片狀黏著劑之不連續片段轉印至欲結合之部分。
可以黏合區域之預定圖案,將底漆施加至內部電極構件24之頂部表面28,其中該黏合區域被未黏合區域所圍繞。在一範例中,藉由內部電極構件繞著其中心點C旋轉、然後在圖案46中以分配器(例如觸尖分配器)藉由接觸一個或更多分配器之出口在單一位置或在相對於中心點C之許多個徑向位置上施加底漆、而在同一時間產生一個或更多環狀區域而施加底漆於環狀區域圖案46。在另一範例中,可藉由以具有預定圖案之開口之遮罩覆蓋內部電極構件24之頂部表面28而施加環狀區域圖案(或任何需求之圖案)。然而,只要底漆係僅施加於下伏在片狀黏著劑彈性體材料之下的區域,底漆可施加於任何適當之預定圖案(例如,複數個分別之區域、徑向及/或不連續之環狀區域)。底漆亦可經由遮罩之開口以擦拭、刷佈、噴佈而施加。以上說明之兩個方法亦可使用於施加底漆至支撐板34之底部表面38。僅施加底漆於下伏於片狀黏著彈性材料下方之選定區域46,可明顯減少與施加底漆相關聯之汙染物。
遮罩材料之範例可包含,一種聚醯亞胺基礎之材料、,一種聚酯基礎之材料、或,一種氟聚合物樹脂,以上皆可得自DU PONT。
片狀黏著劑勝過液狀、膠狀及糊狀黏著劑之另一優點為流動之控制或流動之消除。例如,如圖3A所示,當欲連結之噴淋頭組件之元件包含氣體通道32/44時,在元件於彈性體固化前被擠壓在一起時,必須控制液狀或糊狀之未固化彈性材料50之流動。當未固化之糊狀體50施加於兩個構件之間並加以緊壓時,未固化彈性材料之流動很難控制。如圖3B所示,未控制之未固化彈性材料50之流動可導致氣體通道32/44之阻塞物或妨礙物。因此,額外之清潔或機械加工被要求以清淨阻塞及妨礙之氣體通道32/44。片狀黏著彈性材料可避免此等問題,因為片狀黏著劑52可以較液狀或糊狀彈性材料更微小之容許誤差(如圖4A所示)而設置於將結合之噴淋頭組件構件之間。片狀黏著劑可裝配以顯出良好之體積控制而不滲入或流入非期望之區域。就其本身而論,片狀黏著劑彈性材料52可位於比液狀、糊狀或膠狀物更接近氣體通道32/44之處,而無阻塞或妨礙氣體通道32/44之風險。
當頂部電極12及支撐構件14係以不同熱膨脹係數之材料所構成時,彈性材料之厚度可變化以適應熱膨脹中之差異。例如,頂部電極12可為矽而支撐構件14可為金屬製的(例如,鋁、不銹鋼、銅、鉬、及其合金)。然而,如果黏合兩個具有較大熱膨脹係數差異之構件(例如鋁及矽),在溫度固化或電極之操作期間,由於不同之熱膨脹速率,在彈性黏合材料中將立即產生不均勻之剪應力。例如,如果一圓形鋁支撐構件14同中心地黏合於一圓形矽頂部電極12,在升高之處理溫度下,靠近支撐構件14及頂部電極12之中心之彈性黏合材料中之剪應力係最小的。然而,鋁支撐構件14之外部部分經歷較矽頂部電極12之外部部分為大的熱膨脹量。因此,當兩個材料黏合時,最大之剪應力發生在支撐構件14或頂部電極12之外部周圍邊緣,當中熱膨脹之差異係最大的。
片狀形式之黏著劑可提供優秀之黏合厚度控制,以精準的控制大區域上黏合表面之平行度,俾使不需要嵌入件或隔片來控制黏合厚度或平行度。圖4A顯示片狀黏著劑52之一實施例,該片狀黏著劑52被黏合至在支撐板34之底部表面中介於氣體通道44之間之凹部48。圖4B顯示在氣體通道32/44之間被黏合至支撐板34之底部表面38及頂部電極24之頂部表面28之片狀黏著劑52。
該片狀形式允許用以限制或防止黏著劑滲入非需求之區域內之特殊體積控制。片狀黏著劑之應用排除了對於用來施加液狀或糊狀黏著劑之精準分配設備之需求。自動或手動分配程序之供料速度、及相關聯之黏著劑分配珠之乾燥、頸縮(necking)或球形化(globing)之問題因此消除。片狀黏著劑可使熱傳導填充物更均勻地懸浮、具有預期之更佳保存期限、且可提供更有效及可靠之製造程序。
可設計預型體(pre-form)片狀黏著劑形狀,以符合不規則形狀之平面特徵部,並可將該形狀最佳化成可使與配合部分之表面接觸面積最大化。例如,在圖3A中,液狀或糊狀黏著劑50之珠粒沿著在顯示之凹部48之橫剖面中之珠粒50之曲線表面與支撐板34接觸。珠粒50及支撐板之配合表面38之間的接觸區域較珠粒50為窄並難以控制黏合之均勻度及再現性。在圖3B中,當電極24配合於支撐板34時,液狀或糊狀黏著珠粒50及支撐板34及電極24之配合表面38/28之接觸受到限制且難以控制,使得接觸區域可能小於珠粒50之直徑,而需要超量之液狀或糊狀黏著劑以在支撐板34及電極24之間就適合之黏合強度及熱傳導性及/或導電性而言達到需求之接觸區域。
在圖4A中,彈性片狀黏著劑52沿著平行於支撐板表面且顯示在凹部48之橫剖面中之片狀黏著劑之預定表面與支撐板34精準接觸。黏著劑片52及支撐板34及電極24之配合表面38/28之間之接觸區域提供一最大之彈性黏著劑之接觸區域對體積之比例,如圖4B所示。較大之片狀黏著劑52之接觸區域允許在黏合中能使用較少之彈性片狀黏著劑52,而在支撐板34及電極24之間能達到適合之熱傳導性及/或導電性、黏合強度及黏合彈性。
較佳地,片狀黏著劑可藉由例如雷射、水刀、模切(die cut)、繪圖機裁切及其他裁切方法而裁切為預型體形狀。片狀黏著劑亦可藉由例如帶狀鑄造、捲繞或噴墨印刷之鑄造方法而鑄造成為預型體形狀。圖5A-C顯示一較佳實施例,其中片狀黏著劑100被裁切成平面環52。圖5A顯示片狀黏著劑100及不同內部及外部直徑之平面環52(亦即該平面環可具有不同之平面寬度)之平視圖。圖5B顯示在圖5A中之片狀黏著劑實施例之邊視圖。圖5C顯示在圖5A中之片狀黏著劑實施例之區域”A”之詳細圖,其例示裁切自片狀黏著劑100之窄平面寬度及大直徑之片狀黏著劑環。例如,片狀黏著劑100可為0.012英吋(305μm)厚而平面環52可具有以英吋表示為(0.195,0.464)、(0.854,1.183)、(1.573,1.902)、(2.725,3.625)、(4.449,4.778)、(5.168,5.497)、(6.320,7.220)、(8.043,8.372)、(9.196,10.096)、(10.919,11.248)、(11.638,11.724)、及(11.913,12.000)之內部及外部直徑。
較佳地,將片狀黏著劑52之片狀黏著劑及平面環在TEFLON之轉印片(未顯示)之間裁切、操作及轉印成疊片。圖6顯示放置在支撐板34(該支撐板34倒置)之配合表面38中之凹部48上方之片狀黏著劑52之平面環之橫剖面。此等凹部48為跑道溝槽之形狀。雖然不偏好此狀況,但片狀黏著劑之平面環可具有隆起凸出部。圖6顯示一具有隆起凸出部之平面環片狀黏著劑56,俾使平面環具有沿其平面寬度變化的厚度。平面環片狀黏著劑56與支撐板34中之跑道溝槽54配合。圖7顯示圖6中所示之片狀黏著劑環56中之隆起凸出部之詳細視圖”B”,其中片狀黏著劑環在環的中心較厚。此等隆起凸出部可例如藉由將具有不同徑向寬度及/或不同軸向高度(厚度)之片狀黏著劑之平面環層疊化加以製作。圖8顯示形成於支撐板34中以接受片狀黏著劑環56之凹部54之詳細視圖”D”。
圖9顯示在圖6中所示之支撐環34(未倒置)之詳細視圖”E”。可設置在配合表面38中之凹部48以精準控制黏合及未黏合區域。未黏合區域可為配合表面38之表面區域之1至95%。例如,未黏合區域可為配合表面38之表面區域之1-5%、5-10%、10-15%、15-20%、20-30%、3040%、40-50%、50-60%、60-70%、70-80%、80-90%、或90-95%。氣體通道44位於未黏合區域中而片狀黏著劑黏合該黏合區域。可精準地控制片狀黏著劑之邊緣(例如平面環52之內徑或外徑)與開口在表面38中之氣體通道44之間的距離,以最佳化黏合品質;及如先前所提到者,可消除因非片狀彈性黏著劑之滲出或膨脹所導致之氣體通道44阻塞之風險。較佳地,片狀黏著劑在固化之前、之間及之後實質上維持其原始尺寸並維持同樣之形狀,而有微小或無收縮,例如,在固化後有2-3%之體積收縮。
如一較佳實施例,片狀黏著劑可為不同平面寬度之平面環之複合層,其在厚度方向(疊層)或平面方向(共平面)上具有一或更多不同之物理性質。圖10顯示平面環片狀黏著劑102之一部分,其具有不同之共平面物理性質。例如內部部分62及外部部分64,為了低的微粒汙染物釋放,可為未填充之聚矽氧彈性體片狀黏著劑;而為了熱傳導性,中間部分66可包含氧化鋁(Al2 O3 )微粒。
圖11顯示一片狀黏著劑104之實施例。片狀黏著劑104可為具有隆起凸出部68(小型台階)之複數個不同寬度之平面圓形或半圓形環。表面70及72可黏合至電極配合表面中之凹部(未顯示,但與支撐構件14中之凹部48、54及58相似);或表面70可黏合至無凹部之電極配合表面,例如內部電極配合表面28及/或外部電極環之配合表面30。表面74及76可黏合至支撐構件14之配合表面中相似於凹部48、54及58之凹部;或表面76可黏合至沒有凹部之支撐板及/或支撐環配合表面(未顯示)。
以範例之方式,可將片狀黏著劑安排成具有各種寬度及厚度之點、三角形、圓柱或其他幾何形狀之均勻或不均勻圖案,而無任何限制。圖12顯示片狀黏著劑之圓錐106、直條108、三角形110、圓點112及具有隆起凸出部之圓點114。片狀黏著劑可為複數個此等幾何形狀,以黏合在支撐構件14及電極12之配合表面上之黏合區域。然而,在另一實施例中,片狀黏著劑可為具有「蜘蛛網」幾何形狀之單一片,以精準配合至黏合區域而留下未黏合區域給氣體通道32/44。圖13以平面圖顯示單一片116之一實施例,其係用以黏合例如配合表面28及38。因此,片狀黏著劑116中之間隔78可對應至未黏合區域。在此實施例中,此等未黏合區域會對應至大於90%之配合表面區域。
在固化之前,片狀黏著劑較佳地具有物理穩定之性質。固化前之片狀黏著劑係一具有尺寸穩定性之未硫化、未交聯之組成。未固化片狀黏著劑可為可塑性。如上述,轉印片為用於操作未固化之片狀黏著劑以防止在固化前使片狀黏著劑變形之較好方法。在加熱之時,例如過氧化物填充劑之交聯劑使片狀黏著劑固化成整體上與未固化之片狀黏著劑相同之形狀。在固化之後,片狀黏著劑在移除機械力量之後回復至相同形狀。較佳之接觸區域控制增加黏附部分之間之熱傳導性及/或導電性。固化之片狀黏著劑在大量之填充微粒下,亦維持與固化之膠狀彈性體可相匹敵之彈性;而在大量之填充微粒下,具有較固化之液狀或糊狀彈性體為佳之彈性。就一既定量之彈性黏著劑而言,藉由在彈性片狀黏著劑中使用大量之填充微粒,可在黏附之部分之間達到較高之熱傳導性及導電性,而不會犧牲黏合強度或彈性。
較佳地,將預型體形狀安裝至配合組件之吸引凹部48。可藉由例如手動、以安裝工具手動、或以自動化機械之方法而施行安裝。可配製片狀黏著劑使其具有限制或沒有限制之工作時間,然後當方便固化時,將其熱固化。
如圖4A及4B所例示,支撐構件34結合至內部電極24,俾使支撐構件34之第一氣體通道44與內部電極24之第二氣體通道32流體交流。為了增加黏著性,底漆亦可以如同施加於內部電極24之頂部表面28之預定圖案,施加於支撐構件34之底部表面38。在另一實施例中,支撐構件34或內部電極構件24可包含充氣部以分佈一種或更多供給至預期之氣體分佈圖案之氣體。在另一實施例中,氣體通道32可與一個或更多氣體通道44流體交流。
在二較佳實施例中,片狀黏著劑黏合電極之頂部表面28至支撐板之底部表面38,俾使在未黏合區域中有一51至381μm(0.002至0.015英吋)之間隙介於其中。例如,支撐板底部表面及/或電極頂部表面上之凹部48之深度較佳地係102至508μm(0.004至0.020英吋),例如100至200μm或200至500μm。更佳地,凹部48係178μm(0.007英吋)深。然而,支撐板底部表面及電極頂部表面可藉由沒有凹部之片狀黏著劑而加以黏合。亦較佳地,片狀黏著劑黏合支撐板底部表面平行於電極頂部表面,其中該兩個配合表面之間具有一變動小於+/-25μm(0.001英吋)之距離。
支撐板34藉由在例如共同擁有之美國公開專利申請案第2007/0068629號中所說明之適合之緊固構件而依附至熱控制板16,其整體內容藉由參考文獻方式合併於此。支撐板34包含用以使支撐板34依附至熱控制板16之適合於接受緊固構件42之複數個孔洞40。
實施例
片狀黏著劑之非限制實施例如以上所述配製,熱固化並加以測試。測式樣本係由片狀黏著劑所製成,以模擬片狀黏著劑在配合表面之間之黏合中的效能,然而必須注意,在此並沒有顯示電極及支撐構件之間之實際黏合之測試結果。切變測試在室溫及例如180℃之上升之溫度下實施。上升之溫度之疲勞測試在例如180℃下實施。圖14顯示在室溫下實施例1之片狀黏著劑之切變測試結果。實施例1顯示至超過300%剪應變之近似線性應力-應變曲線及在高剪應變下之低剪應力。由此一軟式片狀黏著劑所製成之黏著劑可適當地適應高剪應變,而不需藉由耦合力量在黏合電極及支撐板之間加上隔板。
圖15及17顯示在180℃下實施例2片狀黏著劑之切變測試結果。實施例2在180℃下在至超過300%剪應變經歷近似線性之應力-應變曲線及在高剪應變下經歷低剪應力。此等軟式片狀黏著劑黏合可適當地適應高剪應變而不需在黏合電極及支撐板之間加上隔板。
圖16顯示在180℃下實施例3片狀黏著劑之疲勞測試結果。該疲勞測試實施超過36,000個循環(約顯示35,000個循環)。雖然只測試片狀黏著劑之樣本,但各循環模擬支撐板在電漿處理期間因為支撐板及電極之材料熱膨脹係數之差異而膨脹與電極不同量的熱循環。圖17顯示在180℃下、在超過36,000個循環之疲勞測試之後,實施例3片狀黏著劑之切變測試結果。實施例3在180℃下在至超過300%剪應變顯示接近線性之應力-應變曲線及在高剪應變下顯示低剪應力。例如,實施例3在約0%至450%剪應變之範圍顯示接近線性之應力-應變曲線。此等軟式片狀黏著劑黏合即使在超過36,000個溫度循環之後,可適當地適應高剪應變而不需在黏合電極及支撐板之間加上隔板。
雖然本發明已參照其特定實施例詳細敘述,熟習本技藝者應明瞭,在不離開隨附之申請專利範圍之範疇內,可做出許多改變及修改及使用設備。
10...噴淋頭電極組件
12...頂部電極
14...支撐構件
16...熱控制板
18...基板支座
20...基板
22...頂部支座表面
24...內部電極構件
26...電漿曝露底部表面
28...頂部表面
30...外部電極構件
32...氣體通道
34...支撐板
36...支持環
38...底部表面
40...孔洞
42...緊固構件
44...氣體通道
46...環狀區域圖案
48...凹部
50...未固化彈性材料
52...片狀黏著劑
54...凹部
56...片狀黏著劑環
58...凹部
60...片狀黏著劑
62...內部部分
64...外部部分
66...中間部分
68...隆起凸出部
70...表面
72...表面
74...表面
76...表面
78...間隔
80...無線射頻(RF)環狀墊片
100...平面環片狀黏著劑
102...平面環片狀黏著劑
104...片狀黏著劑
106...圓錐
108...直條
110...三角形
112...圓點
114...具有隆起凸出部之圓點
116...單片
圖1例示用於一電漿處理設備之一複合噴淋頭組件及一基板支座之一實施例之一部分之橫剖面圖。
圖2為一內部電極之一實施例之部分頂視圖,其例示在關於氣體通道之預定圖案中之一黏著劑之應用。
圖3A顯示在圖1中之支撐板之一實施例之橫剖面部分,該支撐板在黏合之前支撐未固化之糊狀或液狀黏著劑之珠粒;圖3B例示在以該糊狀或液狀黏著劑將該內部電極黏合至該支撐板後,圖3A中所示之橫剖面。
圖4A及圖4B例示以片狀黏著劑黏合至該支撐環之顯示於圖1之該內部電極之一實施例之橫剖面部分。
圖5A-5C例示一片狀黏著劑裁切圖案之一實施例。
圖6顯示平面環形式之片狀黏著劑之一實施例之橫剖面,且平面環具有一將放置於顯示於圖1中之支撐板之上之隆起凸出部。
圖7例示具有顯示於圖6中之隆起凸出部之片狀黏著劑之平面環之一實施例之細部。
圖8例示顯示於圖6中之在該支撐板內之凹部之細部。
圖9例示一支撐板之實施例之橫剖面。
圖10例示具有不同共面性質之片狀黏著劑之實施例。
圖11例示具有隆起凸出部之片狀黏著劑之實施例。
圖12例示不同形狀之片狀黏著劑之實施例。
圖13例示片狀黏著劑之一實施例。
圖14顯示在室溫下實施之片狀黏著劑實施例1之切變測試結果。
圖15顯示在180℃下實施之片狀黏著劑實施例2之切變測試結果。
圖16顯示在180℃下實施之片狀黏著劑實施例3之疲勞測試結果。
圖17顯示在該疲勞測試之後,在180℃下實施之片狀黏著劑實施例3之切變測試結果。
24...內部電極構件
28...頂部表面
32...氣體通道
46...環狀區域圖案
C...中心點

Claims (26)

  1. 一種複合噴淋頭電極組件,用以在電漿處理設備中產生電漿,該複合噴淋頭電極組件包含:一支撐板,包含頂部表面及底部表面,其間具有第一氣體通道,該底部表面具有黏合及未黏合區域,該第一氣體通道在未黏合區域中具有出口,以供應一處理氣體至該電漿處理設備之內部;一電極板,具有一頂部表面、一電漿曝露底部表面、及延伸於其間並與該第一氣體通道作流體交流之第二氣體通道,其中該第二氣體通道在該電極板之該頂部表面之未黏合區域中具有出口;及一彈性片狀黏著接縫,配置於該黏合區域中之每一者之配合表面之間,該彈性片狀黏著接縫允許在溫度循環期間,因為在該電極板及該支撐板中之熱膨脹係數之失配所導致之該電極板在橫向上相對於該支撐板之移動,其中(a)該彈性片狀黏著接縫包含一被鑄造或捲繞成一預型體形狀之彈性片狀黏著劑;(b)該彈性片狀黏著接縫包含一具有模切(die cut)預型體形狀之彈性片狀黏著劑;(c)該彈性片狀黏著接縫包含一具有雷射裁切、繪圖機裁切及/或水刀裁切預型體形狀之彈性片狀黏著劑;或(d)該等配合表面其中之一包含凹部。
  2. 如申請專利範圍第1項之複合噴淋頭電極組件,其中該支撐板包含一內部支撐板及一外部支撐環,該外部支撐環圍繞該內部支撐板,其中該第一氣體通道係在該內部支撐板中且選擇性地在該外部支撐環中,該電極板包含一黏合至該內部支撐板之內部噴淋頭電極及一黏合至該外部支撐環之外部環形電極,其中該第二氣體通道係在該內部噴淋頭電極中且選擇性地在該外部環形電極中。
  3. 如申請專利範圍第2項之複合噴淋頭電極組件,其中(a)該支撐板之該配合表面係平行於該噴淋頭電極之該配合表面,及/或(b)該電極係由單晶矽、多晶矽、石墨或碳化矽所組成;及該支撐構件係由鋁、石墨、或碳化矽所組成。
  4. 如申請專利範圍第1項之複合噴淋頭電極組件,其中該彈性片狀黏著接縫包含一熱傳導性聚矽氧(silicone)黏著片。
  5. 如申請專利範圍第4項之複合噴淋頭電極組件,其中該彈性片狀黏著接縫在室溫至300℃之溫度範圍內,以自約20至340psi之剪應力,其在橫向上可彈性變形至至少200%之剪應變。
  6. 如申請專利範圍第5項之複合噴淋頭電極組件,其中該彈性片狀黏著接縫在自約20至80psi之剪應力下,橫向上可彈性變形至至少300%之剪應變。
  7. 如申請專利範圍第5項之複合噴淋頭電極組件,其中該電極板係一直徑至少為200mm之單晶矽圓盤,且在5000個自室溫加熱該噴淋頭電極組件至250℃之溫度循環之後,在室溫至300℃之溫度範圍內,該彈性片狀黏著接縫在自約20至340psi之剪應力下,橫向上可彈性變形至至少200%之剪應變。
  8. 如申請專利範圍第4項之複合噴淋頭電極組件,其中該熱傳導性聚矽氧黏著片包含具有不同物理性質之兩個或更多疊片層,及/或該熱傳導性聚矽氧黏著片包含具有不同物理性質之兩個或更多共平面部分。
  9. 如申請專利範圍第4項之複合噴淋頭電極組件,其中該傳導性聚矽氧黏著片之至少一部分具有0.5W/mK至0.8W/mK之熱傳導係數,該傳導性聚矽氧黏著片之至少一部分具有0.8W/mK至1W/mK之熱傳導係數,及/或該傳導性聚矽氧黏著片之至少一部分具有超過1W/mK之熱傳導係數。
  10. 如申請專利範圍第4項之複合噴淋頭電極組件,其中(a)該彈性片狀黏著接縫更包含一在該支撐構件及/或該電極背側之一個或更多該配合表面上之底漆(primer);及/或(b)該熱傳導性聚矽氧黏著片包含一均勻分佈之熱傳導性填充物。
  11. 如申請專利範圍第10項之複合噴淋頭電極組件,其中(a)該熱傳導性填充物係氮化硼(BN)、氧化鋁(Al2 O3 )、矽、碳化矽、及其組合其中之一,及/或(b)該熱傳導性聚矽氧黏著片係由(i)高分子量聚二甲矽氧(dimethyl silicone)及該熱傳導性填充物、(ii)填充於 玻璃纖維篩(網紗)周圍之高分子量聚二甲矽氧及該熱傳導性填充物、(iii)填充於金屬篩周圍之高分子量聚二甲矽氧及該熱傳導性填充物、或(iv)與玻璃微珠或奈米珠混合之高分子量聚二甲矽氧及該熱傳導性填充物所組成。
  12. 如申請專利範圍第1項之複合噴淋頭電極組件,其中一介於該配合表面之間之間隙距離變動小於±25μm(0.001英吋)。
  13. 如申請專利範圍第1項之複合噴淋頭電極組件,其中(a)該凹部之深度係在100至200μm之範圍內;(b)該凹部包含一按尺寸製作以配合該彈性片狀黏著劑之尺寸之隆起凸出部;(c)該彈性片狀黏著劑將該電極之該頂部表面黏合至該支撐構件之該底部表面,其間之間隔為50至400μm;(d)該彈性片狀黏著接縫包含一單片形式之聚矽氧黏著片;(e)該彈性片狀黏著接縫包含以下形式之聚矽氧黏著片:一個或更多平面環、具有隆起凸出部之平面環、圓柱、平面或圓柱狀多邊形、塊狀或其組合;及/或(f)該彈性片狀黏著接縫包含一熱固化性黏著劑。
  14. 如申請專利範圍第1項之複合噴淋頭電極組件,其中(a)該凹部之深度係在200至500μm之範圍內;(b)該凹部包含一按尺寸製作以配合該彈性片狀黏著劑之尺寸之隆起凸出部;(c)該彈性片狀黏著劑將該電極之該頂部表面黏合至該支撐構件之該底部表面,其間之間隔為50至400μm;(d)該彈性片狀黏著接縫包含一單片形式之聚矽氧黏著片;(e)該彈性片狀黏著接縫包含以下形式之聚矽氧黏著片:一個或更多平面環、具有隆起凸出部之平面環、圓柱、平面或圓柱狀多邊形、塊狀或其組合;及/或(f)該彈性片狀黏著接縫包含一熱固化性黏著劑。
  15. 一種複合噴淋頭電極組件之元件的連結方法,該複合噴淋頭電極組件係用於電漿處理設備,該方法包含:以黏合區域之排除欲保持未黏合之區域的預定圖案,將未固化彈性黏著片之第一表面施加至支撐構件之底部表面,該支撐構件具有頂部表面及複數個延伸於該頂部表面及該底部表面之間且在未黏合區域中具有出口之第一氣體通道; 以黏合區域之預定圖案,將電極之頂部表面施加至該未固化彈性黏著片之第二表面,該電極具有電漿曝露之底部表面及複數個延伸於該電極之該頂部表面及該底部表面之間之第二氣體通道,其中該第二氣體通道在該電極之該頂部表面之未黏合區域中具有出口;及以介於其間之該彈性黏著片,將該電極之該頂部表面黏合至該支撐構件之該底部表面,其中該第二氣體通道係與該第一氣體通道作流體交流,其中施加該彈性黏著片之該第一表面之步驟包含:使用機械裁切、模切、雷射裁切、水刀裁切、電漿裁切、繪圖機裁切及其組合其中之一,預先裁切該彈性黏著片成為該預定圖案。
  16. 如申請專利範圍第15項之複合噴淋頭電極組件之元件的連結方法,更包含:以一預定圖案,將一底漆施加至該支撐構件之該底部表面;及以一預定圖案,將一底漆施加至該電極之該頂部表面。
  17. 如申請專利範圍第16項之複合噴淋頭電極組件之元件的連結方法,其中將一底漆施加至該電極之該頂部表面之步驟包含:使該電極繞著其中心點旋轉,並在相對於該中心點之多個徑向位置上,藉由使一分配器之出口與該旋轉電極相接觸,而利用該分配器來沉積該底漆之環狀區域;或以具有一預定圖案之開口之遮罩覆蓋該頂部表面,並在該頂部表面之未遮蔽區域上塗佈該底漆。
  18. 如申請專利範圍第17項之複合噴淋頭電極組件之元件的連結方法,其中在該遮罩中之該預定圖案係複數個部分環狀區域。
  19. 如申請專利範圍第16項之複合噴淋頭電極組件之元件的連結方法,其中將一底漆施加至該支撐構件之該底部表面之步驟包含:使該支撐構件繞著其中心點旋轉,並在相對於該中心點之多個徑向位置上,藉由使一分配器之出口與該旋轉支撐構件相接 觸,而利用該分配器來沉積該底漆之環狀區域;或以具有一預定圖案之開口之遮罩覆蓋該底部表面,並在該底部表面之未遮蔽區域上塗佈該底漆。
  20. 如申請專利範圍第15項之複合噴淋頭電極組件之元件的連結方法,其中(a)該電極之該頂部表面及/或該支撐構件之該底部表面包含在該預定圖案之至少一部分上之通道;(b)該彈性黏著片係一填充的、未固化之彈性聚矽氧片;及/或(c)該填充的、未固化之彈性聚矽氧片係以鋁、氧化鋁、矽、碳化矽、氮化硼、或其組合之熱傳導性粒子加以填充。
  21. 如申請專利範圍第15項之複合噴淋頭電極組件之元件的連結方法,其中(a)該黏合之步驟更包含:藉由在壓縮下、在靜重下或選擇性地藉由真空袋內之大氣壓力,將該電極之該頂部表面及該支撐構件之該底部表面擠壓在一起而安裝該彈性黏著片,其中該彈性黏著片係熱固性;(b)安裝之後,當該靜重或選擇性之真空袋內之大氣壓力移除時,加熱該複合噴淋頭電極組件以固化該彈性黏著片;(c)該電極係由矽、石墨、或碳化矽所組成;及該支撐構件係由鋁、石墨、或碳化矽所組成;(d)施加該彈性黏著片之該第一表面之步驟,包含:在將該第一表面施加至該支撐構件之該底部表面之前,自該第一表面移除一轉印片;(e)施加該電極之該頂部表面之步驟,包含:在將該電極之該頂部表面施加至該彈性黏著片之該第二表面之前,自該彈性黏著片之該第二表面移除一轉印片;(f)施加該彈性黏著片之該第一表面之步驟,包含:在將該第一表面施加至該支撐構件之該底部表面之後,施加一真空以移除其間之間隙; (g)施加該電極之該第一表面之步驟,包含:在將該電極之該第一表面施加至該彈性黏著片之該第二表面之後,施加一真空以移除其間之間隙;及/或(h)該電極包含一內部噴淋頭電極及一外部環形電極;及該支撐構件包含一內部支撐板及一外部支撐環。
  22. 一種在電漿處理設備中處理半導體基板的方法,該方法包含:將一基板放置於電漿處理設備之反應室中之基板支座上;利用如申請專利範圍第1項之該複合噴淋頭電極組件,將一處理氣體通入該反應室內;自該反應室中之該處理氣體產生一電漿於該複合噴淋頭電極組件與該基板之間;以該電漿處理該基板。
  23. 如申請專利範圍第22項之在電漿處理設備中處理半導體基板的方法,其中該處理包含蝕刻該基板。
  24. 一種用於電漿處理設備之複合噴淋頭電極組件,包含:一支撐構件,包含具有欲黏合區域、排除欲維持未黏合之區域之一底部表面及複數個延伸於該支撐構件之該底部表面及頂部表面之間的第一氣體通道,其中該第一氣體通道在欲維持未黏合之區域中具有出口,以供應處理氣體至該電漿處理設備之內部;一電極,用以在該電漿處理設備中產生電漿,該電極包含具有欲黏合區域之頂部表面及複數個與該第一氣體通道流體交流之第二氣體通道,該第二氣體通道在欲維持未黏合之區域中具有出口,並自該電極之該頂部表面至電漿曝露之底部表面延伸穿過該電極;及一未固化之彈性片狀黏著劑,待於該欲黏合區域中之每一者的配合表面之間的接縫中固化,以允許在溫度循環期間,因為該支撐構件及該電極之熱膨脹係數之失配所導致之該電極在橫向上相對於該支撐構件之移動,其中該彈性片狀黏著劑係一填充的、熱固性、未硫化 (unvulcanized)之彈性聚矽氧片,及(a)該彈性片狀黏著劑包含一被鑄造或捲繞成一預型體形狀之彈性片狀黏著劑;(b)該彈性片狀黏著劑包含一具有模切(die cut)預型體形狀之彈性片狀黏著劑;(c)該彈性片狀黏著劑包含一具有雷射裁切、繪圖機裁切及/或水刀裁切預型體形狀之彈性片狀黏著劑;或(d)該等配合表面其中之一包含凹部。
  25. 如申請專利範圍第24項之用於電漿處理設備之複合噴淋頭電極組件,其中該未固化之彈性片狀黏著劑係以過氧化物加以配製,其係作為用以實施交聯反應之熱活化成分,該彈性片狀黏著劑在固化期間之體積收縮為3-5%。
  26. 如申請專利範圍第24項之用於電漿處理設備之複合噴淋頭電極組件,其中該未固化之彈性片狀黏著劑係以過氧化物加以配製,其係作為用以實施交聯反應之熱活化成分,該彈性片狀黏著劑在固化期間之體積收縮小於3%。
TW097149365A 2007-12-19 2008-12-18 電漿處理設備用之複合噴淋頭電極組件 TWI507093B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US815207P 2007-12-19 2007-12-19

Publications (2)

Publication Number Publication Date
TW200944067A TW200944067A (en) 2009-10-16
TWI507093B true TWI507093B (zh) 2015-11-01

Family

ID=40789176

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097149365A TWI507093B (zh) 2007-12-19 2008-12-18 電漿處理設備用之複合噴淋頭電極組件

Country Status (8)

Country Link
US (2) US8418649B2 (zh)
JP (1) JP5265700B2 (zh)
KR (1) KR101553422B1 (zh)
CN (1) CN101903979B (zh)
MY (1) MY166000A (zh)
SG (2) SG10201407723PA (zh)
TW (1) TWI507093B (zh)
WO (1) WO2009085163A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI768281B (zh) * 2019-02-01 2022-06-21 大陸商中微半導體設備(上海)股份有限公司 電漿處理器以及用於電漿處理器的上電極組件

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWI511619B (zh) * 2009-07-03 2015-12-01 Advanced Micro Fab Equip Inc Electrode elements for plasma processing, internal components and methods for their manufacture and separation
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110120651A1 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Showerhead assembly with improved impact protection
DE102010027224A1 (de) 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
JP5762798B2 (ja) * 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
US9129795B2 (en) * 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
WO2014158253A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
TW201517112A (zh) * 2013-10-09 2015-05-01 Applied Materials Inc 具有同軸和方位角對稱和具有一致中央觸發的多區中空陰極放電系統
JP6215002B2 (ja) * 2013-10-25 2017-10-18 東京エレクトロン株式会社 フォーカスリングの製造方法及びプラズマ処理装置の製造方法
KR102342846B1 (ko) * 2014-04-28 2021-12-27 삼성디스플레이 주식회사 플렉서블 표시장치
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
JP6805032B2 (ja) * 2017-03-09 2020-12-23 日本特殊陶業株式会社 保持装置の製造方法
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN109848897A (zh) * 2019-03-18 2019-06-07 深圳市优界科技有限公司 一种带加热功能的真空吸盘
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
JP2022544221A (ja) 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法
KR20210152072A (ko) * 2020-06-05 2021-12-15 삼성디스플레이 주식회사 기상 젯 증착 장치 및 기상 젯 노즐 유닛의 제조 방법
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423072B (en) * 1998-06-30 2001-02-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
TW200416304A (en) * 2002-10-31 2004-09-01 Lam Res Corp Methods for etching dielectric materials
TW200621529A (en) * 2004-12-30 2006-07-01 Neenah Paper Inc Heat transfer masking sheet materials and method of use thereof
TW200729239A (en) * 2005-10-26 2007-08-01 Lintec Corp Insulation sheet for the conductive junction sheet, conductive junction sheet, manufacturing method of conductive junction sheet, and manufacturing method of electrical composite parts
TW200735211A (en) * 2006-02-13 2007-09-16 Lam Res Corp Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
DE3122641A1 (de) * 1981-06-06 1982-12-23 Herberts Gmbh, 5600 Wuppertal Kathodisch abscheidbares waessriges elektrotauchlack-ueberzugsmittel
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
ES2113023T3 (es) * 1993-10-06 1998-04-16 Dow Corning Toray Silicone Composiciones organosiloxanos electroconductoras cargadas de plata.
US5801915A (en) * 1994-01-31 1998-09-01 Applied Materials, Inc. Electrostatic chuck having a unidirectionally conducting coupler layer
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
KR0183823B1 (ko) 1996-02-22 1999-04-15 김광호 웨이퍼 로딩용 스테이지를 갖춘 반도체 제조 장치
US6071630A (en) * 1996-03-04 2000-06-06 Shin-Etsu Chemical Co., Ltd. Electrostatic chuck
JP3789586B2 (ja) * 1996-03-04 2006-06-28 信越化学工業株式会社 静電チャック
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
JP3454333B2 (ja) * 1996-04-22 2003-10-06 日清紡績株式会社 プラズマエッチング電極
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5744199A (en) * 1996-10-31 1998-04-28 Dow Corning Corporation Method of sealing openings in structural components of buildings for controlling the passage of smoke
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
AU5109099A (en) * 1998-07-15 2000-02-07 Thermon Manufacturing Company Thermally-conductive, electrically non-conductive heat transfer material and articles made thereof
US6256187B1 (en) * 1998-08-03 2001-07-03 Tomoegawa Paper Co., Ltd. Electrostatic chuck device
US6279402B1 (en) * 1998-08-10 2001-08-28 Applied Materials, Inc. Device for measuring pressure in a chamber
KR100476845B1 (ko) * 1999-04-06 2005-03-17 동경 엘렉트론 주식회사 전극, 적재대, 플라즈마 처리 장치 및 전극과 적재대의제조 방법
JP4301468B2 (ja) * 1999-07-07 2009-07-22 信越化学工業株式会社 耐熱熱伝導性シリコーンゴム複合シート及びその製造方法
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6490144B1 (en) * 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
JP2001226656A (ja) 2000-02-16 2001-08-21 Tomoegawa Paper Co Ltd 半導体製造装置またはエッチング装置用接着剤、該装置用接着シート及びそれらを用いた構造部品
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2002093777A (ja) * 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
US6753498B2 (en) * 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6733594B2 (en) * 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
JP4311600B2 (ja) * 2001-01-30 2009-08-12 日本碍子株式会社 静電チャック用接合構造体及びその製造方法
KR101004222B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
KR100372251B1 (ko) * 2001-02-09 2003-02-15 삼성전자주식회사 반도체 설비용 가스 분배장치
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6781060B2 (en) * 2002-07-26 2004-08-24 X-Ray Optical Systems Incorporated Electrical connector, a cable sleeve, and a method for fabricating an electrical connection
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4034096B2 (ja) * 2002-03-19 2008-01-16 日本碍子株式会社 半導体支持装置
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
IL164439A0 (en) * 2002-04-17 2005-12-18 Lam Res Corp Silicon parts for plasma reaction chambers
WO2003100817A1 (en) 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
JP2004122621A (ja) * 2002-10-03 2004-04-22 Daicel Chem Ind Ltd 表面保護フィルム及びその製造方法
US6882537B2 (en) * 2002-12-23 2005-04-19 Eastman Kodak Company Electrical assemblage and method for removing heat locally generated therefrom
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050051098A1 (en) 2003-09-05 2005-03-10 Tooru Aramaki Plasma processing apparatus
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体
US7644745B2 (en) * 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7651585B2 (en) 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5683822B2 (ja) * 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
JP5361457B2 (ja) * 2009-03-06 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
GB2471330B (en) * 2009-06-26 2012-01-04 Swelltec Ltd Improvements to swellable apparatus and materials therefor
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5513104B2 (ja) * 2009-12-28 2014-06-04 東京エレクトロン株式会社 プラズマ処理装置
JP5674328B2 (ja) * 2010-03-16 2015-02-25 東京エレクトロン株式会社 電極及びプラズマ処理装置
JP5592129B2 (ja) * 2010-03-16 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423072B (en) * 1998-06-30 2001-02-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
TW200416304A (en) * 2002-10-31 2004-09-01 Lam Res Corp Methods for etching dielectric materials
TW200621529A (en) * 2004-12-30 2006-07-01 Neenah Paper Inc Heat transfer masking sheet materials and method of use thereof
TW200729239A (en) * 2005-10-26 2007-08-01 Lintec Corp Insulation sheet for the conductive junction sheet, conductive junction sheet, manufacturing method of conductive junction sheet, and manufacturing method of electrical composite parts
TW200735211A (en) * 2006-02-13 2007-09-16 Lam Res Corp Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI768281B (zh) * 2019-02-01 2022-06-21 大陸商中微半導體設備(上海)股份有限公司 電漿處理器以及用於電漿處理器的上電極組件

Also Published As

Publication number Publication date
MY166000A (en) 2018-05-21
JP5265700B2 (ja) 2013-08-14
WO2009085163A1 (en) 2009-07-09
US8701268B2 (en) 2014-04-22
US20090163034A1 (en) 2009-06-25
JP2011508422A (ja) 2011-03-10
US20130244441A1 (en) 2013-09-19
SG187386A1 (en) 2013-02-28
CN101903979A (zh) 2010-12-01
CN101903979B (zh) 2012-02-01
SG10201407723PA (en) 2014-12-30
US8418649B2 (en) 2013-04-16
TW200944067A (en) 2009-10-16
KR20100095451A (ko) 2010-08-30
KR101553422B1 (ko) 2015-09-15

Similar Documents

Publication Publication Date Title
TWI507093B (zh) 電漿處理設備用之複合噴淋頭電極組件
JP5567494B2 (ja) 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
EP2316253B1 (en) A composite showerhead electrode assembly for a plasma processing apparatus
JP5116855B2 (ja) ウエハ加熱装置、静電チャック
KR20060044706A (ko) 웨이퍼 등 지지부재
TW201413867A (zh) 接合基板的方法
EP3020683B1 (en) Apparatus for manufacturing micro-channel and method for manufacturing micro-channel using same
JP6413646B2 (ja) 静電チャック装置
CN102610477A (zh) 用于半导体真空处理装置的薄膜粘合剂
KR102184705B1 (ko) 정전척의 수리 방법