TW299559B - - Google Patents

Download PDF

Info

Publication number
TW299559B
TW299559B TW083112266A TW83112266A TW299559B TW 299559 B TW299559 B TW 299559B TW 083112266 A TW083112266 A TW 083112266A TW 83112266 A TW83112266 A TW 83112266A TW 299559 B TW299559 B TW 299559B
Authority
TW
Taiwan
Prior art keywords
electrode
plasma
patent application
item
frequency power
Prior art date
Application number
TW083112266A
Other languages
English (en)
Inventor
Kazuya Nagaseki
Original Assignee
Tokyo Electron Co Ltd
Tel Yamanishi Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27526259&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW299559(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Tokyo Electron Co Ltd, Tel Yamanishi Kk filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW299559B publication Critical patent/TW299559B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Description

經濟部个央標準局員工消費合作社印製 A7 B7 五、發明説明(1 ) 〔發明之背景〕 本發明係有關一對之電極設成相對向之電漿處理裝置 〇 以往在例如半導體製造過程中,爲了進行半導體晶圓 (以下簡稱爲晶圓)等之表面處理而使用著種種之電漿處 理裝置。尤其,其中之所謂平行平面板型之電漿處理裝置 ,係具有優異於均勻性,且可實施大直徑晶圓之處理等之 優點,又裝置構造亦較簡易,由而使用者極多。 前述先前之一般性之平行平面型之電漿處理裝置係構 成電極隔著所定間隔成相對向而平行狀配置於處理容器內 之上下處,而被處理體之晶圓係載置於例如下側之電極上 ,當實施例如蝕刻之時,將導入蝕刻氣體於該處理容器內 之同時,並施加高頻電力於前述電極之至少一方,以在電 極間使之產生電漿,而由以蝕刻氣體之解離(dissociation) 所產生 之腐蝕性離子來蝕刻 前述晶 圓者》 此以電漿 處理來進行之處理加工,伴隨著半導體裝置之高積體化而 被要求著更細微之加工,或增進處理速度之情事。爲了達 成該要求,對於電極間所產生之電漿密度,亦有必要使之 形成更高之密度化。 有關此事,例如在日本國特開昭5 7— 1 5 9 0 2 6 .號「乾式蝕刻方法」之公報,作爲新的電漿產生方法而揭 示有’使用磁控管之磁控管方式之電漿處理裝置,又在曰 本國特公昭5 8 — 1 2 3 4 6號「電漿蝕刻裝置」之公報 ’揭示有除了採用通常之電極以外,再在上下電極之中間 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) j.丨_^--ο丨— (請先閱讀背面之注意事項再填寫本頁)
、1T 4 經濟部中央標準局員工消費合作社印裝 A7 ______B7 五、發明説明(2 ) 採用有格子(栅極)狀等之公用陽極電極之構造者。 然而,前述磁控管方式之電漿處理裝置,雖可獲得較 高之真空且高密度之電漿,惟較高頻電場之頻率在電場之 變化上極爲慢,而伴隨著磁場之變動會令電漿狀態產生變 化,又該變化將對於離子之能量或方向性賦與變動,以致 具有會對於形成於晶圓上之元件產生損傷或引起加工形狀 之惡化之虞。 又在共用陽極之結構時,雖具有可獨立地來控制離子 能量和電流密度之優點,惟電漿會藉柵極產生擴散,以致 入射於晶圓之離子電流密度會降低,而且具有形成處理率 之下降,或處理成爲不均勻之虞。 而且,伴隨著高的細微加工,而需要高頻、高真空環 境之時,因電極和處理容器內壁(裏面之牆壁)間之阻抗 會降低,致使形成電漿更容易擴散之環境。 如上所述,當電漿在處理容器內朝外方擴散時,不僅 會產生電漿密度之下降,並在處理容器內壁會產生金屬性 污染等,致使被處理體之晶圓被污染。如此之傾向’在今 後將被要求更甚之高度細微加工之高度減壓下之電漿處理 中,更形成顯著。 本發明之目的’係擬提供一種爲了良好地來實施高細 微之電漿處理加工’而邊採用較簡單之平行平面板形式之 裝置結構,邊使電漿在於處理容器內而不朝外面擴散,以 關閉於電漿產生區域內來實現高之電漿密度’並極少具有 由於污染而予以產生晶圓之污染之虞之電漿處理裝置者。 本紙張尺度適用中國國家標準^⑽^衫見格^⑴^^公釐)—!;- I : .--(灰------ΐτ------^ (請先閲讀背面之注意事項再填寫本頁) B7 五、發明説明(3 ) (請先閲讀背面之注意事項再填寫本頁) 爲此,本發明之電漿處理裝置,在處理容器內之電漿產生 區域周圍,配設用以關閉前述電漿於該電漿產生區域,以 令電漿留住於該電漿產生區域,而增進在處理區域之電漿 密度,且對於處理容器內壁上亦不產生污染。 前述電漿關閉(封鎖)機構,若爲圍繞電漿產生區域 之絕緣體時,將由該絕緣體而使電漿中之離子擴散直接被 界定。 前述電漿封鎖機構,若以形成電性接地之第3電極所 構成時,欲從電漿產生區域朝外方擴散之離子,將會積極 地朝該第3電極側移動,而使之可防止電漿之擴散。 倘若僅鑑於如此之所謂電漿擴散防止之目的之時,電 #封鎖機構之形態,雖以可圍繞電漿產生區域之例如筒體 來構成爲最佳,惟考慮到導入於電漿產生區域內之蝕刻氣 體的排氣時,可由配設複數之通孔(貫穿孔)於該筒體, 而使之不損害排氣之下,可同時予以防止電漿之擴散。 經濟部中央標準局員工消費合作社印裝 又在如前述之形成接地之第3電極之時,因構成可積 極性的令離子加以招進來之狀態,使之具備圍繞電漿產生 區域之略成環狀之形態,而在使其內周予以朝向前述電漿 產生區域成凸狀彎曲時,曝露於電漿側之表面積將會加大 ,致使對於以大的功率所產生之電漿,亦有可能達成所期 盼之目的。又將具備略環狀形態之接地電極,個別配置於 第1電極近旁和第2電極近旁之時,就可令來自個別相對 向側之第1電極和第2電極之離子予以個別招進來,由而 可防止電漿之擴散。亦即,第3電極係從第2電極而第4 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) * A 7 B7 五、發明説明(4 ) 電極係從第1電極之互相對應之離子予以個別地招進來, 而來使之可防止電號之擴散。此時,倘若將接地之兩個電 極,即第3電極和第4電極之外周緣部分配置成重疊之時 ,就可更上一層地能防止電漿之擴散。 本發明之另一狀態,係在處理容器內之前述第1 、第 2之各電極周圍近旁,個別配置複數之磁鐵略成環狀,再 令配設於第1電極側之第1群之磁鐵和配設於第2電極側 之第2群磁鐵使之相對向,且令成相對向之各磁鐵之磁極 使之相互形成相異者。爲此,在第1 、第2之各電極周邊 間之空間周邊部分,將形成局部性之磁場,並由該磁場而 捕捉電漿中之荷電粒子,致使形成可防止電漿之擴散。 前述磁鐵,不僅在配設於第1電極側之磁鐵和配設於 第2電極側之磁鐵的成相對向部分側,甚至令相鄰接之磁 鐵相互間之.磁極亦予以形成相異者之時,將使由磁場來捕 捉荷電粒子之結構更臻緊密,而更能獲得高之電漿擴散之 防止效果。 經濟部中央標準局員工消費合作杜印製 (請先閲讀背面之注意事項再填寫本頁) 由磁鐵所產生之被處理體周緣部之磁場強度,最好設 定成1 0 Gauss (高斯)以下,因以如此,方對於晶圓等 之被處理體的電漿處理區域之電漿不產生影響,而可實施 所期盼之電漿處理。 對於第1電極和第2電極,構成可個別施加高頻電力 亦可,此時,極容易地來使各高頻電力成爲獨立可變。 將構成施加於第1電極和第2電極之各高頻電力之電 流相位差,最好控制成略成180° ,並無關於處理容器 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 A7 __ B7 五、發明説明(5 ) 內之減壓程度或所導入之處理氣體之種類,能使之極有效 率地投入高頻電力於電漿中。由而被處理體近旁之電漿密 度將會增大,而增加入射於被處理體之離子之電流密度。 此時,若將控制電流相位差成略爲1 8 0 °之機構, 構成具備有,予以檢測流動於各電極之高頻電流之相位而 輸出相位信號之檢測機構,及從該等相位信號檢測相位差 而予以輸出之機構時,就可順暢地來進行如此之控制,又 將檢測高頻電流之相位而輸出相位信號之檢測機構,若以 變流器(比流器)來構成時,裝置之構成將可簡化而較佳 。此時,若以抑制在傳遞路線或匹配器之相位錯開(移位 )之影響,而予以正確地檢測之觀點來觀看時,最好,將 比流器儘可能地配置成靠近於電極。 倘若予以構成,使形成於處理容器於內部之處理容器 予以接地,並同時使第1、第2之各電極形成與該處理容 器絕緣,而來自1個高頻電源之高頻電力予以構成可對前 述第1電極或第2電極之任一方加以切換施加自如,再使 前述第1、第2之電極予以構成接地自如之時,就可獲得 邊對於第1電極予以施加高頻電力並邊使第2電極予以接 地之模式,及形成與其相反之邊予以接地第1電極邊對於 第2電極施加高頻電力之模式之兩個電漿處理模式。 因此,能在1個處理容器中,獲得兩個相異之電漿模 式,例如載置被處理於第1電極上來對於該被處理體實施 蝕刻處理之時,在前者之模式時就可實施加大D C (直流 )偏壓之蝕刻處理,而後者之模式時,就可實施D C變壓 本纸張尺度適用中國國家標準(CNS ) Α4规格(210Χ 297公釐) (請先閲讀背面之注意事項再填寫本頁) 、ίτ 8 經濟部中央標準局員工消費合作社印製 A7 _ B7 五、發明説明(6 ) 爲小之蝕刻處理。故能在同一處理容器內,連續地進行相 異之處理,或圖謀處理上之應用予以擴大。 此時,因在切換高頻電力之施加側電極時,同時亦使 另外之電極切換成接地,爲此,可由例如1個繼電器系統 之切換來實施前述兩個模式之切換。 對於以上之各電漿處理裝置,若構成可使高頻電力之 輸出形成週期性地調變時,就可重複地進行電漿密度之高 低狀況,而可實施電漿中之氣體成分之解離控制,例如在 接觸孔之蝕刻處理中,在高輸出時予以進行蝕刻,另一方 面在低輸出時,則可採用排出孔內之蝕刻反應形成物之處 理。因此,可增進蝕刻率之同時,並可實施抑制孔底部和 孔之入口處之大小差異成爲小之優異於垂直各向異性之蝕 刻。 此時’若設定成如申請專利範圍第1 6項之最小時之 輸出成爲最大時輸出之1 / 2〜1 /5之範圍時,就可形 成能維持電漿之同時並對於如上述之蝕刻反應形成物之排 出言,構成極爲佳之狀態。 若設定上部電極和下部電極之間的間隙長度爲1 〇〜 4 0圆,最好爲15〜4〇1〇111,尤其在2 5 111[11前後,而在 上下相對向之電極,個別施加相對性高頻電力、相對性低 頻電力來產生電漿時’將在如後述之實施例所詳述,可實 施有關蝕刻率,均勻性’及電漿穩定度形成均衝之處理。 若先施加電力於上部電極方面,而下部電極則較其延 遲施加電力來使之產生電漿時,就對於載置在下部電極上 本紐尺度適用中關家標準(CNS ) A4規格(21Gx:297公廣) ~ -9 - (請先閲讀背面之注意事項再填寫本頁) 、?τ 經濟部尹央標準局員工消費合作社印装 A7 B7 五、發明説明(7 ) 之被處理體,不會施加過大之電壓,且容易予以產生電漿 ,並對於該被處理體賦與損傷之危險亦極少。 又在熄滅電漿之時,亦首先停止下部電極側之施加電 力,而後使上部電極側之施加電力予以延遲停止之時,就 不會進行澱積而可防止對於處理體所產生之損害。亦即, 因構成迴壁僅施加電壓於將載置被處理體之下部電極之狀 態,·而可圖謀對於被處理體保護來自過大電壓之損害。再 者,所要延遲之定時,若設定成例如1秒鐘以下,就可獲 得預期之效果,而極具有效果。 因可構成予以個別獨立地來控制阻抗之匹配機構,而 形成對於干擾難以受影響,且對於負載變動亦容易予以匹 配。 處理容器之內壓力,期望設定於5mTo r r〜 1 0 OmT o r r ,使之可在高真空度下形成能實施高細 微加工。 當從電漿產生區域藉氣體排出機構來排出氣體時,爲 了降低氣體流通導率,而在氣體導引機構或電漿封鎖機構 下面’期望形成朝氣體排出機構一方之推拔(傾斜)面。 該傾斜面,期望在上部電極周圍且在較其朝下方突出之氣 體擴散筒體下面,形成朝下且朝外方成所定之角度,如以 對於水平面成25°〜35。。 期望,上部電極表面之至少一部分係以S i 〇2所形 成’及/或前述聚焦環表面之至少一部分係以B N或包含 其之物質所形成,並由以處理氣體所形成之氟根,而有效 本紙張尺度適州中國國家標準(CNS ) A4規格(210X29·7公釐) (請先聞讀背面之注意事項再填寫本頁) 衣 訂 A7 398559 __B7 五、發明説明(8 ) 地可防止矽之底材過分地被蝕刻。 〔實施例〕 以下,將依據所附上之圖式來說明本發明之實施例。 圖1係將實施本實施例用之蝕刻處理裝置1之剖面, 形成模型(型式)來顯示,此蝕刻處理裝置1 ,係構成爲 電極板成平行而相對向之所謂平行平面板型蝕刻裝置。 此蝕刻處理裝置1具備有,由例如以表面被處理成耐 酸鋁之鋁等所形成而被成形爲圓筒形狀之處理容器2。該 處理容器2係成電性之接地。 在形成於前述處理容器2內之處理容器內底部,藉陶 瓷等之絕緣板3收容有載置被處理體,例如半導體晶圓( 以下簡稱爲「晶圓」)W用之略圓柱狀之感應器支承台4 ,再在該感應器支承台4之上面,設有構成下部電極之感 應器5。 前述感應器支承台4內部,則配設有圓環狀之冷媒室 6 ,而對於該冷媒室6 ,藉冷媒導入管7可導入例如全氟 聚醚等之溫度調節用之冷媒。所導入之冷媒,將循環該冷 媒室6內,而其間所產生之冷之熱將從冷媒室6藉前述感 應器5對於前述晶圓W予以傳熱,使之可冷卻該晶圓W之 處理面直至所期盼之溫度。 前述感應器5,其上面被形成平坦,並在其上面設置 有與晶圓W略同一大小尺寸之靜電夾盤11。該靜電夾盤 1 1係具備由2張之高分子聚醯胺薄膜來夾持導電層1 2 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) .0衣 ,ιτ 經濟部中央標準局S.貝工消費合作社印裝 11 明説 明發 、五 A7 B7 源 電 壓 高 流 直 之 部 外 2 器 容 mil 理 處 於 置 配 由 成 形 並 構 結 之 13 ,對於該導電層12施加例如1.5kV之直流高電 壓,以令載置於該靜電夾盤1 1上面之晶圓W,將由庫侖 力被吸附保持於該位置。 在前述感應器5上端周緣部,配置有環狀之聚焦環 1 5成如圍繞載置於靜電夾盤1 1上之晶圓W般。該聚焦 環1 5係由不拉近反應性離子之絕緣性材質所形成,而使 以電漿所產生之反應性離子,能有效地僅入射於在其內側 之晶圓W。 下面位於前述感應器5上方而與該感應器5成平行相 對向,且離其約1 0〜4 Oram程度,理想爲離開1 5〜 經濟部中央標準局員工消費合作社印製 ;—:—Π裝— (請先閱讀背面之注意事項再填寫本頁) -* 3 Οηπη之位置之上部電極2 1 ,係藉絕緣材料2 2被支承 於處理容器2上部。該上部電極2 1形成與前述感應器5 相對面且由具有多數之擴散孔2 3之例如S i C或無定形 碳所形成之電極板2 4,和支承該電極板2 4之以導電性 材質’例如表面被實施耐酸鋁之鋁所形成之圓筒狀之電極 支承體2 5 ’及配設上述絕緣材料2 2於外周之氣體導入 口 2 8所構成。並由該上部電極2 1和前述下部電極5之 間來加以規定電漿所產生之區域。 在該電極支承體2 5外周,藉環狀之絕緣材料2 6配 設有如圖2所示之將成爲第3電極之接地電極2 7。該接 地電極2 7係如圖1所示,在其下端部與前述聚焦環1 5 之上端部之間,設置成保持有可使處理氣體及晶圓W通過 之空隙,而其內同係如圖1 、圖2所示,具有朝內側突出 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) 12 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(1G ) 之形態。該接地電極2 7係配設成令前述感應器5和電極 板2 4之間的空間區域,從側部予以圍繞著。 在配設於前述上部電極2 1之支承體2 5中央之氣體 導入口 2 8連接有氣體導入管2 9。而在該氣體導入管 29連接有氣體供給管30。再者,該氣體供給管30被 分岐成3個,並藉個別閥3 1、3 2、3 3及質量流控制 器3 4、3 5、3 6而’個別連通於所對應之處理氣體供給 源 3 7、3 8、3 9。 於本實施例中,設定成從第1處理氣體供給源3 7供 給CF4氣體,從第2處理氣體供給源38供給C^2氣 體,從第3處理氣體供給源3 9供給爲惰性沖洗(清洗) 氣體之N 2 。 在前述處理容器2之周側附近之下部連接有排氣管 4 1 ,而與該處理容器2藉閘閥4 2相鄰接之裝載閘室( load lock chamber)之排氣管4 4 一齊,連通於渦輪式 分子泵等之抽真空機構4 5 ,並構成可抽真空至所定之減 壓環境。 被處理體之晶圓係由配設於前述裝載閘室4 3內之搬 運臂等之搬運機構4 6,形成可搬運於前述處理容器2和 此裝載閘室4 3之間。 又產生電漿於前述蝕刻處理裝置1之處理容器2內電 極間1 g用之高頻電力,係由2部可振盪例如1 3 . 5 6 ΜΗ z之高頻的高頻電源5 1 、5 2所供給。一邊之高頻 電源5 1係藉匹配器5 3連接於上部電極2 1 ,並施加高 本紙伕尺度適用中國國家標準(CNS ) A4規格(210Χ297公釐) (請先閲讀背面之注意事項再填寫本頁) .9 訂 13 經濟部中央梂準局一貝工消費合作社印製 A7 B7 五、發明説明(11 ) 頻電力於電極21。而另一邊之高頻電源52係藉匹配器 5 4連接於感應器5 ’並施加高頻電力於感應器5。以如 此,對於上部電極2 1 、感應器5 ’因形成由個別獨立之 高頻電源來施加高頻電力’因此’施加於該等上部電極 2 1 、感應器5之電壓’形成可個別獨立地加以改變。 在前述匹配器5 3和上部電極2 1之間,及前述匹配 器5 4和感應器5之間,個別配設有檢測個別所施加之高 頻電力之電流相位信號用之相位檢測機構5 5、5 6。而 由該等個別相位檢測機構5 5、5 6所檢測之相位信號, 將個別輸入於相位控制器5 7。至於該相位控制器5 7係 依據所檢測之相位信號而對於前述各電頻電源5 1 、5 2 ,個別地予以控制成個個可振盪相位有1 8 0 °差異之高 頻。 將對於使用有關本實施例之蝕刻裝置來實施具有矽基 板之晶圓W上之氧化矽(S i 〇2)膜之蝕刻時之狀況予 以說明如下。 首先,爲被處理體之晶圓W,在閘極4 2被打開之後 ,由搬運機構4 6從裝載閘室4 3搬入於處理容器2內, 並載置於靜電夾盤1 1上。而後接通高壓直流電源1 3 , 則前述晶圓W可由該電壓之施加而被吸附保持於該靜電夾 盤1 1上。然後,搬運機構46後退至裝載閘室43內後 ’處理容器2內將由排氣機構4 5被抽真空。 第1閥3 1被打開,而由質量流控制器3 4邊加以調 整其流量,邊從第1處理氣體供給源3 7令C F4氣體經 本紙張尺度適用中國國家橾隼(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) .9 訂 -14 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(u) 由氣體供給管30、氣體導入管29、氣體導入口 28 , 予以導入於上部電極2 1內,並再經過電極板2 4之擴散 孔2 3 ,以如圖1中箭印所示,朝前述晶圓W方向吐出。 若選定該等擴散孔2 3之分布狀態,吐出氣體就會形成均 勻之分布。 將處理容器2內之壓力設定維持成例如5mTo r !· 〜1'OOmTorr之後,使高頻電源51 、52動作, 而在上部電極2 1和感應器5個個施加其電流相位互相成 1 8 0°相異之高頻電力,以在該等上部電極2 1和感應 器5之間使之產生電漿,而由解離前述導入於處理容器2 內之CF4氣體所產生之根基成分來對於晶圓W實施所定 之蝕刻。 如此之蝕刻處理之電漿,雖會產生於如上述之上部電 極2 1和感應器5之間.,惟如前述,第3電極或接地電極 2 7,因配設成從側部圍繞著前述上部電極2 1和感應器 5間之空間區域,以致從該空間區域欲朝橫向外方擴散之 離子,將會由該接地電極所吸引,而形成不會擴散至該空 間區域外部,例如處理容器2內壁。因此,前述空間區域 ’亦即對於晶圓W之處理區域內之電漿密度,就可維持成 高密度,由而對於晶圓W之高細微加工變爲可行。此時, 處理氣體係以一定之流速會從接地電極2 7和聚焦環1 5 之間隔(空隙)被排出。 而且,由於抑制著離子朝處理容器2內壁,故並不產 生該處理容器2內壁被蝕刻,或附著反應生成物之情事。 本紙張尺度適用中國國家搮準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) •ο. 、vs A7 29955a B7 五、發明説明(13) (請先閲讀背面之注意事項再填寫本頁) 雖在接地電極2 7內周面會被蝕刻或產生反應生成物之附 著之情事,惟可由選擇該內周面之形狀而使該狀況抑制之 最少。又該接地電極2 7因又輕又小型簡潔’且可容易地 對於上部電極21安裝成裝卸自如’故維修及/或交換成 爲極容易。因此,產生污染之事極爲少,致使生產之良率 並不會下降。 +至於爲了產生電漿而對於上部電極21和感應器5之 個個所施加之高頻電力,因其電流相位形成有1 8 0 °相 差異,故能無關於處理氣體之種類、減壓度來投入高頻電 力於電漿中,致使入射於晶圓W之離子電流密度可予以增 大。 經濟部中央標準局員工消費合作社印製 亦即,變化所施加於成相對向電極間之高頻電力的頻 率相位差時,將改變電漿之狀態(例如,日本國特開平 2—224239之揭示)。例如,兩個高頻電力之電壓 相位略成同相之時,電漿就散開,而密度亦成爲低,以致 處理速度會降低。另一方面,電壓相位差有錯開1 8 0 ° 之時’電漿密度就變爲高。然而,例如在頻率爲3 8 0 KHz和13 · 56MHz之時,電漿密度成爲最高之電 壓相位差有不相同。其現象思爲由於電漿之阻抗會改變之 故。 同樣,變化處理氣體之組成時,亦可由氣體電離剖面 積之特性或解離之特性差,而使電漿之阻抗產生變化,以 致最適當之電壓相位差會產生變化。 爲此,若採用如以往之控制電壓相位來施加高頻電力 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 一 16 _ A7 B7 經濟部中央標準局員工消费合作社印製 五、 發明説明( 14 ) - 1 | 之 方 式 時 1 因 如 上 述 » 由 於 電 漿 阻 抗 之 變 化 > 而 從 一 方 之 1 1 電 極 所 流 入 之 電 流 並 非 形 成 由 相 位 差 而 流 入 於 相 對 向 電 1 1 極 之 電 壓 關 係 之 時 > 會 擴 散 至 成 相 對 電 極 以 外 之 例 如 處 理 /-、 1 | 容 器 內 壁 J 以 致 極 難 以 實 現 電 漿 密 度 爲 最 局 之 狀 態 0 請 先 閱 1 I 有 關 此 狀 況 可 由 如 刖 述 > 令 電 流 相 位 使 之 控 制 成 有 讀 背 1 « I I 1 8 0 0 之 差 異 並 以 Arr m 關 於 電 漿 阻 抗 之 變 化 而 從 一 方 之 之 注 意 1 J 電 極 > 例 如 從 上 部 電 極 2 1 使 之 流 入 於 爲 相 對 向 電 極 之 感 事 項 再 1 1 應 器 5 之 時 ϊ 因 感 應 器 5 之 相 位 係 形 成 可 流 通 電 流 之 關 係 填 寫 本 r V ί 而 電 流 就 以 極 佳 之 效 率 流 入 其 結 果 電 漿 就 被 封 Λζΐ) 鎖 於 頁 '—y 1 1 該 等 上 部 電 極 2 1 和 感 應 器 5 之 間 而 其 密 度 會 變 高 〇 1 l 而 且 本 實 施 例 係 如 刖 述 構 成 亦 可 由 接 地 電 極 2 7 1 I 來 封 A/r> 鎖 電 漿 以 致 兩 者 形 成 相 輔 相 乘 而 可 實 現 極 爲 高 之 電 1 訂 I 漿 密 度 使 之 可 實 施 局 極 細 微 加 工 〇 1 1 再 者 -i-C. 刖 述 實 施 例 所 使 用 之 接 地 電 極 2 7 雖 爲 了 形 1 1 成 對 於 氣 體 之 流 通 不 產 生 障 礙 之 傾 斜 面 ( 推 拔 ) 而 在 內 側 1 丄 具 有 成 形 爲 凸 狀 之 形 態 惟 以 例 如 圖 3 所 示 做 爲 內 周 面 1 爲 平 坦 之 僅 成 圓 筒 狀 之 接 地 電 極 6 1 構 成 將 其 藉 絕 緣 材 1 料 6 2 來 配 置 於 電 極 支 承 體 2 5 外 周 以 令 被 接 地 之 處 理 1 1 容 器 2 和 該 接 地 電 極 6 1 稱 成 電 性 之 連 接 來 替 代 亦 可 〇 此 1 1 時 接 地 電 極 6 1 係 由 螺 栓 等 之 手 段 而 與 容 器 2 形 成 可 裝 1 1 卸 t 而 絕 緣 材 料 6 2 和 接 地 電 極 6 1 形 成 接 觸 或 分 離 爲 其 1 1 理 想 〇 爲 了 使 相 對 向 電 漿 產 生 區 域 » 使 之 更 形 成 被 封 鎖 之 1 1 空 間 而 更 加 局 接 地 電 極 之 高 度 , 亦 即 形 成 朝 下 方 展 延 之 1 | 筒 狀 狀 態 亦 可 〇 再 者 > 以 如 此 之 稱 成 時 1 爲 了 充 分 地 確 保 1 1 本紙張尺度適用中國國家標準(CNS〉A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 A7 ____B7 五、發明説明(15 ) 導入於該相對向電漿產生區域內之處理氣體之排氣,而如 圖4所示,在該接地電極6 3周圍,形成複數之穿孔6 4 爲佳,又爲了裝入晶圓而使接地電極6 1及/或感應器5 構成可朝上下移動亦可。 再者,第3電極結構,將如圖5所示,以一對之接地 電極2 7、6 6來構成亦可。該接地電極2 7、6 6可由 同圖察覺,個別形成略爲圓環形狀,而一邊之接地電極 2 7係配置於上部電極2 1外周,而另一邊之接地電極 66係配置於感應器5上端部近旁之外周,(此時,在所 謂排氣環上部,使之具有如此之結構亦可)。由該結構, 可令從上部電極2 1附近欲擴散之帶電粒子,將被吸引至 接地電極6 6 ,而從感應器5附近欲擴散之帶電粒子,就 被吸引至接地電極2 7 ,其結果,產生於上部電極2 1和 感應器5間之電漿,就很少會擴散至處理容器之內部壁上 〇 又圖6所示之接地電極67、66 ,係改變前述電極 之形態,使之形成環狀且內側面朝下方內側形成斜面之剖 面予以形成略三角形者。依據如此構成之接地電極6 7、 6 6 ,因如上側之接地電極6 7 ,其內側之斜面部朝向於 感應器5之方向,由而較前述圖5所示之接地電極2 7, 更能有效地吸引帶電粒子,而更能增進電漿擴散之防止效 果。 再者,前述圖5、圖6所示之接地電極,雖均形成上 下相對向之結構,惟並非構成如此地相對向結構,即使朝 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ! - - - - - v 111 —- -1 (請先閱讀背面之注意事項再填莴本頁)
、1T 經濟部中央標準局員工消費合作社印製 A 7 B7 五、發明説明(16 ) 橫方向位移’亦可獲得電漿擴散效果。 圖7所示之實施例裝置之接地電極2 7係被形成圓形 環狀’而以未圖示之螺栓等之裝卸手段來安裝成可裝卸於 處理容器2上壁之面上。此結果,該接地電極係藉容器2 成電性之接地。而筒狀之絕緣構件2 2介居配設於該接地 電極2 7內周面和上部電極2 1外周面之間,由而兩者將 由該絕緣構件形成電性絕緣。此接地電極2 7下面係如圖 8所示’與絕緣構件2 2下面一齊形成朝下方外方之推拔 (傾斜)面2 7 a。於此實施例,傾斜角度雖設定成 30° ’惟在25〜35°之範圍爲較理想。 在此裝置’聚焦環1 5上面係如圖7所示,形成與前 述接地電極2 7之傾斜面2 7 a略平行之傾斜面。此結果 ,在該等傾斜面間,規定了處理氣體之排氣通道,而使在 處理容器2周圍壁部下面以等間隔所形成之複數,在本實 例爲兩個之排氣管4 1之處理氣體流通予以形成順暢者。 圖7所示之實施例中,符號4 9係顯示配設於感應器 5內之例如由陶瓷加熱器所構成之加熱機構,而由該加熱 機構,感應器5可由設於處理容器2外部之電源4 8來加 熱成所定之溫度。符號2 4 a係顯示設於上部電極2 1內 之氣體擴散室內之擴散板。該擴散板2 4 a係與底板或電 極板2 4成平行展延,並在其上形成與電極板所形成之擴 散孔2 3同樣之多數擴散孔於與擴散孔2 3成橫方向位移 之位置。 前述氣體擴散導引2 7係設定成與絕緣構件2 2合起 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X 297公釐) :·—— (請先閱讀背面之注意事項再填寫本頁)
、1T 299559 A7 B7 經濟部中央標準局員工消费合作社印裝 五、 發明説明( 17 ) - 1 I 來 之 寬 度 爲 1 5 2 0 mm 爲 其 理 想 0 又 上 部 電 極 2 1 之 電 1 I 極 板 2 4 最 好 設 定 成 能 與 晶 圓 W 中 心 成 — 致 且 電 極 板 一 方 1 1 具 有 約 1 0 % 長 之 直 徑 〇 氣 體 擴 散 導 引 2 7 之 傾 斜 面 , 並 1 I 請 1 I 非 限 定 於 如 實 施 例 之 平 面 1 形 成 朝 上 或 朝 下 突 出 之 彎 曲 面 閱 1 I 讀 1 | 亦 可 〇 背 • 1 I 之 1 接 著 說 明 有 關 使 用 圖 7 所 示 之 裝 置 來 實 際 地 進 行 形 注 意 1 事 1 成 於 6 吋 矽 晶 圓 W 表 面 之 氧 化 膜 ( S i 0 2 ) 之選擇性蝕 項 S. 1 C 刻 0 •ΓΓ 填 頁 1 此 時 設 定 成 處 理 容 器 2 內 之 壓 力 爲 1 0 m T 0 r r 、_^ I 9 爲 處 理 氣 體 之 C F 氣 體 和 C Η F 3 氣 體 之 流 量 比 爲 1 1 2 5 / 7 5 S C C m 〇 又 溫 度 5X 定 成 處 理 容 器 內 下 部 爲 約 1 1 2 0 °C 同 上 部 爲 3 0 °c 側 部 爲 4 0 °c 0 而 在 上 部 電 極 訂 1 2 1 施 加 2 0 0 0 W 2 7 Μ Η Ζ 之 商 頻 電 力 至 於 感 應 1 I 器 5 則 施 加 8 0 0 W 8 0 0 Κ Η Ζ 之 電 力 0 1 1 1 將 在 圖 9 顯 示 以 該 條 件 下 之 晶 圓 蝕 刻 之 結 果 0 該 圖 中 1 丄 > 橫 軸 顯 示 從 晶 圓 中 心 朝 周 邊 之 距 離 ( 晶 圓 直 徑 ) 又 縱 1 軸 係 顯 示 蝕 刻 速 度 〇 由 該 結 果 , 可 察 覺 且 理 解 晶 圓 之 中 心 1 形 成 最 大 之 触 刻速 度 而 伴 隨 著 朝 向 周 邊 形 成 降 低 惟 從 | 中 心 離 開 5 0 nun 之 位 置 內 之 蝕 刻 速 度 在 X 方 向 和 與 該 X 1 I 方 向 成 正 交 ( 垂 直 相 交 ) 之 Υ 方 向 均 個 別 形 成 較 1 1 I 4 0 0 0 « A ( 埃 ) / m i η ( 分 ) 爲 高 〇 又 測 量 點 之 平 均 1 1 亦 有 4 0 7 2 A / m i η 之 極 高 之 速 度 〇 1 1 有 關 蝕 刻 速 度 之 均 勻 性 ( U ) 以 如 下 之 式 子 表 示 時 1 1 1 1 本紙張尺度適用中國國家標準(CMS ) A4規格(21 OX 297公釐) _ 20 _ 經濟部中央標準局貝工消費合作社印袈 A7 B7 五、發明説明(18) U (%)=(E Rmax - E Rmin)/ 2 · E Ravex 1 Ο Ο U形成U = 6 3%。該式子中’ ER max爲晶圓W上之最 大蝕刻速度,E R m i n i爲晶圓上之最小蝕刻速度’而 E R ave爲平均蝕刻速度。 以如此,蝕刻速度成爲高且遍及晶圓整面可獲得均勻 之蝕刻,係由於令接地電極2 7下面構成朝下方且朝外方 傾斜之推拔(傾斜)面,而使處理氣體能以均勻之分布朝 向晶圓W被導引,且使處理終了之氣體之朝排氣管4 1方 向的氣體之流通成爲順暢,致使氣體流通之傳導率減少之 故。 爲了與該效果加以比較,將以除了去除具有傾斜之下 面的氣體導引構件(接地電極)之外,其他均與前述同樣 條件來進行蝕刻處理之結果顯示於圖1 0。由該結果和圖 9所示之結果,可理解實施例之裝置一方,在蝕刻速度有 增進,形成遍及晶圓整體約增進1 0 0 OA/m i η之蝕 刻速度。又由該等測量結果之比較,亦顯示著圖9所示之 曲線一方較圖1 0所示之曲線一方在斜度上較平緩,因此 ,應可理解在晶圓整面之蝕刻速度之均勻性極爲高。由圖 1 0之測量結果%斤算出之實際的均勻性(U )爲1 2 · 3 %,而與本實施例之裝置之6 . 3%加以比較時可顯明地 辨別該實驗裝置之均勻性極爲不佳。又對於X方向和Υ方 向之均勻性之差異,亦以本實施例一方更優異應可理解。 圖1 1所示之實施例之裝置,係替代聚焦環配設圓環 狀之氣體擴散排氣導引4 7於感應器5之上面外周部。此 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) X =0衣—— (請先閱讀背面之注意事項再填寫本頁) '1Τ -21 - 經濟部中央標準局員工消费合作社印製 A7 B7 五、發明説明(19 ) 導引即使以絕緣體來形成或以導體形成均可,又以_體开乡 成之時,即使予以接地或不加以接地均可。此導引上胃<系 形成朝下方且朝外方傾斜之傾斜面。而以如此之裝置,亦 具有與圖7所示之裝置同樣之效果。 以上所說明之圖7及圖1 1所示之實例,在接地電極 下面所配設之朝向氣體排氣方向(排氣管方向)傾斜之傾 斜面之氣體導引機構,並不需要一定與該接地電極併用。 即使,例如將接地電極不以導體形成而以絕緣體形成,並 使其作爲氣體導引機構亦可。 前述之實例,雖採用配設有除了上部電極2 1 、感應 器5以外之至少1個電極之結構,惟替代該結構而採用例 如圖1 2所示之配設多數之磁鐵在上部電極2 1和感應器 5之近旁周圍成相對向亦可。亦即,在上部電極21 ,環 狀之絕緣構件7 1配設於電極支承體2 5下端部外周,而 在該絕緣構件7 1內部,以形成環狀且等間隔配設有如圖 1 3所示之略圓柱狀之永久磁鐵7 2。在本實施例係如圖 1 2所示,構成所有之永久磁鐵7 2之N極能位於下面側 ,亦側在感應器5側,且在配置成環狀時之間隔’將設定 成如圖1 4所示,相鄰接之永久磁鐵間之中心間Θ形成 2 0°〜5 0 ° ,理想爲1 0 ° 。 如圖1 2所示,在感應器5之上端部外周’亦設有環 狀之絕緣構件7 3 ,並在該絕緣構件7 3內部’以同一個 數、同一間隔且與前述永久磁鐵7 2成相對向配設具有最 好與前述永久磁鐵7 2同形,同尺寸,同一磁力之永久磁 本紙張尺度適用中國國家標準(CNS ) Α4規格(21〇Χ297公釐) (請先閱讀背面之注意事項再填寫本頁) Q. 訂 -22 - 經濟部中央標準局員工消費合作社印製 A7 ____B7 五、發明説明(20 ) 鐵7 4。而該配設於感應器5側之第1群永久磁鐵7 4之 磁極,係設定成與前述第2群永久磁鐵7 2所相對向部分 之磁極爲相反之磁極,亦即S極形成位於上部電極2 1側 。因此,各永久磁鐵72、74之磁極關係,係形成如圖 1 5所示。 以如此配置磁鐵時,就在上部電極2 1周緣部和感應 器5周邊部之間會產生圓環狀之局部性之磁場,並由該磁 場可捕捉上部電極2 1和感應器5間空間內之帶電粒子飛 出至電極間之電漿區域外面,致使電漿可予以封鎖於該電 漿產生區域內。 再者,磁場之強度,倘若過強時,具有可使電漿本身 產偏移而予以產生影響於電漿處理本身之虞,爲此,最好 設定成被處理體之晶圓W周邊部之磁場強度爲1 〇 Gauss (高斯)以下爲理想。 爲使前述之局部性之磁場形態更爲理想所期望者,而 構成如圖1 6所示,例如在永久磁鐵7 2上端部以設置環 狀磁性體7 5來使之做爲軛來產生功能而與磁鐵7 2予以 併用亦可。 再者,圖1 2所示之實例,係令配置於上部電極2 1 側之永久磁鐵7 2和配設於感應器5側之永久磁鐵7 4, 在上下間形成相互成相異之磁極結構,而在相鄰之磁鐵相 互間,則形成同一磁場之結構,惟替代該結構而配置成如 圖1 7所示,甚至相鄰之磁鐵相互間亦配置成磁極爲相異 之時,更可獲得理想之作用效果。亦即,配置成如圖1 7 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) N .--ο衣II (請先閱讀背面之注意事項再填寫本頁)
*-ST -23 - 經濟部中央標準局員工消費合作社印裝 A7 B7_________ 五、發明説明(21 ) 所示時,不僅在上下相對向部分會形成環狀之磁場,而且 在相鄰之相對向部分亦會產生磁通,由而捕捉帶電粒子之 結構會更形成緊密。因此,較圖1 5之狀況,更能增進電 漿之封鎖作用。 然而,如前述,現今伴隨著半導體裝置之高集體化而 對於製造過程亦要求著更細微之加工。例如以蝕刻處理來 形成接觸孔之時,甚至有必要實施細微加工至孔之直徑爲 0 . 3/·ίΐη,孔深爲1〜2em之狀況。 惟在習知之平行平面板型電漿裝置,因構成經常施加 一定輸出之高頻電力,以致孔徑成爲如此之小時,將形成 如圖1 8所示,蝕刻反應形成物Z難以排出而沈積於孔 8 1底部或底部近旁,致使與蝕刻氣體之換置無法可順暢 地來進行,其結果產生如圖1 8所示,孔8 1之形狀形成 反圓錐形,或蝕刻率(速度)下降而無法實施對應於高積 體化之微細加工之問題。 爲了對付如此之問題,構成例如控制前述電漿處理裝 置1之高頻電源5 1 、5 2之輸出’以形成如圖1 9之曲 線圖所示之在每1 Om s (毫秒)之週期重複輸出之大小 來施加於上部電極2 1 、感應器5亦可。在圖1 9係控制 成最大時之輸出爲1 0 0 OW ’最小時之輸出爲其1/5 之2 0 OW。以控制成如此之狀態時,在大電力時’可提 高電漿密度來進行蝕刻,而在小電力時’能使電漿密度降 低,以促進產生於圖2 0所示之孔8 2內之蝕刻反應形成 物之排出,而使與蝕刻氣體之置換形成順暢’致使如同圖 本紙浪尺度適用中國國家標準(CNS ) Α4規格(210X297公釐〉 (請先閱讀背面之注意事項再填寫本頁) -9
*1T -24 - 299553 A7 _____B7 五、發明説明(22 ) 所示’可形成孔8 2之入口和底部之直徑形成爲相同之直 徑。 再者’前述之電力之最大、最小,及其週期,可對應 於做爲目標之孔之大小’材質,處理氣體之種類而予以適 當地選擇即可。 上述之電漿處理裝置1 ,雖構成使用著兩個產生電漿 用之高頻電源來施加高頻於上部電極2 1和感應器5 ,惟 構成爲切換自如地來令任何一方之電極經常接地,而僅對 於另一電池予以施加之時,就可由1個裝置結構來實施2 個不同模式之蝕刻處理》 又亦可使用1個高頻電源來進行如此之切換。於圖 2 1所示之實例,係使用1個高頻電源9 1就可實施如此 之兩個相異模式之蝕刻處理的蝕刻處理裝置9 2 (在此圖 經濟部中央標隼局0貝工消費合作社印製 (讀先閲讀背面之注意事項再填寫本頁) 中,蝕刻處理裝置本身雖予以簡略地記載,惟其結構係與 顯示於圖1或圖7所示者相同)。在形成減壓自如且被接 地之處理容器2內,配設有上下成相對向之上部電極2 1 和感應器5 ,而在該處理容器2上部,將第1真空繼電器 9 6收容於密封盒9 7內,以負責進行上部電極2 1之與 前述高頻電源91或處理容器之連接切換。 在匹配盒9 8內則收容有第2真空繼電器9 9 ,以負 責對於下部電極5切換至高頻電源9 1或接地側,及切換 連通於前述第1真空繼電器9 6之高頻電源9 1之路徑的 Ο N (開)、0 F F (斷)之情事。 依據具備如此結構之蝕刻處理裝置9 2 ,以如圖2 1 一本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~~ ~ 經濟部令央標準局員工消費合作社印掣 A 7 _______ 五、發明説明(23) 之狀態時,係形成D C (直流)偏壓爲大之R I E (反應 離子蝕刻)模式,而上部電極被接地著,對下部電極5則 施加有來自高頻電源9 1之高頻電力,並對存在於電極間 之晶圓等之被處理體,可實施高真空區域下之細微加工, 及予以形成垂直形狀之控制性極高之蝕刻處理。 而在個別地予以切換前述第1真空繼電器9 6 、第2 真空繼電器99 ,以構成如圖22之DC偏壓爲小之PE (電漿蝕刻)模式之時,下部電極5就被接地著,而對於 上部電極2 1則施加有來自高頻電源9 1之高頻電力,致 使對於存在於電極間之晶圓等之被處理體所產生之損害就 極少,而可實施尺寸控制極爲優異之蝕刻處理。 因此,僅予以切換第1真空繼電器9 6、第2真空繼 電器9 9 ,就對於同一被處理體,在同一處理容器內連續 地可實施兩個相異之蝕刻處理,而可圖謀處理過程應用之 擴大。 再對於其他之實施例加以說明時,圖2 3係以型式顯 示具備在上下相對向電極施加頻率相異之高頻電力的結構 之蝕刻裝置1 0 1之剖面者。該蝕刻裝置1 0 1中之處理 容器2 ’係形成可關閉成氣密自如之由被氧化處理成耐酸 鋁之鋁等所構成之圓筒形狀,而該處理容器2本身係被接 地著。 而在前述感應器5和冷媒室6之間,配設有例如陶瓷 加熱器等之加熱機構4 9,並以前述冷媒室6之冷的熱和 該加熱機構4 9 ,可令晶圓W設定且維持成所定之溫度》 本紙张尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) HI ι^ϋ - «^—^1 f il In - - 1^} nn m· nn 一 r (請先閱讀背面之注意事項再填莴本頁) -26 - 經濟部中央標準局貝工消費合作社印¾. A7 __B7 五、發明説明(24 ) 前述感應器5上端周緣部,埋設有環狀之絕緣材料 5 a ,並在其上配置有環狀之聚焦環1 5 ,再在該聚焦環 1 5外周配設有環狀之下方接地電極6 6。 前述感應器5上方,係使上部電極2 1形成與感應器 成平行相對向且間隙長度約2 5 mm狀被支承於處理容器2 上部。而在安裝於該上部電極外周面之筒狀絕緣支承構件 2 6外周,再配設有圍繞上部電極2 1之環狀之上方接地 電極2 7。至於該上方接地電極2 7和前述下方接地電極 6 6之各外周緣部,則如圖2 4所示,以形成朝上下方向 重疊狀予以接地著。亦即,兩者27、26係被設成同心 且被構成外徑成爲相等。 接著,對於形成該蝕刻裝置1 〇 1之下部電極之感應 器5和上部電極2 1之高頻電力之施加結構來加以說明。 首先對於感應器5 ,將藉匹配器或匹配電路5 4施加例如 可輸出頻率爲8 Ο Ο KH z之相對性低頻率之相對性之低 頻電源5 2之電力。該匹配器5 4係如圖2 3所示,構成 感應線圏1 3 3和可變電容1 3 4成串聯被連接著,再在 前述可變電容和感應器5之間連接有一端形成被接地之另 一之可變電容1 3 5端部。因構成如此,可在前述感應線 圈1 3 3和可變電容1 3 4 ,予以個別地控制來自相對性 之低頻電源5 2之電力阻抗,又在可變電容1 3 5個別地 控制其相位,以進行匹配之作用。 另一方面,對於上部電極2 1 ,則構成藉匹配器5 3 施加來自例如輸出頻率爲2 7MH z之相對性之高頻電力 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) " ...it------^ (請先閱讀背面之注意事項再填寫本頁) A7 B7 五、發明説明(25 ) 之相對性高頻電源5 1之高頻。 有關本實施例之蝕刻裝置1〇1之主要部分係構成如 上述’而對於例如矽之晶圓w之氧化膜予以實施蝕刻處理 之作用等加以說明時,係對於處理容器2內供給來自處理 氣體供給源3 7之C F4氣體,而在處理容器2之壓力被 設定維持成例如1 OmT 〇 r r之後,首先,將對於上部 電極2 1施加來自相對性高頻電源5 1之頻率2 7MH z 之相對性高頻。接著,以該施加後之1秒鐘以內之定時, 對於感應器5施加來自相對性低頻電源5 2之頻率爲 8 Ο Ο KH z之相對性低頻,而在上部電極2 1和感應器 5之間予以產生電漿。以如此地施加延遲感應器5側之驅 動,而具有能使晶圓W不會由過大之電壓而受到損傷之虞 〇 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 而由所產生之電漿所解離之C F4氣體之根基成分, 以令晶圓W表面之氧化矽膜(S i 〇2)被蝕刻。此時, 首先由位於上部電極2 1周圍之接地電極2 7和位於感應 器5周圍之接地電極6 6所產生之電位而使電漿被封鎖, 使之其擴散被抑制而予以維持高密度。 在本實施例之時,尤其如圖2 4所示,上方接地電極 2 7和下方接地電極6 6之外周緣部,因被設置成在上下 方向重疊般,致使封鎖電漿之效果形成極爲大。亦即,如 圖2 5所示,例如接地電極2 7 —方,若其位於外周而其 外周緣部未形成上下方向重疊狀時,就使電漿有某程度之 擴散,惟形成如本實施例之外周緣部在上下方向成重疊狀 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) A7 _B7__ 五、發明説明(26 ) 時,電漿就不具有朝外部擴散之餘地,而可確保極高之密 度。因此,從此乙點觀看,就可察覺可實施細微之蝕刻處 理。 依據發明人等之觀察,在上部電極2 1和感應器5間 之間隙長度,和蝕刻率,蝕刻率之均勻度(在晶圓w上之 蝕刻率之分布)及電漿之穩定度(從電漿之上升、維持、 擴散所觀看之穩定度)之間,確認具有如圖2 6之關係。 亦即,間隙長度愈長,雖使蝕刻率(E / R )和均勻度( U)愈下降,惟在另一面可增進電漿之穩定度(S )。爲 此,若要實現生產良率高且實施細微之蝕刻處理時’有必 要令該等3個要件確保成平衡極佳之狀況方可。依據發明 人所獲得之結果,係如圖2 6所示’間隙長度在2 5 mm長 左右處爲該3個要件最能獲得成平衡之處極爲顯明。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 有關此一點,本實施例係如上述,將上部電極2 1和 感應器5之間隙長度設定成2 5 πμ,因此’對於晶圓W可 實現生產良率爲高之細微之蝕刻處理。再者’所期望之蝕 刻處理,因有多種多樣,並不一定有必要設定於此2 5晒 ,由圖2 6之曲線圖可察覺,間隙長度爲1 5刪〜3 5 ram 之範圍亦可實現平衡爲佳之蝕刻處理,甚至在1 〇 ram〜 4 0 ram之間亦可實現平衡較佳之蝕刻處理。 在以往使用此種之高頻的電漿處理裝置’爲了獲得高 頻之匹配,而在高頻電源和將予以施加之電極’例如下部 電極之間,配設有如圖2 7所示之匹配器1 5 1。該以往 之匹配器1 5 1 ,係具備有在下部電極5和高頻電源5 2 本紙浪尺度適用中國國家標準(cns ) A4規格(2丨0'〆297公釐) ~ ~ 一 29 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(27 ) 之間,以串聯連接有可變線圈1 5 4、1 5 5 ,再在該等 可變線圈1 5 4、1 5 5之間,連接著一端被接地之電容 1 5 6的另一端之結構。由而可實施寬闊之範圍的調整( 實施匹配)。然而,在另一方面,並無法獨立地來控制阻 抗和相位,又具有例如容易受到來自上部電極之頻率影響 之問題。 •惟有關此乙事,在有關本實施例之蝕刻裝置1 〇 1係 如上述,感應線圏1 3 3和可變電容1 3 4係形成串聯被 連接著,再者,令一端部被接地之可變電容1 3 5之另一 端部係形成並聯連接著,而可獨立地控制來自相對性低頻 電源5 2之電力的阻抗和相位,因此,形成調整容易且難 以受到來自上部電極2 1之相對性高頻之影響。故所產生 之電漿極爲穩定,並由此乙點就可實現所期望之蝕刻處理 〇 再者,在有關本實施例之蝕刻裝置1 0 1 ,上部電極 2 1及感應器5均爲固定式,爲此,對於該等電極間之間 隙亦固定於2 5圆長,惟鑑於前述圖2 6之特性,將間隙 長度構成可變之結構亦可。例如圖2 8所示,以調整機構 1 6 1來感應器5構成上下移動自如時,就可使上部電極 2 1和感應器5之間之間隙長度d任意地予以變化。 上述兩個實施例之裝置中,若做爲處理氣體而使用如 CF4' C2F5、C3F8、CHF3 之含有 C (碳)、F ( 氟)之處理氣體時’最好上部電極表面之至少一部分做成 S i 0 2。構成如此之上部電極,以具體的言,例如係以 本紙張尺度適用中國國家標準(CNS ) Μ規格(2丨0X297公釐) 一 30 - (請先閱讀背面之注意事項再填寫本頁) 訂 A7 B7 經濟部中央標準局貝工消費合作社印裝 五、* 發明説明( ” - 1 如 鋁 之 導電體 來 構 成 上部 電 極之母 材料,並將 其 表面以 1 1 S i 〇 2膜加以被覆來形成 ,該S i 〇 2膜最好 爲 1 〜2 ram 1 1 之 厚 度 ,而可 由 C V D、 濺 射等之 已知方法來 構 成。 1 I 一 般使用 如 上 述 種類 之 處理氣 體時,例如 使 用 請 先 閱 1 1 I C Η F 3之時 ’若維持單獨使用時 因由電漿之進行所形 讀 背 ιέ 1 | 成 之 過 剩氟根 基 ( F 甚至會蝕刻至晶圓W之矽底子 岑 1 j > 此 結 果,具 有 選 擇 比會 降 低之虞 。爲了防止 該 情況,有 事 項 1 1 種 以 添加C 〇 氣 體 於處 理 氣體中 ,並以此來 形 成含多碳 再 填 寫 本 Q 之 沈 積 物(一 種 保 護 膜) 於 矽之底 子表面,以 防 止因由前 頁 —^ 1 1 述 之 氟 根基而 使 矽 之 底子 被 蝕刻過 剩之方法被 人 所知。 1 1 如 上述, 將 上 部 電極 表 面之至 少一部分以 S i〇2來 1 I 形 成 並導入 含 C F之 處 理氣體 而以電漿來 予 以解離之 訂 I 時 就 產生S i 〇 2 + C X Fy— XS i + Y C 0等 1 1 | 之 反 應 ,而具 有 與 預 先添 加 C 0氣 體於處理氣 體 之狀態的 1 1 同 樣 效 果。 1 丄 另 一方面 替 代 在上 部 電極表 面之至少一 部 分以 Ί S i 〇 2來形成 而將聚焦環表面之--部份以B N或含有 1 B N 之 物質來 形 成 亦 具有 同 樣之效 果。 1 I 其 係在處 理 中 過剩 之 氧根基 (F*)將會與B結合 1 1 , 而 產 生2 B N + 6 F — 2 B F 3 1 ' + N 2个之 反 應,致使 1 1 I F 3 極 有效地 予 以 排 氣, 以 致電漿 中之氟根基 會 減少。 1 1 以 如此, 將 上 部 電極 表 面之至 少一部分以 S i〇2來 1 1 形 成 之 技術, 和 聚 焦 環表 面 之一部 分以B N或 含 B N之物 1 1 質 來 形 成之技 術 可 採用 其 中之一 方法或將兩 者 併用亦可 1 1 本紙張尺度適用中國國家標隼{ CNS > Μ規格(210X297公釐) -31 _ 經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明説明(29) 〇 圖2 9所示之實施例裝置,係爲使能以極佳之效率來 防止於處理容器2內周面附著有形成污染原因的沈積物, 而使圓筒狀之加熱構件2 0 0配設在處理容器2內周面和 電漿產生區域(上部電極和下部電極之間)之間,且在處 理容器2內周面附近。此加熱構件2 0 0係如圖3 0所示 ,形成在以石英或陶瓷所構成之筒體2 0 1內,埋設有電 阻發熱體或陶瓷加熱器等之電性的加熱機構之加熱體 2 0 2之構造。以構成該加熱體2 0 2形成完全埋設於筒 體2 0 1內且不使其露出於外部,以使之處理容器2內即 使形成例如1 Ό m T 〇 r r般之高真空,亦不致於產生妨 礙、故障。加熱體2 0 2係連接於電源2 0 3,以控制加 熱構件成可在4 0°C〜2 0 0 °C之範圍之任意溫度內。因 可由該加熱構件2 0 0而使處理容器2內周面使之能以極 佳效率來加熱,以致可防止沈積物附著於該內周面。 上述加熱構件2 0 0雖能以從處理容器2上壁懸吊或 配設於感應器5上等之方法來設置,惟爲使對於晶圓W之 搬運不產生妨礙,最好將該加熱構件配設成可朝上下移動 ,或設置感應器可朝上下移勋爲佳。 接著,參照圖3 1及圖3 2來說明有關本發明實施例 之蝕刻裝置適用碳以防止蝕刻處理容器之惡化之同時,並 確實地可防止由金屬而產生之半導體晶圓等之污染的技術 思想。 圓筒狀之蝕刻處理容器2係由例如材質以表面施加耐 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) •C装_ 、-° -32 - 經濟部中央標準局員工消費合作社印袈 A7 __B7 五、發明説明(3〇 ) 酸鋁處理之鋁所構成之有底圓筒狀之蝕刻處理容器下部 2 a ,及配置該蝕刻處理容器下部2 a之上部開口關閉成 氣密,且從同樣材質形成圓板狀之蝕刻處理容器上部2 b 所構成。再者,在該等抵接部配設有用以保持內部成氣密 用之0型環2 d。 於蝕刻處理容器下部2 a側壁面,形成有如圖3 2所 示之用以搬入、搬出半導體晶圓W用之開口 2 c形成相對 向於兩側,並在該等開口 2 c外側,個別藉閘閥4 2配設 有裝載閘室4 3。而在該等裝載閘室4 3內,個別配設有 搬入、搬出半導體晶圓W之搬運機構46 (僅圖示一邊而 已),而通常係一方之裝載閘室4 3作爲搬入專用,而另 一方之裝載閘室4 3作爲搬出專用。再者,圖中4 2 a係 用以截斷、開於各裝載閘室4 3與外部用之閘閥。 蝕刻處理容器2內配設有如以材質例如陶瓷所構成之 絕緣性支承構件3所支撐之材質爲例如施加有耐酸鋁處理 之鋁所形成之形成圓板狀之感應器,亦即下部電極5。該 下部電極5係藉匹配電路5 4被連接於高頻電源5 2 ,而 下部電極5內配設有冷卻用之冷媒循環路徑1 2 »又在下 部電極5上面,係形成可使半導體晶圓W例如以靜電夾盤 等來吸附保持之平面狀。 另一方面,在蝕刻處理容器上部2b之相對向於下部 電極5之位置部分,係作爲上部電極2 1 。在該上部電極 2 1連接有未圖示之從氣體供給源所導出之氣體供給管路 2 9 ’而從氣體供給管路2 9所供給之所定之蝕刻氣體, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先聞讀背面之注意事項再填寫本頁) 衣. 、-0 A7
S9955Q ___B7_____ 五、發明説明(31 ) 係構成由形成於上部電極21內之氣體擴散用之空隙 (請先閲讀背面之注意事項再填寫本頁) 2 1 6內而形成有多數之貫穿孔(通孔)之氣體擴散板所 擴散,並從上部電極2 1下面側所形成之多數通孔2 1 6 朝向載置於下部電極5上之半導體晶圓W予以均勻地供給 者。 蝕刻處理容器2下部,連接有被連接於排氣泵4 5之 排氣管路4 1 ,而在下部電極5周圍,成水平配設有圖 3 2亦有所示之形成有多數之通孔之緩衝板2 1 9 ,以能 從下部電極5周圍進行均勻之排氣。 上述緩衝器2 1 9係由碳所構成,而排氣管路4 1係 從蝕刻處理容器2有一定距離,例如數拾公分〜1公尺左 右’在其內部以碳之塗敷層膜所被覆(覆蓋)。又在上部 電極2 1下側面,則以碳製成之板2 2 0所覆蓋,而上部 電極2 1之通孔2 1 6內’則由碳之塗敷層膜2 1 6 a所 被覆。再者’在蝕刻處理容器2內,則配設碳製成之圓筒 2 2 1成覆蓋其內側壁面。 經濟部中央標準局員工消費合作社印製 上述碳製之圓筒2 2 1形成有對應於兩個開口 2 c之 個別開口部2 2 2 ,並個別配設有碳製之開閉板(擋板) 2 2 3成開閉自如地來覆蓋該等開口部2 2 2。該等開閉 板2 2 3係如圖3 2所示,由具有與蝕刻處理容器內壁面 略问樣曲率之圓弧狀板體所構成,該等開閉板2 2 3係構 成藉軸2 2 4被連接於設在蝕刻處理容器2外部之汽缸 225 ’並由該汽缸225之伸縮動作而作上下移動。又 在触別處理容器2之軸2 2 4之貫穿部,設有用以維持該 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ' -- -34 - A7 B7 經濟部中央標準局員工消費合作社印製 五、 皆明説明( 3Σ !) - 1 I 等 構 件 之 間 的 氣 密 性 用 之 機 構 例 如 波 紋 ( 風 箱 ) 機 構 ( 1 1 未 圖 示 ) 〇 i 1 上 述 各 碳 製 構 件 , 亦 即 衝 板 2 1 9 、 板 2 2 0 、 圓 ^—v 1 I 請 1 I 筒 2 2 1 開 閉 板 2 2 3 > 係 S/L 定 成 厚 度 爲 例 如 1 2 0 Jt 閱 1 I 讀 1 1 mm 〇 背 面 I 之 1 在 挫 稱 成 如 上 述 之 本 實 施 例 蝕 刻 裝 置 係 預 先 使 排 氣 泵 注 童 1 事 1 4 5 予 以 ^1, 動 作 以 令 触 刻 處 理 容 器 2 內 設 定 成 所 定 之 真 空 項 1 度 〇 填 本 Q 1 然 後 打 開 任 何 —* 方 之 裝 載 閘 室 4 3 之 閘 閥 4 2 a 而 頁 、^ 1 1 以 搬 運 機 構 4 6 來 搬 入 半 導 體 晶 圓 W 於 裝 載 閘 室 而 後 > 1 1 關 閉 閘 閥 4 2 a 並 使 裝 載 閘 室 4 3 內 設 定 成 所 定 之 真 空 度 1 1 0 然 後 打 開 閘 閥 4 2 並 同 時 將 開 閉 板 2 2 3 從 開 □ 訂 1 2 C 之 刖 面 移 開 而 以 vk 運 機 構 4 6 載 置 半 導 體 晶 圓 W 於 下 1 | 部 電 極 5 上 〇 1 I 接 著 從 蝕 刻 處 理 容 器 2 內 退 走 搬 運 機 構 4 6 而 關 1 丄 閉 閘 閥 4 2 之 同 時 並 令 開 閉 板 2 2 3 位 於 開 □ 2 C 之 前 Ί 面 位 置 而 以 此 狀 態 下 從 氣 體 供 給 管 路 2 9 供 給 所 定 之 1 蝕 刻 氣 體 例 如 C 2+ B C 又 3 並 同 時 從 高 頻 電 源 5 2 1 1 供 給 例 如 1 3 5 6 Μ Η Ζ 之 筒 頻 電 力 以 令 蝕 刻 氣 體 使 1 1 之 電 漿 化 亦 即 由 反 應 性 離 子 蝕 刻 來 對 於 半 導 體 晶 圓 W 實 1 | 施 蝕 刻 處 理 〇 1 I 此 時 在 本 實 施 例 之 蝕 刻 裝 置 因 在 蝕 刻 處 理 容 器 2 1 1 I 內 之 暴 露 於 電 漿 之 部 分 除 了 半 導 體 晶 圓 W 之 表 面 以 外 > 1 1 全 部 以 碳 所 構 成 因 此 可 防 止 例 如 開 P 2 C 之 閘 閥 4 2 1 1 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) -35 — A7 ________B7__ 五、發明説明(33 ) 或上部電極2 1之通孔2 1 6內等被腐蝕,由鋁等而使半 導體晶圓W被污染等之情事。又以碳所製成之板2 2 0、 圓筒2 2 1 '開閉板2 2 3等,雖會被蝕刻而消耗,惟以 更換能以較廉價來製造之該等構件來對付,而可防止蝕刻 處理容器下部2 a ,蝕刻處理容器上部2 b等之惡化。 再者,當進行鋁之蝕刻之時,由從板2 2 0、圓筒 2 2 1 、開閉板2 2 3等所蝕刻之碳之作用,而可圖謀半 導體晶圓W之選擇比之增進。亦即,在上部作爲掩蔽之形 成有光保護層(photo-resist)之非蝕刻部分之側壁部, 容易形成由碳之聚合物所形成之側壁保護膜,致使可抑制 側壁部之所謂被切削下部(under cut),而可圖謀選擇 比之提升。 又開閉板2 2 3 ,因以與蝕刻處理容器內壁面具有略 同樣之曲率之圓弧狀板體所構成,以致產生於蝕刻處理容 器內之電漿,將沿著蝕刻處理容器內壁面形成均勻且均衡 之電漿密度,致使半導體晶圓W之處理成爲均勻化,而增 進生產性良率。 經濟部中央標隼局員工消費合作社印¾. (請先閱讀背面之注意事項再填寫本頁) 再者,前述之各實施例,均對於被處理體爲半導體晶 圓來加以說明,惟並不僅限定於此,本發明亦可構造成以 L C D (液晶顯示器)基板爲對象之裝置結構。 〔圖示之簡單說明〕 圖1係有關本發明實施例之蝕刻處理裝置之剖面說明 圖。 本纸浪尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7 五、發明説明(34) 圖2係使用於圖1之蝕刻處理裝置之接地電極之一部 分破開之斜視圖。 (請先閲讀背面之注意事項再填寫本頁) 圖3係使用具有另一構造之接地電極之處理容器剖面 說明圖。 圖4係具有通孔之接地電極之斜視圖。 圖5係使用相對向型接地電極之處理容器剖面說明圖 〇 · 圖6係使用內側具有斜面部之相對向型接地電極之處 理容器剖面說明圖。 圖7係概括性地顯示有關本發明之其他實施例之蝕刻 裝置之剖面圖。 圖8係放大顯示圖7所示之裝置之氣體擴散導引之一 部分之圖。 . 圖9係顯示以圖7所示之.裝置來進行矽晶圓之氧化膜 之蝕刻時之朝晶圓直徑方向移位之位置和蝕刻速度之關係 之圖。 經濟部中央標準局員工消費合作社印製 圖1 0係用以與圖9比較而顯示以從圖7所示之位置 去除氣體擴散導引來進行氧化膜之蝕刻時之朝晶圓直徑方 向移位之位置和蝕刻速度之關係之圖。 圖1 1係概略性地顯示再另一實施例之蝕刻裝置之圖 〇 圖1 2係使用永久磁鐵作爲電漿擴散防止機構時之上 部電極、感應器近旁之主要部分放大剖面圖。 圖1 3係圖1 2中之永久磁鐵之斜視圖。 未紙浪尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) — -37 - 經濟部中央標準局員工消費合作社印製 A 7 ________B7___ 五、發明説明(35) 圖1 4係顯示圖1 2之永久磁鐵的配置狀態之絕緣構 件底面圖。 圖15係顯示圖12之永久磁鐵磁極配置狀態之說明 圖。 圖1 6係顯示裝置磁性體於圖1 2之永久磁鐵之狀態 的剖面說明圖。 •圖1 7係顯示永久磁鐵之另一磁極配置之狀態之說明 圖。 Η 1 8彳系以先前技術有關之蝕刻所形成之接觸孔之剖 面說明圖。 圖1 9係顯示在其他實施例中所施加之高頻電力的輸 出調變狀態之曲線圖。 圖2 0係以本發明實施例所形成之接觸孔之剖面說明 圖。 圖2 1係R I Ε模式之本發明之另一實施例之說明圖 〇 圖2 2係Ρ Ε模式之本發明之另一實施例之說明圖。 圖2 3係具有施加頻率相異之高頻電力於上下相對向 電極之結構之本發明另一實施例之蝕刻裝置說明圖。 圖2 4係圖2 3之蝕刻裝置之主要部份說明圖》 圖2 5係顯示上部電極側之接地電極和下部電極側之 接地電極之各外周緣部未形成重疊狀態之說明圖。 圖2 6係顯示上下相對向電極間之間隙長,和蝕刻率 、均勻度、電漿穩定度之關示的曲線圖。 本紙浪尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) " -38 - , .-I0衣 II (請先閱讀背面之注意事項再填寫本頁) 、1Τ A7 B7_______ 五、發明説明(36 ) 圖2 7係顯示以往之匹配器結構之說明圖^ 圖2 8係使上下相對向電極間之間隙長度構成可變之 實 1 另 再 關 有 之 件 構 熱 加 備 。 具 圖示 明顯 說地 之性 例略 施概 實係 I 9 另 2 之圖 造 構 圖 面 剖 之 件 構 熱 加 之 示 〇 所 圖 9 面 2 剖圖 之示 置顯 裝係 刻 ο 蝕 3 之圖 例 施 之 明 。 發 圖 本 面 於 剖 用 。 向 適圖橫 可置性 明裝略 說刻概 似蝕的 用之置 示用裝 顯術之 來技示 地的所 性碳 1 略用 3 概適圖 係之係 '—_ 例 2 3 施 3 圖實圖 個 個 ----:---,--(東-- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標隼局員工消費合作社印製 準 標 家 國 -國I财 I舰 尺 I张 紙 I本 一釐 公

Claims (1)

  1. ^^9559 編 8 D8 -- — ------- 穴、申請專利托圍 1.一種電漿處理裝置,具備有: 處理容器; 第1電極及第2電極,設成互相具有所定間隔且成相 對向於該處理容器內,並在其間予以規定電漿區域; 支承機構,用以支承被處理體於前述電極間用; 電漿產生機構,供高頻電力給於前述該等電極間,以 在電極間使之產生電漿而實施被處理體之電漿處理;及 電漿封鎖機構,設置於處理容器室內而在前述電漿產 生區域之周圍,用以封閉前述電漿於該電漿產生區域用者 〇 2 ·如申請專利範圍第1項所述之電漿處理裝置,其 中,前述電漿封鎖機構係圍繞電漿產生區域之筒體。 3 ·如申請專利範圍第2項所述之電漿處理裝置,其 中,前述筒體具有複數之貫穿孔。 4 .如申請專利範圍第1項所述之電漿處理裝置,其 中,前述電漿封鎖機構係具備有成電性所設置之第3電極 0 經濟部中央標準局員工消費合作社印製 m H--1 I - Λ. -I— - I Lr ----- I —A y I I (請先閎讀背面之注意事項再填寫本頁) 5 .如申請專利範圍第4項所述之電漿處理裝置,其 中,前述第3電極係具有圍繞前述電漿產生區域之導電性 筒體,而該筒體係具有朝向電漿產生區域外方之離開方向 傾斜之下面。 6 ·如申請專利範圍第5項所述之電漿處理裝置,其 中,前述第3電極具有複數之貫穿孔。 7 .如申請專利範圍第1項所述之電漿處理裝置,其 本紙張尺度逋用中國國家標準(CNS ) A4规格(2丨0X297公釐) —40 _ 六、申請專利範圍 中,則述電獎封鎖機構係具備有配設於第1電極外周近旁 之略成環狀之第3電極’和在前述第2電極外周近旁而配 設成與第3電極分離之略成環狀之第4電極,而該第3電 極和第4電極個別予以形成電性之接地。 8 .如申請專利範圍第7項所述之電漿處理裝置,其 中’前述第3電極和第4電極成相對向,且該等電極之外 周緣部配置成重疊狀。 9 .如申請專利範圍第1項所述之電漿處理裝置,其 中,前述電漿封鎖機構係具備有在前述第1電極周圍近旁 配置成略成環狀之第1群之磁鐵,和在第2電極周圍近旁 配置成略成環狀之第2群之磁鐵,第1群之磁鐵和第2群 之磁鐵係形成極性相反相對向著。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 1 0 ·如申請專利範圍第1項所述之電漿處理裝置, 其中,前述電漿封鎖機構係具備有在前述第1電極周圍近 旁配設成略成環狀之第1群之磁鐵,和在第2電極周圍近 旁形成略爲環狀且與該等磁鐵個個具有所定間隔所配置之 第2群之磁鐵,而在第1群之磁鐵中相爲鄰之相互間,及 第2群磁鐵之所相對向之磁鐵之相互間,係極性成相反。 1 1 .如申請專利範圍第1 〇項所述之電漿處理裝置 ,其中,前述第1群之磁鐵及第2群之磁鐵係在被處理體 周緣部會產生1 OGauss (高斯)以下之環狀磁場。 1 2 _如申請專利範圍第1項所述之電漿處理裝置, 其中,構成對於前述第1電極和第2電極予以施加個別高 頻電力爲其特徵。 本紙張尺度適用中國國家標準(CNS〉A4规格(21〇x297公釐) A8 B8 C8 D8 々、申請專利範圍 1 3 .如申請專利範圍第1 2項所述之電漿處理裝置 ,其中,具備有將施加於前述第1電極和第2電極之各高 頻電力之頻率使之相同,且使該等兩個高頻電力之電流相 位差控制成略成180°之機構。 1 4 ·如申請專利範圍第1項所述之電漿處理裝置, 其中,高頻電力之輸出將以形成週期性地調變。 • 1 5 ·如申請專利範圍第1 4項所述之電漿處理裝置 ,其中,輸出之調變寬度係在最小時之輸出爲最大時輸出 之 1 / 2 〜1 / 5。 1 6 .如申請專利範圍第1項所述之電漿處理裝置, 其中,前述第1電極構成上部電極,第2電極構成下部電 極,而前述高頻電力供給機構係在上部電極施加相對性高 頻電力,而在下部電極施加相對性低頻電力,再者,將前 述上部電極和下部電極之間之間隔長度設定成1 0〜4 0 mm ° 1 7 .如申請專利範圍第1 6項所述之電漿處理裝置 ,其中,將前述間隔長度設定成1 5〜30咖。 經濟部中央樣準局負工消費合作社印製 T m m^i —^ϋ ti I B^m i 1' ^ 、v* (請先閱讀背面之注意事項再填寫本頁) 1 8 ·如申請專利範圍第1 7項所述之電漿處理裝置 ,其中,上部電極一方較下部電極一方之前先予以施加電 力。 1 9 ·如申請專利範圍第1 8項所述之電漿處理裝置 ,其中,有關停止電力之施加,將下部電極一方較下部電 極一方之前先予以停止施加。 2 0 .如申請專利範圍第1 9項所述之電漿處理裝置 本紙垠尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 ,其中,前述高頻電力供給機構,係具備有在下部電極施 加相對性低頻電力之電源,及進行與該下部電極之間之相 位和阻抗之控制而使之匹配之匹配機構,且該匹配機構, 將阻抗和相位個別獨立地來加以控制。 2 1 .如申請專利範圍第1項所述之電漿處理裝置, 其中,處理容器內壓力可設定自如成5mTo r r〜 lOOmTorr。 22 . —種電漿處理裝置,具備有 處理容器; 第1電極及第2電極,設成互相具有所定間隔且成相 對向於該處理容器內,並在其間予以規定電漿區域; 支承機構,用以支承被處理體於前述電極間用; 電漿產生機構,供高頻電力給於前述該等電極間,以 在電極間使之產生電漿而實施被處理體之電漿處理;及 該高頻電力供給機構,將在第1電極和第2電極供給 略爲同一頻率而僅具有略1 8 0°之電流相位差之高頻電 流。 2 3 .如申請專利範圍第2 2項所述之電漿處理裝置 ,其中,前述高頻電力供給機構係具備有將供給於第1電 極和第2電極之高頻電力之電流相位差予以控制成略成 1 8 0 °之機構,而該控制機構係具備有檢測流通於兩電 極之高頻電流之相位以輸出相位信號之檢測機構,及由該 等相位信號來檢測相位差並予以輸出之機構。 2 4 .如申請專利範圍第2 3項所述之電漿處理裝置 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閔讀背面之注意事項再填寫本頁) Λ 訂 -43 - 7、申請專利範圍 ,其中,用以檢測高頻電流之相位以輸出相位信號用之檢 測機構係具有變流器。 2 5 .如申請專利範圍第2 4項所述之電漿處理裝置 ,其中,由相位信號來檢測相位差並予以输出之機構係依 據外差方式所構成。 26 . —種電漿處理裝置,具備有: '處理容器,形成電性之接地; 第1電極及第2電極,設於該處理容器內且與其形成 電性絕緣,並互相具有所定間隔且成相對向,而在其間予 以規定電漿區域; 支承機構,用以支承被處理體於前述電極間用;及 電漿產生機構,供高頻電力給於該等電極間,以在電 極間使之產生電漿而實施被處理體·之電漿處理, 而該高頻電力供給機構係具備有,一高頻電源,和對 於高頻電源以選擇性地連接第1及第2電極中之一方,並 令另一方形成電性的接地之連接機構。 - 經濟部中央標準局貝工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 2 7 .如申請專利範圍第2 6項所述之電漿處理裝置 ,其中,前述連接機構係具備一方之電極被連接於高頻電 源時之同時,並使另一方電極形成電性接地之開關機構。 28 _ —種電漿處理裝置,具備有: 處理容器,形成減壓自如; 上部電極,配設於該處理容器內; 下部電極,與上述上部電極成相對向配設處理容器內 ,而在其上面將載置被處理體;及 本紙張尺度適用中國國家棟準(CNS ) A4規格(210X297公釐) -44 - 經濟部中央標準局員工消费合作社印製 A8 B8 C8 _ D8 六、申請專利範圍 電漿產生機構,施加相對性高頻電力於前述上部電極 ’而在前述下部電極施加相對性低頻電力,以產生電漿於 電機間; 而前述上部電極和下部電極間之間隔長係設定成1〇 〜4 0 ram。 29·—種電漿處理裝置,具備有: ‘處理容器; 上部電極,配設於該處理容器內; 下部電極,與上述上部電極成相對向配設於處理容器 內’而在其上面將載置被處理體; 處理氣體供給機構,設於前述上部電極,並藉此供處 理氣體給予電漿產生區域; 氣體排出機構,設於前述下部電極近旁之處理容器, 並藉此排出處理氣體於處理容器外面; 電漿產生機構,施加高頻電力於前述上部電極和下部 電極中之至少一方,以令電漿產生於前述電漿產生區域; 及氣體導引機構,在處理容器室內設成圍繞前述處理氣體 供給機構,以令前述電漿封鎖於該電漿產生區域,並形成 爲從電漿產生區域至氣體排出機構之氣體流通的導引。 3 0 ·如申請專利範圍第2 9項所述之電漿處理裝置 ,其中,前述氣體供給機構係具備有構成如前述上部電極 般配設成與上部電極相對向且成水平,並形成有複數之氣 體供給孔之電極板,又前述氣導引機構係具備有,從該電 極板外周朝下方伸延且朝下方外方傾斜之推拔(傾斜)面 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) k I m m 19— I (請先閱讀背面之注意事項再填寫本頁) 訂 -45 - 經濟部中央標準局員工消费合作社印製 A8 B8 C8 D8 六、申請專利範圍 之氣體擴散筒體,而氣體將沿著該下面從電漿產生區域被 導引至外面。 3 1 .如申請專利範圍第3 0項所述之電漿處理裝置 ,其中,前述筒體下面之傾斜角係對於水平面成25°〜 3 5°° 3 2 .如申請專利範圍第3 1項所述之電漿處理裝置 ,其中,在前述下部電極具備有,配設成圍繞載置於其上 面之被處理體,並具有朝下方外方傾斜之推拔之上面的氣 體擴散環。 3 3 ·如申請專利範圍第3 1項所述之電漿處理裝置 ,其中’在前述下部電極具備有,配設成圍繞載置於其上 面之被處理體’並具有朝上方外方傾斜之推拔之上面,且 以電性絕緣體所形成之聚焦環。 3 4 .如申請專利範圍第3 3項所述之電漿處理裝置 ,其中,前述上部電極表面之至少一部分係以S i 02所 形成’及/或前述聚焦環表面之至少一部分係以B N或含 有該B N之物質所形成。 本紙張尺度適用中困國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
TW083112266A 1994-04-20 1994-12-28 TW299559B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP10604494 1994-04-20
JP23409394 1994-09-01
JP25296294 1994-09-20
JP25296394 1994-09-20

Publications (1)

Publication Number Publication Date
TW299559B true TW299559B (zh) 1997-03-01

Family

ID=27526259

Family Applications (1)

Application Number Title Priority Date Filing Date
TW083112266A TW299559B (zh) 1994-04-20 1994-12-28

Country Status (3)

Country Link
US (1) US6074518A (zh)
KR (1) KR100349064B1 (zh)
TW (1) TW299559B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI394492B (zh) * 2004-04-08 2013-04-21 Tokyo Electron Ltd A plasma processing method and a plasma processing apparatus

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US20030010453A1 (en) * 1998-03-18 2003-01-16 Jyunichi Tanaka Plasma processing apparatus and plasma processing method
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
WO2000070116A1 (en) * 1999-05-19 2000-11-23 Vosen Steven R Low pressure stagnation flow reactors with a flow barrier
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP3833900B2 (ja) * 2001-03-28 2006-10-18 株式会社東芝 エッチング装置およびエッチング方法
KR100884414B1 (ko) * 2001-05-16 2009-02-19 램 리서치 코포레이션 애노드형 플라즈마 반응기 및 방법
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP4847009B2 (ja) * 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
US20040028837A1 (en) * 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US20040112544A1 (en) * 2002-12-16 2004-06-17 Hongwen Yan Magnetic mirror for preventing wafer edge damage during dry etching
US7455748B2 (en) * 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
WO2005055298A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited プラズマ処理装置及びマルチチャンバシステム
DE112005000660T5 (de) * 2004-03-22 2007-02-08 Kla-Tencor Technologies Corp., Milpitas Methoden und Systeme zum Messen einer Eigenschaften eines Substrats oder zur Vorbereitung eines Substrats zur Analyse
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2007018575A2 (en) * 2004-11-12 2007-02-15 Thorrn Micro Technologies, Inc. Ion generation by the temporal control of gaseous dielectric breakdown
US8200700B2 (en) * 2005-02-01 2012-06-12 Newsilike Media Group, Inc Systems and methods for use of structured and unstructured distributed data
US7381926B2 (en) * 2005-09-09 2008-06-03 Applied Materials, Inc. Removable heater
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8104428B2 (en) * 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
JP5235293B2 (ja) * 2006-10-02 2013-07-10 東京エレクトロン株式会社 処理ガス供給機構および処理ガス供給方法ならびにガス処理装置
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179288A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side scavenger plasma
US20080179287A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
US20080179007A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
JP5154124B2 (ja) * 2007-03-29 2013-02-27 東京エレクトロン株式会社 プラズマ処理装置
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
TWI501704B (zh) 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
JP5348919B2 (ja) * 2008-03-27 2013-11-20 東京エレクトロン株式会社 電極構造及び基板処理装置
US8721796B2 (en) 2008-10-23 2014-05-13 Applied Materials, Inc. Plasma cleaning apparatus and method
CN101740298B (zh) * 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP5601794B2 (ja) * 2009-05-29 2014-10-08 株式会社東芝 プラズマエッチング装置
CN102577629B (zh) * 2009-09-15 2015-04-29 三菱电机株式会社 等离子体生成装置
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
KR20110127389A (ko) * 2010-05-19 2011-11-25 삼성전자주식회사 플라즈마 처리 장치
WO2012092064A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer processing with carrier extension
CN102915902B (zh) * 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9388493B2 (en) * 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
CN104103566B (zh) * 2013-04-15 2017-07-25 中微半导体设备(上海)有限公司 等离子体处理装置及其静电夹盘
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6570993B2 (ja) * 2015-12-16 2019-09-04 東京エレクトロン株式会社 プラズマ処理装置
JP6629116B2 (ja) * 2016-03-25 2020-01-15 芝浦メカトロニクス株式会社 プラズマ処理装置
US10332810B2 (en) 2016-10-24 2019-06-25 Kla-Tencor Corp. Process modules integrated into a metrology and/or inspection tool
JP2019009185A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
US10971338B2 (en) * 2017-09-06 2021-04-06 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generating apparatus
US20190301012A1 (en) * 2018-04-02 2019-10-03 Veeco Instruments Inc. Wafer processing system with flow extender
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20210117625A (ko) * 2020-03-19 2021-09-29 삼성전자주식회사 기판 처리 장치
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
DE69032952T2 (de) * 1989-11-15 1999-09-30 Kokusai Electric Co Ltd Trocken-Behandlungsvorrichtung

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI394492B (zh) * 2004-04-08 2013-04-21 Tokyo Electron Ltd A plasma processing method and a plasma processing apparatus

Also Published As

Publication number Publication date
KR100349064B1 (ko) 2003-01-24
US6074518A (en) 2000-06-13

Similar Documents

Publication Publication Date Title
TW299559B (zh)
JP3210207B2 (ja) プラズマ処理装置
TWI394492B (zh) A plasma processing method and a plasma processing apparatus
JP5219479B2 (ja) 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
TW564495B (en) Plasma treatment apparatus
US20040219737A1 (en) Method and apparatus for processing a workpiece with a plasma
JP2017212447A (ja) 高アスペクト比フィーチャをエッチングするための多周波電力変調
US20040084151A1 (en) Magnetron plasma etching apparatus
US7419567B2 (en) Plasma processing apparatus and method
JP3181473B2 (ja) プラズマ処理装置
JPH04279044A (ja) 試料保持装置
US8980046B2 (en) Semiconductor processing system with source for decoupled ion and radical control
JP4566373B2 (ja) 酸化膜エッチング方法
KR100274309B1 (ko) 스패터링 방법 및 장치
JP3438003B2 (ja) プラズマ処理装置
JP2000223480A (ja) プラズマエッチング装置
JP2005079416A (ja) プラズマ処理装置
JPS59144133A (ja) プラズマドライ処理装置
JPH10303185A (ja) エッチング装置及びエッチング方法
JP5174848B2 (ja) プラズマ処理方法及びプラズマ処理装置
JPH02312231A (ja) ドライエッチング装置
JPH0621010A (ja) プラズマ処理装置
JP4223143B2 (ja) プラズマ処理装置
JP2008166844A (ja) プラズマ処理装置
JP2001291704A (ja) 処理装置、プラズマ処理装置及びこれらのクリーニング方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees
MK4A Expiration of patent term of an invention patent