DE112005000660T5 - Methoden und Systeme zum Messen einer Eigenschaften eines Substrats oder zur Vorbereitung eines Substrats zur Analyse - Google Patents

Methoden und Systeme zum Messen einer Eigenschaften eines Substrats oder zur Vorbereitung eines Substrats zur Analyse Download PDF

Info

Publication number
DE112005000660T5
DE112005000660T5 DE112005000660T DE112005000660T DE112005000660T5 DE 112005000660 T5 DE112005000660 T5 DE 112005000660T5 DE 112005000660 T DE112005000660 T DE 112005000660T DE 112005000660 T DE112005000660 T DE 112005000660T DE 112005000660 T5 DE112005000660 T5 DE 112005000660T5
Authority
DE
Germany
Prior art keywords
defect
substrate
electron
electron beam
property
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112005000660T
Other languages
English (en)
Inventor
Mehran Hamilton Nasser-Ghodsi
Dave Cupertino Bakker
Mark San Jose Borowicz
Mehdi Los Gatos Vaez-Iravani
Prashant San Jose Aji
Rudy F. Union Garcia
Tzu Chin Cupertino Chuang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Technologies Corp filed Critical KLA Tencor Technologies Corp
Publication of DE112005000660T5 publication Critical patent/DE112005000660T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N1/00Sampling; Preparing specimens for investigation
    • G01N1/28Preparing specimens for investigation including physical details of (bio-)chemical methods covered elsewhere, e.g. G01N33/50, C12Q
    • G01N1/32Polishing; Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/3002Details
    • H01J37/3005Observing the objects or the point of impact on the object
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • H01J2237/31745Etching microareas for preparing specimen to be viewed in microscopes or analyzed in microanalysers

Abstract

Methode zum Messen einer Eigenschaft eines Substrats, umfassend:
Entfernen eines Teils eines Features auf dem Substrat unter Anwendung eines Elektronenstrahls, um ein Querschnittprofil eines restlichen Teils des Features zu exponieren; und
Messen einer Eigenschaft des Querschnittprofils des restlichen Teils des Features.

Description

  • HINTERGRUND DER ERFINDUNG
  • 1. Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft allgemein Methoden und Systeme zum Messen einer Eigenschaft eines Substrats oder zur Vorbereitung eines Substrats zur Analyse. Bestimmte Ausführungsbeispiele betreffen Methoden und Systeme zum Messen einer Eigenschaft eines Substrats oder zum Vorbereiten eines Substrats zur Analyse, die das Entfernen eines Teils eines Materials auf einem Substrat einbeziehen.
  • 2. Stand der Technik
  • Die nachfolgenden Beschreibungen und Beispiele sind kraft ihrer Einbeziehung in diesen Teil nicht als Stand der Technik zulässig.
  • Die Herstellung von Halbleiterbauelementen, wie logische Bauelemente und Speicher-Bauelemente, umfasst in der Regel die Bearbeitung eines Substrats, etwa eines Halbleiter-Wafers, unter Anwendung einer Anzahl von Halbleiterherstellungsverfahren zur Ausbildung unterschiedlicher Features und mehrerer Ebenen der Halbleiterbauelemente. Beispielsweise ist die Lithographie ein Halbleiter-Herstellungsverfahren, das die Übertragung eines Musters von einer Zwischenschablone auf einen auf einem Halbleiter-Wafer angeordneten Resist mit sich bringt. Weitere Beispiele von Halbleiterherstellungsverfahren umfassen – ohne darauf beschränkt zu sein – das chemisch-mechanische Polieren, Ätzen, Abscheidung und die Ionenimplantation. Mehrere Halbleiterbauelemente können in einer Anordnung auf einem Halbleiter-Wafer produziert und dann in einzelne Halbleiterbauelemente aufgeteilt werden.
  • Im gesamten Herstellungsverfahren werden Parameter von Features, die auf dem Wafer ausgebildet sind, zur Prozesskontrolle und aus Steuerungsgründen gemessen. Beispielsweise wird des öfteren zu unterschiedlichen Prozesszeitpunkten eine dreidimensionale Messung des Profils von Features auf einem Kontrollwafer durchgeführt. Insbesondere das dreidimensionale Profil von Photoresist-Features wird oft nach einem Lithographie-Schritt gemessen, um festzustellen, ob die Features Parameter besitzen, die innerhalb der für sie festgelegten Spezifikationen (Specs) liegen. Wenn die Parameter der Features innerhalb der Specs liegen, kann der Lithographie-Schritt auf den Produkt-Wafers durchgeführt werden. Liegen anderseits die Parameter der Features nicht innerhalb der Specs, müssen ein oder mehrere Parameter des Lithographie-Schritts geändert werden. Sodann kann ein weiterer Kontrollwafer dem Lithographieverfahren ausgesetzt werden, und die oben beschriebenen Messungen können durchgeführt werden, bis die Parameter der Features innerhalb der Specs liegen.
  • Ein "Kontrollwafer" wird allgemein als Wafer definiert, auf dem kein Halbleiterprodukt ausgebildet wird. Stattdessen dienen Kontrollwafer nur dazu, die Parameter eines einzelnen Prozesswerkzeugs zu kontrollieren und werden deshalb in der Regel nur in dem einen Werkzeug bearbeitet. Nach Gebrauch können die Kontrollwafer rezykliert oder entsorgt werden, je nach dem Verfahren, das sie durchlaufen haben. Kontrollwafer werden insbesondere als Verfahrenskontrollen benützt, wenn ein messtechnischer oder Inspektionsprozess den Wafer beschädigt. Auf diese Weise werden Kontrollwafer anstelle von Produktwafers zerstört, wodurch sich die Kosten der Metrologie bzw. Inspektion verringern. Allerdings kann sich die Verwendung von Kontrollwafern zur Kontrolle und Überwachung von Prozessen als relativ teuer erweisen, wenn die Kontrollwafer von der Metrologie oder Inspektion so zerstört werden, dass sie nicht wieder verwendbar sind. Da außerdem erhebliche Unterschiede zwischen Kontrollwafern und Produktwafern bestehen können (z.B. werden normalerweise auf den Kontrollwafern weniger Prozesse ausgeführt als auf den Produktwafern, was zu signifikanten Unterschieden zwischen den Wafern führen kann), könnte die Verwendung von Kontrollwafern unter Umständen nicht die Ergebnisse zeitigen, die so präzise wie die Messungen auf einem Produktwafer sind.
  • Daraus folgt, dass die Ausführung von Metrologie und Inspektion auf Produktwafern vorteilhaft ist. Allerdings beschädigen – wie erwähnt – viele metrologische und Inspektionsverfahren die Wafer. Beispielsweise werden Wafer, auf denen Photoresist-Features ausgebildet werden, vielfach durch die Photoresist-Features gespalten (also zerbrochen), so dass Querschnittprofile der Features auf den gespalteten Samples beobachtet werden können. Da die Wafer zerbrochen werden, ergibt diese destruktive Messtechnik zu entsorgende Wafer. Eine weitere Messtechnik arbeitet mit Photoresist-Feature-Querschnitten unter Anwendung von Ionenstrahlen. Für 193 nm Photoresist-Features und kleineren Linien werden die Photoresist-Features einer Wolfram- oder Platin-Abscheidung unterzogen, um die Ionenstrahl-induzierten Beschädigungen zu reduzieren. Die abgeschiedene obere Metallschicht erzeugt Belastungen auf 193 mn Photoresistlinien, wodurch sich eine Photoresist-Komprimierung und Deformation ergibt. Diese Beschädigung ist – zumindest teilweise – auf die unvollständige konforme Beschichtung des Substrats während des Abscheidungsverfahrens zurück zu führen, das zu Leerstellen zwischen benachbarten Photoresist-Features führt. Der resultierende Querschnitt büßt damit an struktureller Integrität ein, und dies manchmal in einem Ausmaß, dass die Ergebnisse kein veritabler Indikator der Feature-Eigenschaften sind (z.B. kritische Abmessungen). Außerdem erzeugt bei solchen Messtechniken die Verwendung von Gallium oder anderer metallischer flüssiger Ionenquellen eine Metallkontamination im „Front end of the line" (FEOL) Teil der Halbleiterbauelementsherstellung. Die derzeit benützten dreidimensionalen Messtechniken haben demnach einige Nachteile, einschließlich zerstörter und deshalb zu entsorgender Wafer, Metallkontamination und/oder deformierter Photoresist-Features.
  • Da die Abmessungen moderner Halbleiterbauelemente weiter schrumpfen, schränkt die Anwesenheit von Fehlern in den Halbleiterbauelementen zunehmend die erfolgreiche Herstellung bzw. die Ausbeute von Halbleiterbauelementen ein. Beispielsweise kann ein Kratzer, der während des chemisch-mechanischen Polierens auf einem Wafer gebildet wird, eine offene Schaltung oder einen Kurzschluss in einer oder mehreren der in nachfolgenden Verfahren gebildeten Halbleiterbauelemente oder einen kompletten Ausfall derselben verursachen. Da die Herstellung eines Halbleiterbauelements viele komplexe Prozessschritte umfasst, können sich die nachteiligen Auswirkungen von Defekten auf die Gesamtausbeute exponentiell erhöhen, wenn ein auf einem Wafer in einem Herstellungsschritt ausgebildeter Defekt zusätzliche Defekte in nachfolgenden Verfahrensschritten auslöst.
  • Dem entsprechend ist und bleibt die Defektfeststellung oder "Inspektion" von Halbleiter-Wafern in der Halbleiterentwicklung und Herstellung weiterhin von signifikanter Bedeutung. Zusätzlich ist die Prüfung und Analyse von Defekten von erheblicher Wichtigkeit, damit die Ursachen von Defekten bestimmt und hoffentlich korrigiert werden können. Die Fähigkeit zum Entfernen von Bauelement-Filmschichten ("Entschichtung") an ausgewählten Stellen auf kontrollierbare Art und Weise ist kritisch für die Defektprüfung und Analyse während des Bauelement-Herstellungsverfahrens. Beispielsweise kann das Entfernen einer Bauelement-Filmschicht möglicherweise eine bessere Sicht auf einen Defekt ermöglichen, insbesondere wenn es sich um einen unter der Oberfläche (unterflächigen) liegenden oder teilweise unter der Oberfläche liegenden Defekt handelt. Außerdem kann das Entfernen einer Bauelement-Filmschicht die Analyse der fehlerhaften Zusammensetzung mit weniger Störungen von Seiten der umgebenden Filmschicht möglich machen.
  • Die aktuellen Techniken zur Entschichtung eines Substrats bedienen sich der Technik des Ionenstrahlätzens, des Laserablationsätzens oder der mechanischen Abrasion mit Hilfe einer Microtips. Die fokussierte Ionenstrahlätzung benützt Galliumionen zur Ätzstimulierung. Laserablationstechniken benützen Laser zum Erhitzen der Oberfläche des Substrats, um chemische und thermale Reaktionen auszulösen, die die Filme entfernen. Die Technik der mechanischen Abrasion benützt Microtips zum Entfernen der Filme rund um den Defekt.
  • Von den aktuellen Techniken ist das Ionenstrahlätzen die ausgereifteste zum Entschichten von Bauelementen. Bei der Anwendung eines Ionenstrahls zur Stimulierung des Ätzvorgangs werden jedoch Galliumionen von einer Quelle in die Filme implantiert, die zu Änderungen der optischen, elektrischen und mechanischen Eigenschaften der geätzten Features und der umgebenden Bereiche führen können. Die Anwesenheit von Galliumionen auf dem Bauelement kann die weitere Verarbeitung des Bauelements und des Wafers einschränken, was zu einer Entsorgung des gesamten Wafers führen würde. Außerdem kann während des fokussierten Ionenstrahlätzens das geätzte Material in den umgebenden Bereichen des Wafers abgeschieden werden. Die anderen Techniken zum Entschichten eines Substrats haben ebenfalls einige Nachteile. Beispielsweise weist die Technik der Laserablation eine geringe Ätzselektivität auf. Und die Methode der mechanischen Abrasion leidet unter beschränkten Anwendungsmöglichkeiten bei bestimmten größeren Defekten und Filmen.
  • Es wäre demnach vorteilhaft, Methoden und Systeme für die dreidimensionale Metrologie von Features auf einem Substrat und für das Entschichten eines Materials auf einem Substrat zu entwickeln, die das Substrat oder die Features nicht zerstören, kontaminieren oder deformieren.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die nachstehende Beschreibung unterschiedlicher Ausführungsbeispiele von Methoden und Systemen zum Messen einer Eigenschaft eines Substrats oder Vorbereiten eines Substrats zur Analyse darf in keiner Weise so ausgelegt werden, dass damit der Gegenstand der angehängten Patentansprüche eingeschränkt wird.
  • Ein Ausführungsbeispiel der Erfindung betrifft eine Methode zum Messen einer Eigenschaft eines Substrats. In einem Ausführungsbeispiel kann das Substrat einen Produktwafer umfassen. Die Methode umfasst das Entfernen eines Teils eines Features auf dem Substrat unter Anwendung eines Elektronenstrahls zur Exponierung eines Querschnittprofils eines restlichen Teils des Features. Das Entfernen des Teils des Features führt im wesentlichen zu keiner Deformation des restlichen Teils des Features. Außerdem ist der Teil des Features, der entfernt wird, im wesentlichen auf einen Bereich des Features beschränkt, der vom Elektronenstrahl beleuchtet wird. In einem Ausführungsbeispiel kann das Feature ein Photoresist-Feature umfassen.
  • Die Methode umfasst auch das Messen einer Eigenschaft des Querschnittprofils des restlichen Teils des Features. Die Eigenschaft des Querschnittprofils umfasst eine dreidimensionale Eigenschaft des Features. In einem Ausführungsbeispiel kann das Messen der Eigenschaft unter Anwendung des Elektronenstrahls durchgeführt werden. In einem solchen Ausführungsbeispiel kann die Methode auch das Neigen des Substrats im Verhältnis zum Elektronenstrahl zwischen dem Entfernen des Featureteils und dem Messen der Eigenschaft umfassen. In einem anderen Ausführungsbeispiel kann das Messen der Eigenschaft unter Anwendung eines anderen Elektronenstrahls erfolgen. Der andere Elektronenstrahl kann an einer vorbestimmten Neigungsposition im Verhältnis zum Substrat angeordnet sein. Jedes oben beschriebene Ausführungsbeispiel der Methode kann auch alle anderen hier beschriebenen Schritte umfassen.
  • Ein weiteres Ausführungsbeispiel betrifft ein System, das zum Messen einer Eigenschaft eines Substrats konfiguriert ist. In einem Ausführungsbeispiel umfasst das Substrat einen Produktwafer. Das System umfasst ein Teilsystem zur Elektronenabgabe, das zur Abgabe eines oder mehrerer Elektronenstrahlen zum Substrat konfiguriert ist. Der eine oder die mehreren Elektronenstrahlen können einen Teil eines Features auf dem Substrat entfernen, um ein Querschnittprofil eines restlichen Teils des Features zu exponieren. In einem Ausführungsbeispiel umfasst das Feature ein Photoresist-Feature. Das Entfernen des Teils des Features führt zu keiner wesentlichen Deformation des restlichen Teils des Features. Der Teil des Features, der entfernt wird, ist im wesentlichen auf einen Bereich des Features beschränkt, der von dem einen oder den mehreren Elektronenstrahlen beleuchtet wird.
  • Der eine oder die mehreren Elektronenstrahlen können auch eine Eigenschaft des Querschnittprofils des restlichen Teils des Features messen. Die Eigenschaft des Querschnittprofils umfasst eine dreidimensionale Eigenschaft des Features. In einem Ausführungsbeispiel kann das System so konfiguriert sein, dass das Substrat im Verhältnis zum einen oder den mehreren Elektronenstrahlen zwischen dem Entfernen des Featureteils und dem Messen der Eigenschaft geneigt wird. In einem anderen Ausführungsbeispiel erfolgt das Entfernen des Featureteils unter Anwendung eines ersten des einen oder der mehreren Elektronenstrahlen. Das Messen der Eigenschaft wird unter Anwendung eines zweiten des einen oder der mehreren Elektronenstrahlen durchgeführt. Der zweite Elektronenstrahl kann an einer vorbestimmten, im Verhältnis zum Substrat geneigten Position angeordnet sein. Jedes der oben beschriebenen Ausführungsbeispiele des Systems kann des weiteren so wie hier beschrieben konfiguriert sein.
  • Ein zusätzliches Ausführungsbeispiel betrifft eine Methode zum Vorbereiten eines Substrats zur Analyse. Das Substrat kann einen Produktwafer umfassen. Die Methode beinhaltet das Entfernen eines Teils eines Materials auf dem Substrat in der Nähe des Defekts unter Anwendung einer chemischen Ätzung in Kombination mit einem Elektronenstrahl. Der Defekt kann einen unterflächigen Defekt oder einen teilweise unterflächigen Defekt umfassen. Der Teil des Materials, der entfernt wird, kann eine Fläche haben, die kleiner oder gleich als etwa 10 μm mal etwa 10 μM ist. Das chemische Ätzen kann das Exponieren des Substrats an einer Ätzchemikalie einschließen. Die Ätzchemikalie kann eine Fluor-basierte Chemikalie, eine Chlo-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Sauerstoff-basierte Chemikalie enthalten. In einigen Ausführungsbeispielen kann die Methode auch das Entfernen eines Teils eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts unter Anwendung des chemischen Ätzens in Kombination mit dem Elektronenstrahl umfassen. Das zusätzliche Material unterscheidet sich von dem Material und ist unter dem Material ausgebildet.
  • In einem Ausführungsbeispiel kann die Methode das Analysieren des Defekts zur Bestimmung einer Eigenschaft des Defekts einschließen. Beispielsweise kann die Methode das Analysieren des Defekts unter Anwendung des Elektronenstrahls zur Bestimmung einer Eigenschaft des Defekts umfassen. In einem anderen Ausführungsbeispiel kann die Methode das Analysieren des Defekts unter Anwendung eines Röntgenanalysesystems zur Bestimmung einer Eigenschaft des Defekts umfassen. Die Eigenschaft des Defekts kann sich auf eine Zusammensetzung des Defekts beziehen. Jedes oben beschriebene Ausführungsbeispiel der Methode kann jeden anderen hier beschriebenen Schritt einschließen.
  • Ein weiteres Ausführungsbeispiel betrifft ein System, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten. In einem Ausführungsbeispiel umfasst das Substrat einen Produktwafer. Das System umfasst ein Teilsystem zur Chemikalienabgabe, das dazu konfiguriert ist, einem Substrat eine oder mehrere Chemikalien zuzuführen. Das System umfasst zudem ein Teilsystem zur Elektronenabgabe, das dazu konfiguriert ist, einen Elektronenstrahl auf das Substrat abzugeben. Die eine bzw. die mehreren Chemikalien in Verbindung mit dem Elektronenstrahl entfernen einen Teil des Materials auf dem Substrat in der Nähe eines Defekts. Der Defekt kann ein unterflächiger Defekt oder ein teilweise unterflächiger Defekt sein. Der Teil des Materials, der entfernt wird, kann eine Fläche kleiner oder gleich etwa 10 μm mal 10 μm aufweisen.
  • In einem Ausführungsbeispiel können die eine oder mehreren Chemikalien eine Fluor-basierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Wasserstoff-basierte Chemikalie umfassen. Die eine oder die mehreren Chemikalien in Kombination mit dem Elektronenstrahl kann auch einen Teil eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts entfernen. Das zusätzliche Material unterscheidet sich vom Material und ist unter dem Material ausgebildet.
  • In einigen Ausführungsbeispielen kann das System auch ein Analyse-Teilsystem umfassen, das dazu konfiguriert ist, eine Eigenschaft des Defekts zu messen. In einem Ausführungsbeispiel kann das Elektronenabgabesystem so konfiguriert sein, dass es unter Anwendung des Elektronenstrahls eine Eigenschaft des Defekts misst. Auf diese Weise kann das Teilsystem zur Elektronenabgabe auch als Analyse-Teilsystem funktionieren. In einem anderen Ausführungsbeispiel kann das Analyse-Teilsystem ein Röntgen-Analysesystem enthalten. In einigen Ausführungsbeispielen kann die Eigenschaft des Defekts sich auf eine Zusammensetzung beziehen. Jedes oben beschriebene Ausführungsbeispiel des Systems kann weiter so wie hier beschrieben konfiguriert sein.
  • Ein weiteres Ausführungsbeispiel betrifft eine andere Methode zum Vorbereiten eines Substrats zur Analyse. Diese Methode umfasst das Entfernen eines Teils eines Materials auf dem Substrat in der Nähe eines Defekts unter Anwendung von chemischem Ätzen in Verbindung mit einem Elektronenstrahl und einem Lichtstrahl. Der Elektronenstrahl wird koaxial mit dem Lichtstrahl zum Substrat herangeführt. Das chemische Ätzen umfasst das Exponieren des Substrats an einer Ätzchemikalie. In einem Ausführungsbeispiel kann die Ätzchemikalie eine Fluorbasierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Sauerstoff-basierte Chemikalie sein. Das Entfernen des Materialteils umfasst das Erhitzen des Materials mit dem Lichtstrahl. Außerdem umfasst das Entfernen des Materialteils das Erhitzen einer horizontalen Oberfläche des Materials und kein wesentliches Erhitzen einer vertikalen Oberfläche des Materials. Der Teil des Materials, der entfernt wird, kann eine Fläche haben, die kleiner oder gleich etwa 10 μm mal etwa 10 μm ist.
  • In einem Ausführungsbeispiel umfasst die Methode das Erzeugen des Lichtstrahls mit einem Laser. In einigen Ausführungsbeispielen kann die Methode auch das Entfernen eines Teils eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts unter Anwendung chemischen Ätzens in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl umfassen. Das zusätzliche Material unterscheidet sich vom Material und ist unter dem Material ausgebildet. Das Entfernen des Teils des Materials und das Entfernen des Teils des zusätzlichen Materials umfassen das unterschiedliche Erhitzen des Materials und des zusätzlichen Materials mit dem Lichtstrahl.
  • Der Defekt kann einen unterflächigen Defekt oder einen teilweise unterflächigen Defekt umfassen. In einigen Ausführungsbeispielen kann das Material einen Bauelementfilm umfassen. In einem solchen Ausführungsbeispiel ändert das Entfernen des Materialteils im wesentlichen kein Aspektverhältnis von Bauelement-Features auf dem Substrat. In einigen Ausführungsbeispielen kann die Methode auch das Analysieren des Defekts zur Bestimmung einer Eigenschaft des Defekts umfassen. In einem Ausführungsbeispiel kann die Methode das Analysieren des Defekts unter Anwendung des Elektronenstrahls zur Bestimmung einer Eigenschaft des Defekts umfassen. In einem anderen Ausführungsbeispiel kann die Methode das Analysieren des Defekts unter Anwendung eines Röntgenanalysesystems zur Bestimmung einer Eigenschaft des Defekts umfassen. In einem Ausführungsbeispiel kann die Eigenschaft des Defekts eine Zusammensetzung umfassen. Jedes oben beschriebene Ausführungsbeispiel der Methode kann jeden anderen hier beschriebenen Schritt umfassen.
  • Ein zusätzliches Ausführungsbeispiel betrifft ein anderes System, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten. Dieses System umfasst ein Teilsystem zur Chemikalienabgabe, das dazu konfiguriert ist, eine oder mehrere Chemikalien einem Substrat zuzuführen. Die eine oder mehreren Chemikalien können eine Fluorbasierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Sauerstoff-basierte Chemikalie umfassen. Das System umfasst auch ein Teilsystem zur Elektronen- und Lichtabgabe, das dazu konfiguriert ist, an das Substrat einen Elektronenstrahl koaxial mit einem Lichtstrahl abzugeben. Die eine oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl entfernen einen Teil eines Materials auf dem Substrat in der Nähe eines Defekts.
  • Der Defekt kann einen unterflächigen Defekt oder einen teilweise unterflächigen Defekt umfassen. In einem Ausführungsbeispiel kann das Material einen Bauelementfilm umfassen. In einem solchen Ausführungsbeispiel ändern die eine oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl im wesentlichen kein Aspektverhältnis der Bauelemente auf dem Substrat. Der Teil des Materials, der entfernt wird, kann eine Fläche kleiner oder gleich etwa 10 μm mal etwa 10 μm aufweisen.
  • In einem Ausführungsbeispiel kann das Teilsystem zur Elektronen- und Lichtabgabe einen Laser aufweisen, der dazu konfiguriert ist, den Lichtstrahl zu erzeugen. Das Teilsystem zur Elektronen- und Lichtabgabe umfasst auch eine Elektronensäule. Die Elektronensäule kann ein optisches Fenster umfassen, das dazu konfiguriert ist, dem Lichtstrahl das Eindringen in die Elektronensäule zu ermöglichen. Zusätzlich kann das Teilsystem zur Elektronen- und Lichtabgabe einen Spiegel umfassen, durch den eine Öffnung ausgebildet ist. Der Elektronenstrahl geht durch die Öffnung, und der Lichtstrahl wird vom Spiegel reflektiert, so dass der Lichtstrahl koaxial zum Elektronenstrahl ist.
  • Das Teilsystem zur Elektronen- und Lichtabgabe ist auch so konfiguriert, dass der Lichtstrahl das Material erhitzt. Außerdem kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert sein, dass der Lichtstrahl eine horizontale Oberfläche des Materials erhitzt und eine vertikale Oberfläche des Materials nicht wesentlich erhitzt. In einigen Ausführungsbeispielen können die eine oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl auch einen Teil eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts entfernen. Das zusätzliche Material unterscheidet sich vom Material und ist unter dem Material ausgebildet. In einem solchen Ausführungsbeispiel kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert sein, dass der Lichtstrahl das Material und das zusätzliche Material unterschiedlich erhitzt.
  • In einigen Ausführungsbeispielen kann das System auch ein Analyse-Teilsystem umfassen, das dazu konfiguriert ist, eine Eigenschaft des Defekts zu messen. In einem Ausführungsbeispiel kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert sein, dass es unter Anwendung des Elektronenstrahls eine Eigenschaft des Defekts misst. Deshalb kann das Teilsystem zur Elektronen- und Lichtabgabe als ein Analyse-Teilsystem funktionieren. In einem anderen Ausführungsbeispiel kann das Analyse-Teilsystem ein Röntgen-Analysesystem umfassen. Die Eigenschaft des Defekts kann eine Zusammensetzung des Defekts sein. Jedes der oben beschriebenen Ausführungsbeispiele des Systems kann weiter so wie hier beschrieben konfiguriert sein.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile der vorliegenden Erfindung ergeben sich für einschlägige Fachleute durch die Lektüre der nachstehenden detaillierten Beschreibung der bevorzugten Ausführungsbeispiele und unter Bezugnahme auf die begleitenden Zeichnungen:
  • 1 ist eine schematische Grafik, in der eine teilperspektivische Ansicht eines Features auf einem Substrat dargestellt ist, das an einem Elektronenstrahl exponiert ist;
  • 2 ist eine schematische Grafik, in der eine teilperspektivische Ansicht des Substrats der 1 dargestellt ist, in dem ein Teil des Features durch den Elektronenstrahl entfernt ist, um ein Querschnittprofil eines restlichen Teils des Features zu exponieren;
  • 3 ist eine schematische Grafik, in der teilperspektivische Ansichten anderer Features dargestellt sind, bei denen ein Teil der Features durch einen Elektronenstrahl entfernt ist, um ein Querschnittprofil eines restlichen Teils des Features zu exponieren;
  • 47 sind schematische Grafiken, in denen Seitenansichten unterschiedlicher Ausführungsbeispiele eines Systems dargestellt sind, das zum Messen einer Eigenschaft eines Substrats konfiguriert ist;
  • 8 ist eine schematische Grafik, in der eine Teilquerschnittansicht eines Defekts auf einem Substrat dargestellt ist, das chemischem Ätzen in Verbindung mit einem Elektronenstrahl ausgesetzt ist;
  • 9 ist eine schematische Grafik, in der eine Teilquerschnittansicht des Substrats der 8 dargestellt ist, in dem ein Teil eines Materials auf dem Substrat in der Nähe des Defekts entfernt ist;
  • 10 ist eine schematische Grafik, in der eine Teildraufsicht des Substrats der 9 dargestellt ist;
  • 11 ist eine schematische Grafik, in der eine Teilquerschnittansicht des Substrats der 9 und ein Elektronenstrahl zum Bestimmen einer Eigenschaft des Defekts dargestellt sind;
  • 12 ist eine schematische Grafik, in der eine Teilquerschnittansicht eines Defekts auf einem Substrat dargestellt ist;
  • 13 ist eine schematische Grafik, in der eine Teilquerschnittansicht des Substrats der 12 dargestellt ist, in dem ein Teil eines Materials auf dem Substrat in der Nähe des Defekts entfernt ist;
  • 14 ist eine schematische Grafik, in der eine Teilquerschnittansicht des Substrats der 13 dargestellt ist, in dem ein Teil eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts entfernt ist;
  • 15 ist eine schematische Grafik, in der eine Seitenansicht eines Ausführungsbeispiels eines Systems dargestellt ist, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten;
  • 16 ist eine schematische Grafik, in der eine Teilquerschnittansicht eines Defekts auf einem Substrat dargestellt ist, das chemischem Ätzen in Verbindung mit einem Elektronenstrahl und einem Lichtstrahl ausgesetzt ist;
  • 17 ist eine schematische Grafik, in der eine Teilquerschnittansicht eines Defekts auf einem Substrat dargestellt ist, in dem ein Teil eines Materials auf dem Substrat in der Nähe des Defekts entfernt worden ist, und eines Elektronenstrahls und eines Lichtstrahls, die zur Bestimmung einer Eigenschaft des Defekts verwendet werden können;
  • 18 ist eine schematische Grafik, in der eine Teilquerschnittansicht eines Systems dargestellt ist, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten;
  • 19 ist eine schematische Grafik, in der eine Seitenansicht von Brennflecken auf einem Substrat durch achsversetzte und koaxiale Laserabgabe dargestellt ist;
  • 20 ist eine schematische Grafik, in der eine perspektivische Draufsicht eines Ausführungsbeispiels eines Teils eines Systems dargestellt ist, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten; und
  • 21 ist eine schematische Grafik, in der eine Teilquerschnittansicht eines Ausführungsbeispiels eines Teils eines Systems dargestellt ist, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten.
  • Zwar ist die Erfindung unterschiedlichen Modifikationen und alternativen Formen zugänglich, doch werden spezifische Ausführungsbeispiele davon exemplarisch in den Zeichnungen dargestellt und hier im Detail beschrieben. Die Zeichnungen sind möglicherweise nicht maßstabgetreu. Es ist jedenfalls darauf hinzuweisen, dass die Zeichnungen und die zugehörige detaillierte Beschreibung nicht geeignet sind, die Erfindung auf die ganz bestimmte offenbarte Form festzulegen, sondern die Intention liegt im Gegenteil darin, alle Modifikationen, Äquivalente und Alternativen abzudecken, die in den Geist und Geltungsbereich der vorliegenden Erfindung fallen, wie sie in den angehängten Ansprüchen definiert. ist.
  • DETAILLIERTE BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSBEISPIELE
  • Gemäß Definition hierin ist der Ausdruck "Substrat" allgemein definiert als ein Wafer oder eine Zwischenschablone. Gemäß Definition hierin bezieht sich der Ausdruck "Wafer" allgemein auf ein Substrat, das aus einem Halbleiter- oder Nicht-Halbleitermaterial gebildet ist. Beispiele solcher Halbleiter- oder Nicht-Halbleitermaterialien umfassen – ohne darauf beschränkt zu sein – monokristallines Silizium, Gallium-Arsenid und Indium-Phosphid. Solche Substrate finden sich für gewöhnlich in Halbleiterherstellungsanlagen und/oder werden in solchen verarbeitet.
  • Es kann sein, dass ein Wafer nur das Substrat umfasst. Ein derartiger Wafer wird im allgemeinen als "Virgin Wafer" (Roh-Wafer) bezeichnet. Ansonsten kann ein Wafer auch eine oder mehrere Schichten umfassen, die auf einem Substrat ausgebildet sind. Beispielsweise können solche Schichten ein Resist, ein dielektrisches Material und ein leitendes Material umfassen, ohne darauf beschränkt zu sein. Ein Resist oder ein "Photoresist" kann aus jedem Material bestehen, das mit Hilfe einer optischen Lithographietechnik, einer Elektronenstrahl-Lithographietechnik oder einer Röntgen-Lithographietechnik gemustert werden kann. Beispiele eines dielektrischen Materials sind Siliziumdioxid, Siliziumnitrid, Siliziumoxynitrid und Titannitrid, ohne darauf beschränkt zu sein. Zusätzliche Beispiele eines dielektrischen Materials umfassen "Low-k" dielektrische Materialien, wie Black DiamondTM, käuflich erhältlich bei Applied Materials, Inc., Santa Clara, California, und CORALTM, käuflich erhältlich bei Novellus Systeme, Inc., San Jose, California, "Ultra-low k" dielektrische Materialien, wie "Xerogels," und "High-k" dielektrische Materialien, wie Tantalpentoxid. Beispiele eines leitenden Materials umfassen – ohne darauf beschränkt zu sein – Aluminium, Polysilizium und Kupfer.
  • Eine oder mehrere auf einem Wafer gebildete Schichten können gemustert oder ungemustert sein. So kann ein Wafer beispielsweise eine Mehrzahl von „Dies" (Plättchen) mit wiederholbaren Mustereigenschaften besitzen. Die Ausbildung und Verarbeitung solcher Materialschichten kann letztlich fertige Halbleiterbauelemente erbringen. Ein Wafer kann als solcher ein Substrat aufweisen, auf dem nicht alle Schichten eines kompletten Halbleiter-Bauelements ausgebildet wurden, oder ein Substrat, auf dem alle Schichten eines kompletten Halbleiter-Bauelements ausgebildet worden sind. Die Bezeichnung "Halbleiter-Bauelement" wird hier austauschbar mit dem Ausdruck "integrierter Schaltkreis" verwendet. Dazu können auf einem Wafer auch andere Bauelemente, wie beispielsweise mikroelektromechanische (MEMS) Bauelemente und ähnliche ausgebildet sein.
  • Eine "Zwischenschablone" oder eine "Maske" ist allgemein definiert als ein im wesentlichen transparentes Substrat mit darauf ausgebildeten und in einem Muster konfigurierten im wesentlichen opaken Bereichen. Das Substrat kann beispielsweise ein Glasmaterial wie Quarz umfassen. Die im wesentlichen opaken Bereiche können aus einem Material wie Chrom gebildet sein. Eine Zwischenschablone kann während eines Exponierungsschritts eines Lithographieverfahrens über einem Resist-bedeckten Wafer angeordnet sein, so dass das Muster auf der Zwischenschablone auf den Resist übertragen wird. Beispielsweise können im wesentlichen opake Bereiche der Zwischenschablone darunterliegende Bereiche des Resists vor einer Exponierung an einer Energiequelle schützen. In der Fachwelt sind viele unterschiedliche Typen von Zwischenschablonen bekannt, und der Ausdruck Zwischenschablone soll hier für unsere Zwecke alle Typen von Zwischenschablonen abdecken.
  • Der Ausdruck "Feature" bezeichnet hier allgemein jede auf einem Substrat ausgebildete Struktur, die eine Seitenausdehnung in drei Dimensionen besitzt (also Breite ebenso wie Höhe). Beispiele von Features sind gemusterte Strukturen, die auf Halbleiter-Wafern ausgebildet sind. Gemusterte Strukturen können auf Halbleiter-Wafern unter Anwendung jedes in der Fachwelt bekannten Verfahrens ausgebildet werden (z.B. Lithographie und Ätzverfahren). Die Features können aus jedem in der Fachwelt bekannten Material gebildet sein, wie einem Resist, einem leitenden Material und einem isolierenden Material.
  • Wir wenden uns den Zeichnungen zu und stellen fest, dass 121 nicht maßstabgetreu sind. Insbesondere ist der Maßstab einiger Elemente der Figuren deutlich übertrieben, um Eigenschaften der Elemente hervorzuheben. Auch ist festzustellen, dass 121 nicht im selben Maßstab gezeichnet sind. Elemente, die in mehr als einer Figur dargestellt sind, die ähnlich konfiguriert sein können, wurden mit dem selben Bezugszeichen versehen.
  • Wir wenden uns wieder den Zeichnungen zu und stellen fest, dass 1 und 2 eine Methode zum Messen einer Eigenschaft eines Substrats darstellen. Gemäß Darstellung in 1 ist das Feature 10 auf dem Substrat 12 ausgebildet. In einem Ausführungsbeispiel kann es sich bei dem Feature um ein Photoresist-Feature handeln. Allerdings kann das Feature jedes der oben beschriebenen Features sein. Beispielsweise kann das Feature ein leitendes Feature oder ein isolierendes Feature sein. Das Feature 10 ist als Linie dargestellt. Es ist jedoch zu beachten, dass das Feature jede Form haben kann. In einem Ausführungsbeispiel kann das Substrat ein Produktwafer sein. Dabei kann das Feature in einem Testbereich auf dem Produktwafer oder in einem Bauelementbereich auf dem Produktwafer ausgebildet sein. Mit anderen Worten, das Feature kann ein Test-Feature oder ein Bauelement-Feature sein. Das Substrat kann aber jedes der oben beschriebenen anderen Substrate umfassen.
  • Wie des weiteren in 1 dargestellt, umfasst die Methode die Anwendung des Elektronenstrahls 14 zum Entfernen des Teils 10a des Features 10, wodurch ein Querschnittprofil eines restlichen Teils von Feature 10 exponiert wird. Mit anderen Worten, diese Technik verwendet einen Elektronenstrahl zum Entfernen von Material von einem Substrat, wodurch das Orthogonalprofil eines Features auf dem Substrat freigelegt wird. Nachdem beispielsweise – wie in 2 dargestellt – der Teil 10a des Features 10 unter Anwendung des Elektronenstrahls 14 entfernt wurde, werden die Querschnittprofile 16 der restlichen Teile 10b und 10c des Features 10 exponiert. Wie in 1 und 2 dargestellt, ist der Teil 10a des Features 10, der entfernt wird, im wesentlichen auf einen Bereich des Features beschränkt, der vom Elektronenstrahl 14 beleuchtet wird. Außerdem entfernt der entfernende Teil 10a des Features 10 keinen Teil des Substrats 12. Auf diese Weise kann der Teil 10a des Features 10 entfernt werden, ohne das Substrat 12 zu beschädigen oder zu zerstören. Außerdem wird das Substrat vom Elektronenstrahl nicht kontaminiert. Deshalb sind die hier beschriebenen Methoden und Systeme zum Messen einer Eigenschaft eines Substrats vorteilhaft im Vergleich zu anderen derzeit verwendeten Methoden und Systemen, da die hier beschriebenen Methoden und Systeme das Substrat weder kontaminieren noch zerstören.
  • Wie weiter in 1 und 2 dargestellt, deformiert das Entfernen des Teils 10a des Features 10 mit dem Elektronenstrahl 14 im wesentlichen nicht die restlichen Teile 10b und 10c des Features. Mit anderen Worten, die restlichen Teile 10b und 10c haben im wesentlichen die selben Abmessungen und dreidimensionalen Profile wie jene des Features 10. 3 stellt auch Features mit anderen Formen dar, von denen ein Teil mittels der hier beschriebenen Methode entfernt worden ist. Gemäß Darstellung in 3 haben die restlichen Teile 18a und 18b des Features 18 im wesentlichen die selben Abmessungen und dreidimensionalen Profile wie jene des ursprünglichen Features. Die restlichen Teile 20a und 20b des Features 20 haben im übrigen die selben Abmessungen und dreidimensionalen Profile wie jene des ursprünglichen Features. Wie in 3 dargestellt, kann ein Teil unterschiedlich geformter Features wie hier beschrieben entfernt werden, und die Form des Features, das vom Elektronenstrahl geätzt wird, hat auf die Qualität der restlichen Teile des Features keine Auswirkungen.
  • Die Qualität der Materialentfernung (z.B. horizontal Linearität, Seitenwandorthogonalität, Materialentfernungsrate usw.) ist allgemein abhängig von der Elektronenstrahlfokusqualität, der Auftreffenergie, der Ätzgasverfügbarkeit und der Strahlverweilzeit. Deshalb können diese Parameter des Elektronenstrahls geändert werden, um die Materialentfernung und die Qualität der restlichen Teile des Features zu optimieren. Diese Parameter können beispielsweise auch in Abhängigkeit von Eigenschaften des Features (z.B. Größe und Zusammensetzung) und Eigenschaften des Substrats (z.B. Zusammensetzung, darunter liegende Schichten, usw.) variieren. In diesem Sinne können die hier beschriebenen Methoden und Systeme dazu verwendet werden, ein exponiertes Querschnittprofil eines Features zu produzieren, das besonders geeignet zum Messen ist, da die restlichen Teile des Features die ursprünglichen Eigenschaften des Features beibehalten. Deshalb sind die hier beschriebenen Methoden und Systeme zum Messen einer Eigenschaft eines Substrats vorteilhaft gegenüber den derzeit benützten Methoden und Systemen, da die hier beschriebenen Methoden und Systeme keine Deformation der Features auf dem Substrat verursachen.
  • Die Methode umfasst auch das Messen einer Eigenschaft von mindestens einem der Querschnittprofile 16 der restlichen Teile 10b und 10c des Features 10. Beispielsweise kann das Messen einer Eigenschaft der Querschnittprofile 16 unter Anwendung des Elektronenstrahls 14 durchgeführt werden. In einem solchen Beispiel kann der Elektronenstrahl dazu dienen, mit Hilfe einer Rasterelektronenmikroskoptechnik eines der Querschnittprofile abzubilden. Die gemessene Eigenschaft kann eine dreidimensionale Eigenschaft des Features umfassen (z.B. eine kritische Abmessung des Features, eine Höhe des Features, einen Seitenwandwinkel oder eine Neigung des Features, ein dreidimensionales Profil des Features oder jede andere Eigenschaft des Querschnittprofils, die mit der Rasterelektronenmikroskopie gemessen werden kann). Beispielsweise können – wie in 3 dargestellt – dreidimensionale Eigenschaften der Querschnittprofile 22 und 24 der restlichen Teile 18b bzw. 20b, die mit der Rasterelektronenmikroskopie gemessen werden können, die kritische Abmessung 26, die Höhe 28 und die Neigung 30 umfassen, ohne darauf beschränkt zu sein. Die Methoden sind folglich besonders nützlich für die dreidimensionale Metrologie eines auf einem Substrat ausgebildeten Features. Außerdem kann die dreidimensionale Metrologie des Features durchgeführt werden, während das Feature geätzt wird (z.B. unter Anwendung des selben Elektronenstrahls).
  • Wenn der selbe Elektronenstrahl zum Entfernen des Teils des Features und zum Messen einer Eigenschaft des Querschnittprofils benützt wird, können die Parameter des Teilsystems zur Elektronenstrahlabgabe zwischen dem Entfernen und dem Messen geändert werden, um eine oder mehrere Eigenschaften des Elektronenstrahls zu ändern (z.B. Energie, Fokus usw.). Die Parameter des Elektronenstrahls können sich ändern, beispielsweise je nach Größe des Features, der Zusammensetzung des Features, der Zusammensetzung des Substrats oder der Zusammensetzung der Schicht des Substrats, auf der das Feature ausgebildet ist. Die Auswahl geeigneter Parameter zum Entfernen und Messen ist für einschlägig bewanderte Fachleute offenkundig. Insbesondere können die Eigenschaften des Elektronenstrahls (und damit die Parameter des Teilsystems zur Elektronenabgabe) so ausgewählt werden, dass die Rate, mit der der Teil des Features entfernt wird, die Perpendikularität des exponierten Querschnittprofils erhöht. Mit anderen Worten, die Eigenschaften des Elektronenstrahls können so ausgewählt werden, dass ein Unterätzen oder Überätzen der restlichen Teile des Features vermieden wird.
  • Beim Messen einer Eigenschaft des Querschnittprofils des restlichen Teils des Features mit dem selben Elektronenstrahl, der zum Entfernen benützt wird, kann das Substrat im Verhältnis zum Elektronenstrahl geneigt werden, nachdem der Teil des Features entfernt worden und bevor die Eigenschaft gemessen ist. Auf diese Weise kann der Elektronenstrahl in einem geeigneten Betrachtungswinkel bezüglich des restlichen Teils des Features angeordnet werden. Das Substrat kann durch Ändern der Stellung eines (nicht dargestellten) Tisches geneigt werden, auf dem das Substrat zwischen dem Entfernen des Teils des Features und dem Messen aufliegt. Alternativ dazu oder zusätzlich kann der Elektronenstrahl im Verhältnis zum Substrat geneigt werden, nachdem der Teil des Features entfernt worden ist und vor dem Messen, so dass der Elektronenstrahl während der Messungen einen geeigneten Sichtwinkel einnimmt. Der Elektronenstrahl kann durch Ändern einer oder mehrerer Parameter eines Teilsystems zur Elektronenabgabe geneigt werden, das dazu konfiguriert ist, dem Substrat den Elektronenstrahl zuzuführen.
  • In einem anderen Ausführungsbeispiel kann das Entfernen des Teils des Features unter Anwendung eines Elektronenstrahls durchgeführt werden, und das Messen einer Eigenschaft eines Querschnittprofils des Features kann unter Anwendung eines anderen Elektronenstrahls durchgeführt werden. In einem solchen Ausführungsbeispiel kann der andere Elektronenstrahl an einer vorbestimmten geneigten Position im Verhältnis zum Substrat angeordnet werden. Nachdem in diesem Sinne ein Substrat auf einem mit dem anderen Elektronenstrahl gekoppelten Tisch platziert worden ist, muss die Position des Tisches möglicherweise vor dem Messen nicht geändert werden.
  • In einem solchen Ausführungsbeispiel kann ein Elektronenstrahl einen Teil eines Features auf dem Substrat entfernen, während der andere Elektronenstrahl eine Eigenschaft eines Querschnittprofils eines anderen Features auf dem Substrat misst. Das der Messung unterzogene Querschnittprofil kann zuvor unter Anwendung des ersten Elektronenstrahls exponiert worden sein. Unterschiedliche Elektronenstrahlen können deshalb auf einem Substrat gleichzeitig unterschiedliche Funktionen ausführen (z.B. Entfernen und Messen). Mit anderen Worten, ein Substrat kann zwei oder mehr Elektronenstrahlen ausgesetzt werden, während es auf dem selben Tisch angeordnet ist.
  • In einem anderen Beispiel kann ein Elektronenstrahl dazu verwendet werden, das Entfernen und Messen an einem Feature durchzuführen, während ein anderer Elektronenstrahl das Entfernen und Messen an einem anderen Feature durchführt. In einem anderen Beispiel kann einer der Elektronenstrahlen einen Teil eines Features an einem Substrat entfernen. während ein anderer Elektronenstrahl ein Feature an einem anderen Substrat misst. Jedes oben beschriebene Ausführungsbeispiel der Methode kann jeden anderen hier beschriebenen Schritt umfassen.
  • Zusätzliche Ausführungsbeispiele betreffen ein System, das dazu konfiguriert ist, eine Eigenschaft eines Substrats gemäß der oben beschriebenen Methode zu messen. 4 illustriert ein Ausführungsbeispiel eines solchen Systems. Wie in 4 dargestellt, umfasst das System ein Teilsystem zur Elektronenabgabe 32. Das Teilsystem zur Elektronenabgabe 32 ist konfiguriert zur Abgabe eines (nicht dargestellten) Elektronenstrahls auf ein (nicht dargestelltes) Substrat. Das System umfasst auch den Tisch 34, auf den ein Substrat während Entfernung und Messen abgelegt werden kann. Der Tisch 34 kann ein geeigneter, in der Fachwelt bekannter Objekttisch sein. Das Teilsystem zur Elektronenabgabe kann als Elektronensäule konfiguriert sein. Das Teilsystem zur Elektronenabgabe kann jede in der Fachwelt bekannte, geeignete Elektronensäule sein. Das Teilsystem zur Elektronenabgabe kann auch zusätzliche (nicht dargestellte) mit der Elektronensäule gekoppelte Bauteile umfassen. Die zusätzlichen Bauteile können beispielsweise Bauteile sein, die dazu konfiguriert sind, die Elektronensäule zu steuern. Das System kann auch andere Bauteile umfassen, wie beispielsweise einen (nicht dargestellten) Prozessor, der mit der Elektronensäule und optional mit dem Tisch gekoppelt ist. Der Prozessor kann dazu konfiguriert sein, die Elektronensäule und den Tisch zu steuern, wie hier weiter beschrieben.
  • Der durch das Teilsystem zur Elektronenabgabe 32 zum Substrat geführte Elektronenstrahl kann dazu dienen, einen Teil eines Features auf dem Substrat zu entfernen und damit ein Querschnittprofil eines restlichen Teils des Features zu exponieren, wie oben beschrieben. Das Feature kann ein Photoresist-Feature oder jedes der anderen oben beschriebenen Features umfassen. Das Substrat kann ein Produktwafer oder jedes andere oben beschriebene Substrat sein. Wie oben beschrieben deformiert das Entfernen des Teils des Features durch den Elektronenstrahl den restlichen Teil des Features nicht wesentlich, wie in 2 und 3 dargestellt. Zudem ist der Teil des Features, der entfernt wird, im wesentlichen auf eine vom Elektronenstrahl beleuchtete Fläche des Features beschränkt, wie weiter oben beschrieben und in 1 und 2 dargestellt.
  • Der vom Teilsystem zur Elektronenabgabe 32 an das Substrat geführte Elektronenstrahl kann auch dazu verwendet werden, eine Eigenschaft eines Querschnittprofils des restlichen Teils des Features zu messen. Die Eigenschaft kann jede dreidimensionale Eigenschaft des oben beschriebenen Features sein. In einem in 4 dargestellten Ausführungsbeispiel des Systems kann das System so konfiguriert sein, dass es das Substrat zwischen Entfernen und Messen im Verhältnis zum Elektronenstrahl neigt. Beispielsweise kann das Substrat einen Prozessor oder eine Steuerung, die mit dem Tisch 34 gekoppelt sind, umfassen. Der Prozessor bzw. die Steuerung können so konfiguriert sein, dass sie eine Position des Tisches 34 und damit eine Position des Substrats im Verhältnis zum Elektronenstrahl ändern. In diesem Sinne kann das System konfiguriert sein, den Tisch so zu steuern, dass das Substrat für Entfernen und Messen in einem geeigneten Winkel mit Bezug zum Elektronenstrahl angeordnet ist.
  • In einem anderen Ausführungsbeispiel kann das in 4 dargestellt System so konfiguriert sein, dass es einen Elektronenstrahl des Teilsystem zur Elektronenabgabe 32 zwischen dem Entfernen und Messen neigt. Das System kann beispielsweise einen Prozessor oder eine Steuerung umfassen, die mit dem Teilsystem zur Elektronenabgabe 32 gekoppelt sind. Der Prozessor bzw. die Steuerung können – möglicherweise in Verbindung mit einem oder mehreren Bauteilen des Teilsystems zur Elektronenabgabe – so konfiguriert sein, dass sie eine oder mehrere Parameter der Elektronensäule und damit eine Position des Elektronenstrahls mit Bezug zum Substrat ändern. In diesem Sinne kann das System so konfiguriert sein, dass es das Teilsystem zur Elektronenabgabe so steuert, dass der Elektronenstrahl für Entfernen und Messen in einem geeigneten Winkel mit Bezug zum Substrat angeordnet ist.
  • In 5 ist ein anderes Ausführungsbeispiel eines Systems dargestellt, das zum Messen einer Eigenschaft eines Substrats konfiguriert ist. In diesem Ausführungsbeispiel umfasst das System zwei Teilsysteme zur Elektronenabgabe 36 und 38. Jedes der Teilsysteme zur Elektronenabgabe ist dazu konfiguriert, einen Elektronenstrahl auf ein (nicht dargestelltes) Substrat abzugeben. Beispielsweise ist das Teilsystem zur Elektronenabgabe 36 so konfiguriert, dass es einen (nicht dargestellten) Elektronenstrahl an ein Substrat abgibt, das auf dem Tisch 40 abgelegt ist, und das Teilsystem zur Elektronenabgabe 38 ist so konfiguriert, dass es einen (nicht dargestellten) Elektronenstrahl an ein Substrat abgibt, das auf dem Tisch 42 aufliegt. Die Tische 40 und 42 können jeder in der Fachwelt bekannte Objekttisch sein. Überdies können die Tische 40 und 42 identische oder unterschiedliche Tischtypen sein.
  • Jedes der Teilsysteme zur Elektronenabgabe kann allgemein als Elektronensäule konfiguriert sein. Die Teilsysteme zur Elektronenabgabe können jede in der Fachwelt bekannte geeignete Elektronensäule sein. Zudem können die Elektronensäulen der zwei Teilsysteme zur Elektronenabgabe gleich oder unterschiedlich konfiguriert sein. Die Teilsysteme zur Elektronenabgabe können auch zusätzliche (nicht dargestellte) Bauteile umfassen, die mit den Elektronensäulen gekoppelt sind. Die zusätzlichen Bauteile können beispielsweise Bauteile sein, die zur Steuerung der Elektronenstrahlen konfiguriert sind. Das System kann auch andere Bauteile umfassen, wie beispielsweise einen oder mehrere (nicht dargestellte) Prozessoren, die mit der einen oder den mehreren Elektronensäulen und einem oder mehreren Tischen gekoppelt sind. Der Prozessor kann so konfiguriert sein, dass er die Elektronensäule und den Tisch steuert, wie nachstehend beschrieben.
  • Der vom Teilsystem zur Elektronenabgabe 36 zum Substrat geführte Elektronenstrahl kann wie oben beschrieben zum Entfernen verwendet werden. Der vom Teilsysteme zur Elektronenabgabe 38 zum Substrat geführte Elektronenstrahl kann wie oben beschrieben für Messungen verwendet werden. In einem Ausführungsbeispiel des in 5 dargestellten Systems kann das Teilsystem zur Elektronenabgabe 38 so konfiguriert sein, dass der Elektronenstrahl, den es auf das Substrat abgibt, an einer vorbestimmten geneigten Position im Verhältnis zum Substrat angeordnet ist. Auf diese Weise muss möglicherweise eine Position des Tisches 42 vor den Messungen nicht wesentlich geändert werden.
  • In dem in 5 dargestellten System kann folglich ein Teilsystem zur Elektronenabgabe zum Entfernen benützt werden, und ein anderes Teilsystem zur Elektronenabgabe kann zum Messen benützt werden. Zudem ist, wie in 5 dargestellt, jedes der Teilsysteme zur Elektronenabgabe mit einem anderen Tisch gekoppelt. Deshalb kann nach Entfernung eines Teils eines Features auf einem Substrat, beispielsweise durch einen von einem Teilsystem zur Elektronenabgabe 36 abgegebenen Elektronenstrahl, ein (nicht dargestelltes) Substrathandhabegerät das Substrat vom Tisch 40 entfernen und das Substrat zum Tisch 42 bewegen, so dass ein von der Elektronenabgabesäule 38 abgegebener Elektronenstrahl eine Eigenschaft des Features messen kann. Folglich können in einem Ausführungsbeispiel die zwei Teilsysteme zur Elektronenabgabe durch ein gemeinsames Substrathandhabegerät gekoppelt sein. Zudem können, wie in 5 dargestellt, die Elektronenabgabesäulen 36 und 38 in einem Gehäuse 44 untergebracht sein.
  • In dem oben beschriebenen Ausführungsbeispiel kann folglich ein Teilsystem zur Elektronenabgabe der Materialentfernung und das andere Teilsystem zur Elektronenabgabe dem Messen gewidmet sein. Es ist jedoch zu beachten, dass beide Teilsysteme zur Elektronenabgabe auch konfiguriert sein können, sowohl Materialentfernung wie auch Messungen durchzuführen, wie oben mit Bezug auf das Teilsystem zur Elektronenabgabe 32 beschrieben. In beiden Ausführungsbeispielen kann das in 5 dargestellte System so konfiguriert sein, dass zwei Substrate gleichzeitig bearbeitet werden. Beispielsweise kann ein Teilsystem zur Elektronenabgabe die Materialentfernung an einem Feature auf einem Substrat durchführen, während das andere Teilsystem zur Elektronenabgabe eine Messung eines anderen Features an einem anderen Substrat vornimmt. In einem anderen Beispiel kann eines der Teilsysteme zur Elektronenabgabe einen Teil eines Features auf einem Substrat entfernen und dann eine dreidimensionale Eigenschaft des Features messen, während das andere Teilsystem zur Elektronenabgabe auf gleiche Art ein anderes Substrat bearbeitet.
  • Die zwei Teilsysteme zur Elektronenabgabe können – wie oben beschrieben – durch ein gemeinsames Substrathandhabegerät gekoppelt oder in einem gemeinsamen Gehäuse untergebracht sein. Die zwei Teilsysteme zur Elektronenabgabe können jedoch auf unterschiedliche Art und Weise gekoppelt sein. Beispielsweise kann in einem in 6 dargestellten Ausführungsbeispiel das Teilsystem zur Elektronenabgabe 36 durch den gemeinsamen Prozessor 46 mit einem Teilsystem zur Elektronenabgabe 38 gekoppelt sein. Der Prozessor 46 kann an das Teilsystem zur Elektronenabgabe 36 über das Übertragungsmedium 48 gekoppelt sein. Der Prozessor 46 kann auch über das Übertragungsmedium 50 an das Teilsystem zur Elektronenabgabe 38 gekoppelt sein. Die Übertragungsmedien 48 und 50 können jedes in der Fachwelt bekannte Übertragungsmedium umfassen und "verdrahtete" sowie "drahtlose" Teile besitzen. Der Prozessor 46 kann so konfiguriert sein, dass er die unterschiedlichen hier beschriebenen Funktionen ausübt. Daneben kann der Prozessor 46 konfiguriert sein, Messdaten vom Teilsystem zur Elektronenabgabe 36 und/oder Teilsystem zur Elektronenabgabe 38 zu empfangen. Der Prozessor 46 kann so konfiguriert sein, dass er die Messdaten unter Anwendung jeder in der Fachwelt bekannten Methode verarbeitet. Beispielsweise kann der Prozessor Bilddaten vom Teilsystem zur Elektronenabgabe 36 und/oder Teilsystem zur Elektronenabgabe 38 empfangen. Der Prozessor 46 kann auch einen oder mehrere Algorithmen benützen, um die Ränder des Features aus den Bilddaten zu extrahieren und aus den Bilddaten eine oder mehrere Eigenschaften des Features zu bestimmen.
  • In einem anderen in 7 dargestellten Ausführungsbeispiel kann das Teilsystem zur Elektronenabgabe 36 über das Übertragungsmedium 52 mit einem Teilsystem zur Elektronenabgabe 38 gekoppelt sein. Das Übertragungsmedium 52 kann jedes geeignete, in der Fachwelt bekannte Übertragungsmedium sein und "verdrahtete" sowie "drahtlose" Teile umfassen. Das Übertragungsmedium dient als Informationsverknüpfung zwischen den zwei Teilsystemen zur Elektronenabgabe. Im übrigen können die Teilsysteme zur Elektronenabgabe 36 und 38 ihre eigenen (nicht dargestellten) Prozessoren, Wafer-Handhabungsgeräte, Gehäuse, Stromquellen usw. besitzen. In diesem Sinne kann jedes Teilsystem zur Elektronenabgabe als Vorbereitungs- (z.B. Materialentfernung) und/oder Messsystem komplett getrennt vom anderen Teilsystem konfiguriert sein, abgesehen vom Übertragungsmedium. Außerdem kann das Teilsystem zur Elektronenabgabe 36 entfernt vom Teilsystem zur Elektronenabgabe 38 angeordnet sein.
  • Unabhängig von ihrem Ort können jedoch das Teilsystem zur Elektronenabgabe 36 und das Teilsystem zur Elektronenabgabe 38 durch das Übertragungsmedium 52 gekoppelt sein. In einem bestimmten Ausführungsbeispiel kann ein Prozessor eines Teilsystems zur Elektronenabgabe 36 durch das Übertragungsmedium 52 an einen Prozessor des Teilsystems zur Elektronenabgabe 38 gekoppelt sein. Auf diese Weise können Messungen und andere Informationen zwischen Prozessoren der Teilsysteme gesendet werden. Beispielsweise kann das Teilsystem zur Elektronenabgabe 36 einen Ort eines Features, das wie oben beschrieben geätzt wurde, zum Teilsystem zur Elektronenabgabe 38 senden. Das Teilsystem zur Elektronenabgabe 38 kann dann die Informationen benützen, um das zu messende Feature zu orten und die Messungen durchzuführen. Das in 5 dargestellte System kann des weiteren wie hier dargestellt konfiguriert sein. Zusätzlich können die Prozessoren der Teilsysteme 36 und 38 so wie hier weiter beschrieben konfiguriert sein.
  • Obwohl die in 47 dargestellten Ausführungsbeispiele des Systems ein oder zwei Teilsysteme zur Elektronenabgabe umfassen, ist zu beachten, dass in einigen Ausführungsbeispielen ein System auch mehr als zwei Teilsysteme zur Elektronenabgabe umfassen kann. In diesem Sinne können mehr als zwei Elektronenstrahlen gleichzeitig zum Feature-Ätzen und/oder Messen an die Substrate abgegeben werden. Und obwohl in den in 47 dargestellten Ausführungsbeispielen der Systeme jedes Teilsystem zur Elektronenabgabe mit einem anderen Tisch gekoppelt ist, ist zu beachten, dass in einigen Ausführungsbeispielen auch mehr als ein Teilsystem zur Elektronenabgabe mit dem selben Tisch gekoppelt sein können. In diesem Sinne können zwei oder mehr Elektronenstrahlen im wesentlichen gleichzeitig an ein Substrat abgegeben werden. Das Feature-Ätzen und/oder Messen können folglich an mehr als einer Stelle auf dem Substrat gleichzeitig ausgeführt werden. Die in 47 dargestellten Systeme können weiter wie hier beschrieben konfiguriert sein.
  • Es werden hier weitere Methoden und Systeme beschrieben, die zum kontrollierten Entfernen von Bauelementfilmschichten (Entschichten) an ausgewählten Stellen benützt werden können. Ein solches Entschichten ist kritisch für die Defektprüfung und Analyse im Bauelement-Herstellungsverfahren. Wie weiter oben beschrieben, umfassen die aktuellen Techniken zum Entschichten das Ionenstrahl-Ätzen, das Laser-Ablationsätzen und die mechanische Abrasion mit einem Microtip. Diese Techniken haben Nachteile, etwa indem sie Änderungen der optischen, elektrischen und mechanischen Eigenschaften der geätzten Features und der umgebenden Bereiche und die Kontamination des Substrats verursachen, was letztlich zur Zerstörung des Substrats führt.
  • Das Elektronenstrahl-gestützte chemische Ätzen, wie hier im weiteren beschrieben, besitzt gegenüber den genannten Techniken zahlreiche Vorteile. So eliminiert beispielsweise die Nutzung eines Elektronenstrahls anstelle eines Ionenstrahls zum Ätzen die Ionenkontamination und die Kollateralschäden, die der Ionenstrahl den umgebenden Bereichen zufügt. Deshalb sind die hier beschriebenen Methoden und Systeme mit „Front end of the line" (FEOL) Verarbeitung und „Back end of the line" (BEOL) Verarbeitung kompatibel, und Wafers, die so wie hier beschrieben entschichtet wurden, können in den Prozess zurück geführt werden. Ein weiterer Vorteil des Elektronenstrahl-gestützten chemischen Ätzens besteht im hohen Grad der Ätzselektivität und der Endpunktbestimmung. Das selektive Elektronenstrahl-gestützte chemische Ätzen mit Chemikalien auf Fluor-, Chlor, Brom- und Sauerstoffbasis wurde für die meisten Filmschichten in DRAM-Speicherbausteinen, logischen Bausteinen und Photoresist entwickelt. Da die hier beschriebenen Methoden und Systeme im übrigen einen relativ hohen Durchsatz haben, ist die Dauer bis zum korrekten Identifizieren der Grundursache von Defekten unter Anwendung dieser Methoden und Systeme möglicherweise signifikant kürzer als bei derzeit benützten Methoden und Systemen.
  • In 810 ist ein Ausführungsbeispiel einer Methode zur Vorbereitung eines Substrats zur Analyse dargestellt. Wie in 8 dargestellt, ist der Defekt 54 auf dem Substrat 56 ausgebildet. Das Substrat 56 kann jedes der oben beschriebenen Substrate sein. In diesem Beispiel ist das Material 58 auf dem Substrat 56 ausgebildet. Das Material 58 kann jedes in der Fachwelt bekannte Material umfassen, wie beispielsweise ein Photoresist, ein leitendes Material oder ein isolierendes Material. Obwohl in 810 auf dem Substrat 56 nur ein einziges Material dargestellt ist, ist zu beachten, dass auf den hier beschriebenen Substraten auch zwei oder mehr Materialien ausgebildet werden können. Einige der Materialien können ungemustert sein, wie in 810 dargestellt, oder gemustert, wie oben beschrieben. Wie in 8 dargestellt, ist der Defekt 54 ein teilweise unterflächiger Defekt.
  • Mit anderen Worten, ein Teil des Defekts 54 befindet sich unter der oberen Oberfläche 60 des Materials 58. Allerdings können die hier beschriebenen Methoden und Systeme auch auf Substraten ausgeführt werden, die einen komplett unterflächigen Defekt (wie der in 12 dargestellte und weiter unten beschriebene) oder einen oberflächigen Defekt (also einen Defekt, der nicht unterhalb einer oberen Oberfläche des Substrats angesiedelt ist) aufweisen. Und obwohl der Defekt 54 als Partikeldefekt dargestellt ist, ist zu beachten, dass der Defekt jeder in der Fachwelt bekannte Defekt sein kann.
  • Wie in 8 dargestellt, wird ein Teil des Materials 58 dem chemischen Ätzen in Verbindung mit dem Elektronenstrahl 64 ausgesetzt. Das chemische Ätzen kann die Exponierung des Substrats 56 an einer Ätzchemikalie 62 mit sich bringen. In einigen Ausführungsbeispielen kann die Ätzchemikalie eine Fluor-basierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Sauerstoff-basierte Chemikalie sein. Diese Ätzchemikalien können eine oder mehrere Chemikalien umfassen. Beispielsweise kann eine Fluor-basierte Ätzchemikalie eine oder mehrere Fluorkohlenwasserstoffe umfassen, möglicherweise in Verbindung mit anderen Chemikalien, wie etwa Argon. Viele solche Chemikalien sind in der Fachwelt gut bekannt, und die Ätzchemikalie kann jede solche Chemikalie umfassen. Die Auswahl einer Ätzchemikalie kann variieren, beispielsweise abhängig von der Zusammensetzung des Materials 58, der Zusammenensetzung des Defekts 54 und der Zusammensetzung allfälliger anderer Materialien auf dem Substrat, die möglicherweise der Ätzchemikalie ausgesetzt sind. Die Ätzchemikalie wird beispielsweise vorzugsweise so ausgewählt, dass sie den Defekt nicht wesentlich ändert oder ätzt, insbesondere da der Defekt nach dem Entschichten analysiert werden muss, wie hier weiter beschrieben. Außerdem wird die Ätzchemikalie vorzugsweise so ausgewählt, dass sie eine gute Selektivität für das Material 58 aufweist (d. h. sie ätzt das Material 58 schneller als sie andere Materialien auf dem Substrat 56 ätzt), und – wenn möglich – so, dass sie eine gute Anisotropie aufweist (d. h. sie ätzt horizontale Oberflächen des Materials 58 schneller als vertikale Oberflächen des Materials 58). Des weiteren ist die Ätzchemikalie vorzugsweise so ausgewählt, dass sie im wesentlichen keine anderen Materialien als das Material 58 auf dem Substrat ätzt. Auf diese Weise kann die Ätzchemikalie das Substrat oder andere Materialien oder Features, die der Ätzchemikalie ausgesetzt sind, nicht beschädigen. Außerdem kann die Selektivität der Ätzung durch Ändern eines oder mehrerer Parameter des Elektronenstrahls geändert werden.
  • Wie in 9 dargestellt, entfernt das chemische Ätzen in Verbindung mit dem Elektronenstrahl 64 den Teil 66 des Materials 58 in der Nähe des Defekts 54. Wie in 9 weiter dargestellt, weist der restliche Teil des Materials in der Nähe des Defekts eine obere Oberfläche 68 auf, die annähernd einer unteren Oberfläche des Defekts 54 entspricht. Allerdings kann in anderen Ausführungsbeispielen der Teil des Materials in der Nähe des Defekts "überentfernt" oder "überätzt" sein, so dass die obere Oberfläche 68 niedriger ist als eine unterste Oberfläche des Defekts. Die Tiefe, bis zu der das Material in der Nähe des Defekts entfernt wird, kann variieren, beispielsweise in Abhängigkeit von der Analyse, die zum Defekt vorgenommen werden soll.
  • Wie in 10 dargestellt, umgibt der Teil 66 des Materials 58, der in der Nähe des Defekts 54 entfernt wird, seitlich den Defekt 54. Dergestalt hat der Teil des Materials, der entfernt wird, eine Fläche, in der sich der Defekt befindet. Damit können nach dem Entschichten alle Seiten des Defekts exponiert werden, so dass die Analyse des Defekts aus unterschiedlichen Winkeln mit Bezug zum Defekt durchgeführt werden kann. In einem Ausführungsbeispiel hat der Teil des Materials, der entfernt wird, eine Fläche kleiner oder gleich etwa 10 μm mal etwa 10 μm. Folglich ist die Fläche auf dem Substrat, auf der Material entfernt wird, relativ klein, insbesondere im Vergleich zur Menge an Material, das typischerweise von anderen Entschichtungsverfahren entfernt wird. In diesem Sinne können die hier beschriebenen Methoden auf Produktwafern ausgeführt werden, da in den meisten Fällen das Entfernen von Material von einer solch kleinen Fläche auf dem Produktwafer den Produktwafer als Ganzen nicht nachteilig beeinträchtigen sollte.
  • Die Fläche des Teils des Materials, der entfernt wird, kann variieren, beispielsweise in Abhängigkeit von der Fläche auf dem Substrat, das von dem Elektronenstrahl beleuchtet wird. Beispielsweise findet in den hier beschriebenen Methoden und Systemen Ätzen nur in Anwesenheit von Ätzmittelgasen in Verbindung mit dem Elektronenstrahl statt. Auf diese Weise kann der Durchmesser des Elektronenstrahls und damit die Fläche des entfernten Materials geändert werden, beispielsweise in Abhängigkeit von den Seitenabmessungen des Defekts, der zur Entfernung ausgewählten Fläche, der auf dem Defekt durchzuführenden Analyse, den Eigenschaften des zu entfernenden Materials und/oder den Eigenschaften des Substrats. In einem bestimmten Beispiel wird die Fläche des Materials, das entfernt wird, vorzugsweise auf einem Minimum gehalten (um eine Beschädigung oder Zerstörung allfällig vorhandener benachbarter Strukturen zu vermeiden), während ausreichend Materialentfernung rund um den Defekt für eine erfolgreiche Analyse zugelassen wird.
  • Die Methode kann auch die Analyse des Defekts 54 zur Bestimmung einer Eigenschaft des Defekts umfassen. Die zu bestimmende Eigenschaft des Defekts kann jede interessante Eigenschaft sein, etwa die Abmessungen (Höhe und Breite), das Profil, die Zusammensetzung, die Rauheit usw.. Folglich kann die zu bestimmende Eigenschaft des Defekts festlegen, welche Analyse auf dem Defekt durchgeführt werden soll. In einem Ausführungsbeispiel kann die Analyse des Defekts unter Anwendung eines Elektronenstrahls durchgeführt werden. In einem bestimmten Ausführungsbeispiel kann – wie in 11 dargestellt – der Elektronenstrahl 64, der zum Entfernen des Teils 66 des Materials 56 in der Nähe des Defekts 54 verwendet wurde, auch zur Analyse des Defekts 54 benützt werden. Die Parameter des Elektronenstrahls, die zum Entfernen des Teils des Materials benützt werden, können sich von jenen unterscheiden, die zur Analyse des Defekts herangezogen werden. In einem solchen Ausführungsbeispiel kann der Elektronenstrahl zur Abbildung des Defekts unter Anwendung einer Technik wie etwa der Rasterelektronenmikroskopie verwendet werden. Die Abbildung des Defekts kann dann zur Defektprüfung benützt werden, oder zur Bestimmung der Eigenschaften des Defekts. In einem anderen Ausführungsbeispiel kann der Elektronenstrahl 64 zur Abbildung des Defekts beim Entfernen des Materials verwendet werden. Auf diese Weise können der Defekt und das Entschichtungsverfahren – möglicherweise in Echtzeit – überwacht und protokolliert werden, woraus möglicherweise weitere Informationen über den Defekt, das Material in der Nähe des Defekts und den Entschichtungsprozess zu gewinnen sind. Solche Informationen können auch dazu verwendet werden, einen Endpunkt des Verfahrens zu bestimmen und/oder das Entschichtungsverfahren zu optimieren.
  • In einem anderen Ausführungsbeispiel kann der Elektronenstrahl dazu verwendet werden, eine Zusammensetzung des Defekts zu bestimmen, unter Anwendung einer Technik wie energiedispersive Röntgenspektroskopie (EDX oder EDS) oder Auger-Elektronenspektroskopie (AES). Nachdem die Defektzusammensetzung festgestellt wurde, können die hier beschriebenen Entschichtungsmethoden im Sinne einer Maximierung der Selektivität zwischen dem Defekt und den umgebenden Filmen geändert werden. Allgemein wird in der EDX-Technik ein Elektronenstrahl auf eine Oberfläche des Defekts gerichtet. Der Defekt kann als Reaktion auf den gerichteten Elektronenstrahl sekundäre Elektronen und einen kennzeichnenden Röntgenstrahl abgeben. Der charakteristische Röntgenstrahl kann von einem Halbleiter-Röntgen-Detektor detektiert und der Energieanalyse ausgesetzt werden. Das Röntgenspektrum kann analysiert werden, um eine Zusammensetzung des Defekts zu bestimmen. Beispiele von EDX-Systemen und Methoden sind in U.S. Patent Nr. 4,559,450 an Robinson et al., 6,072,178 an Mizuno und 6,084,679 an Steffan et al. illustriert und diesem Dokument so einverleibt, als wären sie hier vollinhaltlich ausgeführt.
  • In einem anderen Ausführungsbeispiel kann ein (nicht dargestelltes) Röntgenanalysesystem dazu benützt werden, eine Eigenschaft des Defekts zu bestimmen. Beispielsweise kann eine Zusammensetzung eines Defekts mit Hilfe einer Technik wie röntgenstrahlangelegte Photoelektronspektroskopie (XPS oder ESCA) oder Röntgenfluoreszenzspektrometrie (XRF) bestimmt werden. In einem anderen Beispiel kann eine Röntgenreflexionstechnik (XRR) verwendet werden, um eine Eigenschaft eines Defekts zu messen, wie beispielsweise eine Konzentration eines Elements in einem Defekt. Beispiele von Röntgenreflexmethoden und Systemen sind in U.S. Patent Nr. 5,740,226 an Komiya et al., 6,040,198 an Komiya et al. und 6,633,831 an Nikoonahad et al. illustriert, die diesem Dokument so einverleibt sind, als wären sie hier vollständig wiedergegeben. Das Röntgenanalysesystem kann konfiguriert werden, wie in diesen Patenten beschrieben.
  • In anderen Ausführungsbeispielen kann eine Analyse des Defekts unter Anwendung jeder anderen in der Fachwelt bekannten Analysetechnik durchgeführt werden. Beispielsweise kann der Defekt unter Anwendung der Sekundärionenmassenspektroskopie (SIMS) analysiert werden. Bei der SIMS wird allgemein Material von einem Sample durch Sputtern von Ionen von der Oberfläche des Samples entfernt und die gesputterten Ionen mittels Massenspektrometrie analysiert. Beispiele von SIMS-Techniken sind in U.S. Patent Nr. 4,645,929 an Criegern et al., 4,912,326 an Naito, 6,078,0445 an Maul et al. und 6,107,629 an Benninghoven et al. illustriert, die diesm Dokument durch Bezugnahme einverleibt sind, als ob sie hier vollständig ausgeführt wären. Das Analysesystem kann wie in diesen Patenten beschrieben konfiguriert sein.
  • 1214 illustriert ein anderes Ausführungsbeispiel einer Methode zur Vorbereitung eines Substrats zur Analyse. Wie in 12 dargestellt, ist der Defekt 70 auf dem Substrat 72 ausgebildet. Das Substrat 72 kann jedes der oben beschriebenen Substrate sein. In diesem Beispiel sind die Materialien 74 und 76 auf dem Substrat 72 ausgebildet. Die Materialien 74 und 76 können auf dem Substrat 72 mit jedem in der Fachwelt bekannten Verfahren aufgebracht sein (z.B. Abscheiden, Beschichten usw.), oder in einer Kombination von Verfahren (z.B. Abscheidung und chemisch-mechanisches Polieren).
  • Wie in 12 dargestellt, ist das Material 76 unter dem Material 74 ausgebildet. Die Materialien 74 und 76 können jedes in der Fachwelt bekannte Material umfassen, wie beispielsweise ein Photoresist, ein leitendes Material oder ein isolierendes Material. Die Materialien 74 und 76 sind unterschiedliche Materialien. Mit anderen Worten, die Materialien 74 und 76 haben unterschiedliche Zusammensetzungen. Beispielsweise kann das Material 74 ein isolierendes Material und das Material 76 ein leitendes Material sein. Oder das Material 74 kann ein Typ von Isoliermaterial sein, und das Material 76 ein anderer Typ von Isoliermaterial. Obwohl auf dem Substrat 72 in 1214 nur zwei Materialien dargestellt sind, ist darauf hinzuweisen, dass auf den hier beschriebenen Substraten viele Materialien ausgebildet werden können. Die Materialien können, wie in 1214 dargestellt, ungemustert sein, oder sie können gemustert sein, wie oben beschrieben.
  • Wie in 12 dargestellt, handelt es sich bei dem Defekt 70 um einen unterflächigen Defekt. Mit anderen Worten, der Defekt 70 ist vollständig unterhalb der oberen Oberfläche 78 des Materials 74 lokalisiert. Die hier beschriebenen Methoden und Systeme können aber auch auf Substraten durchgeführt werden, die einen teilweise unterflächigen Defekt (wie den in 8 dargestellten und weiter oben beschriebenen) oder einen Oberflächendefekt (d. h. einen Defekt, der nicht unter einer oberen Oberfläche des Substrats liegt) aufweisen. Zwar ist der Defekt 70 als Partikeldefekt dargestellt, es ist jedoch darauf hinzuweisen, dass die hier beschriebenen Methoden und Systeme für Substrate mit jeder Art von Defekt verwendet werden können.
  • Wie in 13 dargestellt, umfasst die Methode das Entfernen des Teils 80 vom Material 74 in der Nähe des Defekts 70 per chemischen Ätzens in Verbindung mit einem (nicht dargestellten) Elektronenstrahl. Das chemische Ätzen kann die Exponierung des Substrats 72 an einer (nicht dargestellten) Ätzchemikalie einschließen. Die Ätzchemikalie kann jede der hier beschriebenen Ätzchemikalien umfassen. Außerdem kann die Selektivität der Ätzung durch Ändern eines oder mehrerer Parameter der Ätzchemikalie und/oder eines oder mehrerer Parameter des Elektronenstrahls modifiziert werden. Wie in 13 dargestellt, kann der Teil 80 des Materials 74 in der Nähe des Defekts vollständig entfernt werden, um die oberen Oberfläche 82 des Materials 76 zu exponieren. Wie weiter in 13 dargestellt, hat das Entfernen des Teils 80 des Materials 74 den Defekt 70 nur teilweise exponiert. Deshalb kann die Methode in einigen Ausführungsbeispielen – wie in 14 dargestellt – auch das Entfernen des Teils 84 des Materials 76 in der Nähe des Defekts 70 unter Anwendung von chemischem Ätzen in Verbindung mit einem (nicht dargestellten) Elektronenstrahl umfassen.
  • Da die Materialien 74 und 76 unterschiedliche Materialtypen sind, können die Parameter des chemischen Ätzens und des Elektronenstrahls unterschiedlich für das Entfernen des Teils von Material 74 und zum Entfernen des Teils von Material 76 sein. Beispielsweise können unterschiedliche Ätzchemikalien zum Entfernen des Materials 74 und 76 benützt werden. In einem Beispiel kann eine Fluor-basierte Ätzchemikalie zum Entfernen des Materials 74 und eine Chlor-basierte Ätzchemikalie zum Entfernen des Materials 76 benützt werden. Insbesondere können die Ätzchemikalien zum Entfernen der einzelnen Materialien auf Basis der Zusammensetzung und anderer Eigenschaften des Materials gewählt werden. Vorzugsweise werden die Teile der unterschiedlichen Materialien in unterschiedlichen Schritten eines Ätzverfahrens entfernt, das in einer Ätzkammer ausgeführt werden kann. Zudem können die Teile der unterschiedlichen Materialien in unterschiedlichen Schritten unter Nutzung des selben Elektronenstrahls entfernt werden. Ein oder mehrere Parameter des Elektronenstrahls können zwischen den Entfernungsschritten geändert werden, so dass der Elektronenstrahl zum Entfernen beider unterschiedlicher Materialien optimiert werden kann. Es ist jedoch darauf hinzuweisen, dass in einigen Fällen das Entfernen der Teile der unterschiedlichen Materialien in unterschiedlichen Ätzverfahren durchgeführt werden kann, die in unterschiedlichen Ätzkammern, möglicherweise mit dem selben Ätzwerkzeug, ausgeführt werden. Naturgemäß würden solche Ätzverfahren mit unterschiedlichen Elektronenstrahlen durchgeführt, die auch Parameter haben können, die zum Entfernen unterschiedlicher Materialien optimiert sind.
  • Wie in 14 dargestellt, hat der restliche Teil des Materials in der Nähe des Defekts eine obere Oberfläche 86, die annähernd einer unteren Oberfläche des Defekts 70 entspricht. In anderen Ausführungsbeispielen kann jedoch der Teil 84 des Materials 76 in der Nähe des Defekts "überentfernt" oder "überätzt" werden, so dass die obere Oberfläche 86 tiefer liegt als eine unterste Oberfläche des Defekts. Die Tiefe, bis zu der das Material in der Nähe des Defekts entfernt wird, kann variieren, beispielsweise in Abhängigkeit von der Analyse, die an dem Defekt durchzuführen ist.
  • Wie oben weiter ausgeführt, umgeben die Teile 80 und 84 der Materialien 74 und 76, die in der Nähe des Defekts 70 entfernt werden, seitlich den Defekt 70. Auf diese Weise besitzen die Teile des Materials, die entfernt werden, eine Fläche, in der sich der Defekt befindet. Somit können alle Seiten des Defekts exponiert werden, nachdem die Teile des Materials entfernt worden sind, so dass die Analyse des Defekts aus unterschiedlichen Winkeln mit Bezug zum Defekt ausgeführt werden kann. In einem Ausführungsbeispiel haben die Teile des Materials, die entfernt werden, Flächen, die kleiner oder gleich etwa 10 μm mal etwa 10 μm sind. Deshalb ist die Fläche auf dem Substrat, in der Materialien entfernt werden, relativ klein, insbesondere im Vergleich zu der Menge an Material, das typischerweise von anderen Entschichtungsverfahren entfernt wird. In diesem Sinne können die hier beschriebenen Methoden auf Produktwafern ausgeführt werden, da das Entfernen von Material von einer derart kleinen Fläche auf dem Produktwafer in den meisten Fällen keine nachteiligen Auswirkungen auf den Produktwafer als Ganzen haben sollte. Die Fläche der Teile des Materials, die entfernt werden, kann variieren, beispielsweise nach der Fläche auf dem Substrat, die durch den Elektronenstrahl beleuchtet wird, wie oben beschrieben.
  • Die in 1214 dargestellte Methode kann auch die Analyse des Defekts 70 zur Bestimmung einer Eigenschaft des Defekts einschließen. Die Eigenschaft des Defekts, die bestimmt wird, kann jede der oben beschriebenen Eigenschaften sein. Und die Analyse des Defekts kann jede der oben beschriebenen Analysen umfassen.
  • In 15 ist ein Ausführungsbeispiel eines Systems dargestellt, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten. Das System umfasst das Teilsystem zur Chemikalienabgabe 88. Das Teilsystem zur Chemikalienabgabe 88 ist dazu konfiguriert, eine oder mehrere (nicht dargestellte) Chemikalien dem Substrat 90 zuzuführen. Mit anderen Worten, das Teilsystem zur Chemikalienabgabe ist dazu konfiguriert, eine oder mehrere Chemikalien in die Prozesskammer 92 abzugeben, in der das Substrat 90 auf dem Tisch 94 abgelegt ist. Die eine oder mehreren Chemikalien können jede der oben beschriebenen Chemikalien umfassen. Beispielsweise können die eine oder mehreren Chemikalien eine Fluor-basierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brombasierte Chemikalie, eine Sauerstoff-basierte Chemikalie oder jede andere in der Fachwelt bekannte Ätzchemikalie umfassen.
  • Das Teilsystem zur Chemikalienabgabe 88 kann Gasquelle(n) 96 (von denen nur eine in 15 dargestellt ist), die an die Gasquelle(n) angeschlossene Verrohrung 98, ein mit der Verrohrung 98 gekoppeltes Ventil 100 und einen Verteiler 102 umfassen. Die eine oder mehreren Chemikalien können von der/den Gasquelle(n) 96 durch die Verrohrung 98 und das Ventil 100 zum Verteiler 102 strömen. Der Verteiler ermöglicht die Abgabe der einen oder mehreren Chemikalien in die Prozesskammer 92, vorzugsweise auf kontrollierte Art und Weise. Gasquelle(n), Verrohrung, Ventil und Verteiler können alle in der Fachwelt bekannten, geeigneten Bauteile umfassen. Das Teilsystem zur Chemikalienabgabe kann auch zahlreiche weitere in der Fachwelt bekannte Komponenten umfassen. Es kann außerdem jede in der Fachwelt bekannte Konfiguration aufweisen. Zusätzliche Beispiele von Teilsystemen zur Chemikalienabgabe sind in 4,842,683 an Cheng et al., 5,215,619 an Cheng et al., 5,614,060 an Hanawa, 5,770,099 an Rice et al., 5,882,165 an Maydan et al., 5,849,136 an Mintz et al., 5,910,011 an Cruse, 5,926,690 an Toprac et al., 5,976,310 an Levy, 6,072,147 an Koshiishi et al., 6,074,518 an Imafuku et al., 6,083,363 an Ashtiani et al., 6,089,181 an Suemasa et al., 6,110,287 an Arai et al. und 6,633,831 an Nikoonahad et al. illustriert, die diesem Dokument durch Bezugnahme einverleibt sind, als wären sie hierin vollständig ausgeführt.
  • Das Teilsystem zur Chemikalienabgabe 88, die Prozesskammer 92 und der Objekttisch 94 können des weiteren so wie in diesen Patenten beschrieben konfiguriert sein. Beispielsweise kann die Prozesskammer 92 ein Manometer 104 enthalten. Das Manometer 104 kann zum Messen eines Drucks in der Prozesskammer konfiguriert sein. Das Manometer kann durch das Übertragungsmedium 108 mit einem Prozessor 106 gekoppelt sein. Das Übertragungsmedium 108 kann jedes in der Fachwelt bekannte und geeignete Übertragungsmedium sein. Zudem kann das Übertragungsmedium "verdrahtete" und "drahtlose" Teile umfassen. Der Prozessor 106 kann dafür konfiguriert sein, einen oder mehrere Parameter des Systems zu ändern, je nach dem vom Manometer 104 gemessenen Druck. Auf ähnliche Weise kann der Prozessor 106 mit anderen Komponenten des Systems (z.B. einem Ventil 100) gekoppelt und so konfiguriert sein, dass andere Parameter des Systems geändert werden, je nach dem in der Kammer 92 ausgeführten Verfahren.
  • Das System umfasst auch ein Teilsystem zur Elektronenabgabe 110. Das Teilsystem zur Elektronenabgabe 110 ist für die Abgabe eines (nicht dargestellten) Elektronenstrahls auf das Substrat 90 konfiguriert. Das Teilsystem zur Elektronenabgabe kann weiterhin so wie hier beschrieben konfiguriert sein. Die eine oder mehreren Chemikalien, die durch das Teilsystem zur Chemikalienabgabe 88 zugeführt werden, entfernt in Verbindung mit dem Elektronenstrahl, der durch das Teilsystem zur Elektronenabgabe 110 abgegeben wird, einen Teil eines Materials auf dem Substrat in der Nähe des Defekts. Die eine oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl können einen Teil eines oder mehrerer Materialien entfernen, wie in 810 und 1214 dargestellt. Nebenprodukte der Reaktionen zwischen dem/den Material(ien) und der einen oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl werden vom Substrat desorbiert. Das System kann eine oder mehrere (nicht dargestellte) Pumpen umfassen, die mit der Prozesskammer gekoppelt sind. Die eine oder mehreren Pumpen können so konfiguriert sein, dass sie solche Nebenprodukte aus der Prozesskammer entfernen und damit die Möglichkeit reduzieren, dass die Nebenprodukte auf anderen Bereichen des Substrats abgeschieden werden. Die Pumpe(n) können jede in der Fachwelt bekannte Pumpenart sein.
  • Der Defekt, das Substrat, das/die Material(ien) und der Teil des Materials/der Materialien, der entfernt wird, können alle der oben beschriebenen umfassen. Beispielsweise kann in einem Ausführungsbeispiel der Defekt ein unterflächiger Defekt oder ein teilweise unterflächiger Defekt sein. Oder der Defekt kann ein Oberflächedefekt sein. Zudem kann der "Teil des Materials, der entfernt wird, eine Fläche kleiner oder gleich etwa 10 μm mal etwa 10 μm aufweisen. Und da der Bereich des Materials, der entfernt wird, relativ klein ist, kann das Substrat ein Produktwafer sein. Das Substrat kann aber auch jedes andere hier beschriebene Substrat umfassen.
  • In einigen Ausführungsbeispielen können die eine oder mehreren Chemikalien, die vom Teilsystem zur Chemikalienabgabe 88 zugeführt werden, in Verbindung mit dem Elektronenstrahl, der vom Teilsystem zur Elektronenabgabe 100 abgegeben wird, einen Teil eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts entfernen, wie in 1214 dargestellt. Wie des weiteren in 1214 dargestellt, unterscheidet sich das zusätzliche Material (z.B. Material 76) vom Material (z.B. Material 74) und ist unterhalb des Materials ausgebildet.
  • Das in 15 dargestellte System kann auch ein Analyse-Teilsystem umfassen, das so konfiguriert ist, dass es eine Eigenschaft des Defekts auf dem Substrat misst. Das Analyse-Teilsystem kann so konfiguriert sein, dass es eine der hier beschriebenen Analysetechniken ausführt. Das Analyse-Teilsystem kann zur Bestimmung einer Zusammensetzung des Defekts oder einer der anderen hier beschriebenen Eigenschaften konfiguriert sein.
  • In einem Ausführungsbeispiel kann das Teilsystem zur Elektronenabgabe 110 konfiguriert sein, unter Anwendung des Elektronenstrahls eine Eigenschaft des Defekts zu messen. Die Parameter des zum Entfernen benützten Elektronenstrahls können sich von den Parametern des zum Messen benützten Elektronenstrahls unterscheiden. Die Parameter des Elektronenstrahls können zwischen Entfernen und Messen geändert werden, indem ein oder mehrere Parameter des Teilsystems zur Elektronenabgabe modifiziert werden. Der/Die Parameter des Teilsystems zur Elektronenabgabe können in einigen Ausführungsbeispielen durch den Prozessor 106 geändert oder kontrolliert werden.
  • In einem Ausführungsbeispiel kann das Teilsystem zur Elektronenabgabe 110 so konfiguriert sein, dass der Defekt unter Anwendung einer Technik abgebildet wird, wie beispielsweise der Rasterelektronenmikroskopie. In einem anderen Ausführungsbeispiel kann das Teilsystem zur Elektronenabgabe 110 zum Abbilden des Defekts während der Entfernung des Materials verwendet werden. Auf diese Weise können der Defekt und der Entschichtungsprozess überwacht und protokolliert werden, woraus sich zusätzliche Informationen über den Defekt, das Material in der Nähe des Defekts und das Entschichtungsverfahren ergeben können. Solche Informationen können dazu dienen, den Entschichtungsprozess zu optimieren. Zusätzlich können solche Informationen dazu benützt werden, das Entschichtungsverfahren während seiner Durchführung zu kontrollieren (also in Echtzeit).
  • In einem weiteren Beispiel kann das Teilsystem zur Elektronenabgabe 110 konfiguriert sein, um eine Zusammensetzung des Defekts unter Anwendung einer Technik, wie beispielsweise EDX, das weiter oben näher beschrieben wurde, zu bestimmen. In diesem Sinne kann das Teilsystem zur Elektronenabgabe 110 konfiguriert sein, als Analyse-Teilsystem zu funktionieren. In einem unterschiedlichen Ausführungsbeispiel kann das Analyse-Teilsystem ein (nicht dargestelltes) Röntgenanalysesystem umfassen, wie sie oben beschrieben sind oder anderweitig in der Fachwelt bekannt sind. Das Analyse-Teilsystem kann mit dem in 15 dargestellten System auf jede Weise gekoppelt sein. Beispielsweise können das Analyse-Teilsystem und das in 15 dargestellte System in einem Gehäuse untergebracht und mit einem gemeinsamen Prozessor, einem gemeinsamen Substrathandhabegerät, einer gemeinsamen Stromquelle, einem Übertragungsmedium, usw. gekoppelt sein. Das in 15 dargestellte Ausführungsbeispiel des Systems kann des weiteren wie hier beschrieben konfiguriert sein.
  • In den oben beschriebenen Methoden und Systemen wird die Entschichtung mit einer Kombination von Elektronen und injizierten Ätzmittelgasen an der Substratoberfläche vollzogen. In solchen Ausführungsbeispielen wird die Entschichtungsselektivität weitgehend durch die Ätzraten bestimmt, die durch Anpassen der Einstellungen für die Ätzmittelgase und den Elektronenstrahl erreicht werden. Obwohl die Entschichtung unter Anwendung eines Elektronenstrahl-gestützten chemischen Ätzens eine hochwirksame Entschichtungsmethode ist, die vorzugsweise das Ätzen horizontaler Oberflächen über vertikalen Oberflächen hauptsächlich durch die Wirkung des einfallenden Elektronenstrahls ermöglicht, kann das Erhitzen der Substrate das Ätzen noch weiter beschleunigen, indem die Desorption von Reaktionsnebenprodukten an der Oberfläche des Substrats beschleunigt wird. Beispielsweise können – wie hier weiter beschrieben – die Substratoberflächen mit Hilfe von Licht zur Unterstützung der Elektronen- und Ätzmittelgasreaktionen erhitzt werden.
  • In einem Ausführungsbeispiel wird ein Lichtstrahl, der koaxial am Elektronenstrahl ausgerichtet ist, dazu verwendet, die Ätzreaktion zu unterstützen, indem die Substratoberfläche erhitzt wird. Insbesondere erweitert der koaxiale Lichtstrahl das bevorzugte Ätzen der horizontalen Oberflächen durch Verstärken der Wirkungen des Elektronenstrahls auf dem Substrat. Beispielsweise kann der Lichtstrahl durch koaxiale Ausrichtung am Elektronenstrahl vorzugsweise die horizontalen Oberflächen erhitzen. Mit anderen Worten, der koaxiale Lichtstrahl erhitzt die horizontalen Oberflächen auf dem Substrat und erhitzt die vertikalen Oberflächen im wesentlichen nicht. Diese differenzierte Oberflächenerwärmung dient dazu, das vertikale über das seitliche Ätzen der Substratoberflächen zu beschleunigen. Insbesondere ätzen die Ätzmittelgase vorzugsweise die horizontalen Oberflächen, die von Elektronen sowie von Licht bestrahlt werden. Auf diese Weise kann die Hinzufügung von Licht zu den oben beschriebenen Entschichtungsprozessen die Anisotropie des Entschichtungsverfahrens erhöhen. Eie solche zusätzliche Anisotropie kann vorteilhaft sein, da die Fähigkeit zum Entfernen von Bauelementfilmen unter Beibehaltung des ursprünglichen Aspektverhältnisses der Bauelement-Features oder anderer dreidimensionaler Features kritisch für die Defektprüfung und Analyse ist.
  • Zusätzlich können durch Auswählen der Wellenlänge des Lichtstrahls unterschiedliche Materialien auf dem Substrat unterschiedlich und vorzugsweise erhitzt werden. Beispielsweise kann die Lichtquelle so ausgewählt werden, dass das Licht eine Wellenlänge hat, die vom Material absorbiert werden kann, das vom Substrat entfernt wird. Auf diese Weise kann die Wellenlänge so ausgewählt werden, dass vorzugsweise Materialien erhitzt werden, die Licht bei dieser Wellenlänge absorbieren. Damit kann die Wellenlänge so ausgewählt werden, dass die Selektivität zwischen unterschiedlichen Materialien maximiert wird. Ein solches Erwärmen kann besonders während der Entschichtung von Kontakten, Kondensatoren oder anderen dreidimensionalen Features auf dem Substrat wünschenswert sein, die zwei oder mehr Materialien umfassen, welche gleichzeitig entschichtet werden.
  • In 1617 ist ein Ausführungsbeispiel einer Methode zur Vorbereitung eines Substrats auf die Analyse dargestellt. Wie in 16 dargestellt, ist der Defekt 112 auf dem Substrat 114 ausgebildet. Das Substrat 114 kann jedes der oben beschriebenen Substrate umfassen. In diesem Beispiel wird das Material 116 auf dem Substrat 114 ausgebildet. Das Material 116 kann jedes in der Fachwelt bekannte Material sein, wie ein Photoresist, ein leitendes Material oder ein isolierendes Material. Obwohl auf dem Substrat 114 in 1617 nur ein Material dargestellt ist, ist zu beachten, dass auf den hier beschriebenen Substraten zahlreiche Materialien ausgebildet werden können. Einige Materialien können ungemustert sein, wie in 1617 dargestellt, oder gemustert, wie oben beschriebenen. Wie in 16 dargestellt, ist der Defekt 112 ein teilweise unterflächiger Defekt. Mit anderen Worten, ein Teil des Defekts 112 ist unterhalb der oberen Oberfläche 118 des Materials 116 angesiedelt. Jedoch können die hier beschriebenen Methoden und Systeme auch auf Substraten ausgeführt werden, die einen vollkommen unterflächigen Defekt (wie der in 12 dargestellte) oder einen Oberflächendefekt enthalten. Und obwohl der Defekt 112 als Partikeldefekt dargestellt ist, ist darauf hinzuweisen, dass der Defekt jeder in der Fachwelt bekannte Defekt sein kann.
  • Wie in 16 dargestellt, wird ein Teil des Materials 116 chemischem Ätzen in Verbindung mit dem Elektronenstrahl 120 und dem Lichtstrahl 122 unterzogen. Das chemische Ätzen kann die Exponierung des Substrats 114 an der Ätzchemikalie 124 einschließen. In einigen Ausführungsbeispielen kann die Ätzchemikalie eine Fluor-basierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Sauerstoff-basierte Chemikalie umfassen. Diese Ätzchemikalien können eine oder mehrere Chemikalien umfassen. Viele solcher Chemikalien sind in der Fachwelt bekannt, und die Ätzchemikalie kann jede solche Chemikalie sein.
  • Die Auswahl einer Ätzchemikalie kann beispielsweise in Abhängigkeit von der Zusammensetzung des Materials 116, der Zusammensetzung des Defekts 112 und der Zusammensetzung anderer Materialien auf dem Substrat variieren, die möglicherweise der Ätzchemikalie ausgesetzt sind. Beispielsweise wird die Ätzchemikalie vorzugsweise so ausgewählt, dass sie den Defekt nicht wesentlich ändert oder ätzt, vor allem deshalb, weil der Defekt nach dem Entschichten analysiert werden soll, wie hierin weiter beschrieben. Außerdem wird die Ätzchemikalie vorzugsweise so ausgewählt, dass sie eine gute Selektivität für das Material 116 besitzt (d. h. sie ätzt das Material 116 schneller als sie andere Materialien auf dem Substrat 114 ätzt), und nach Möglichkeit so, dass sie eine gute Anisotropie besitzt (d. h. sie ätzt horizontale Flächen des Materials 116 schneller als sie vertikale Flächen des Materials 116 ätzt). Überdies wird die Ätzchemikalie vorzugsweise so ausgewählt, dass sie andere Materialien auf dem Substrat als das Material 116 im wesentlichen nicht ätzt. Auf diese Weise kann die Ätzchemikalie das Substrat oder andere Materialien oder Features, die der Ätzchemikalie ausgesetzt werden, nicht beschädigen. Dazu kann die Selektivität des Entschichtungsprozesses geändert werden, indem ein oder mehrere Parameter des Elektronenstrahls und/oder ein oder mehrere Parameter des Lichtstrahls modifiziert werden.
  • Wie in 16 dargestellt, wird der Elektronenstrahl 120 an das Substrat 114 koaxial mit dem Lichtstrahl 122 abgegeben. Und obwohl der Durchmesser des Elektronenstrahls 120 in 16 als größer als der Durchmesser des Lichtstrahls 122 dargestellt ist, ist darauf hinzuweisen, dass ein Durchmesser des Lichtstrahls 122 auch annähernd gleich oder größer dem Durchmesser des Elektronenstrahls 120 sein kann. Der Lichtstrahl 122 kann von einem (nicht dargestellten) Laser erzeugt werden. Der Lichtstrahl kann jedoch auch von jeder anderen geeigneten, in der Fachwelt bekannten Lichtquelle erzeugt werden. Allgemein sind wohl Lichtquellen, die auf ihren Betriebswellenlängen relativ hell sind, besonders nützlich für die hier beschriebenen Methoden. Ein Beispiel eines geeigneten Lasers ist ein gütegeschalteter Laser im Bereich 100 mW. Ein weiterer geeigneter Laser kann ein Ti-Saphir-Laser sein. Die Lichtquelle kann auch ein Laser mit einheitlicher Wellenlänge oder ein Laser mit mehreren Wellenlängen sein. Der Lichtstrahl kann unter Anwendung von mehr als einer Lichtquelle erzeugt werden. Beispielsweise kann das Licht mehrerer Laser mit einem Kombinator zu einer Objektivkonstruktion kombiniert werden. In einem anderen Beispiel können unterschiedliche Lichtstrahlen von unterschiedlichen Lasern erzeugt werden, und der Lichtstrahl, der zum Substrat geführt wird, kann je nach dem zu entfernenden Material variieren. Auf diese Weise werden möglicherweise nicht alle unterschiedlichen Lichtstrahlen gleichzeitig zum Substrat geführt.
  • Die Wellenlänge des Lichtstrahls 122 variiert in Abhängigkeit von dem zu entfernenden Material. Beispielsweise kann die Wellenlänge des Lichtstrahls 122 so ausgewählt werden, dass das Licht vom Material absorbiert werden kann. Auf diese Weise kann der Lichtstrahl das Material wie oben beschrieben erwärmen. Und der Lichtstrahl kann eine Wellenlänge (z.B. monochromes Licht), annähernd eine Wellenlänge (z.B. nahezu monochromes Licht) oder mehr als eine Wellenlänge haben (z.B. polychromes Licht oder Breitbandlicht). Beispiele geeigneter Wellenlängen umfassen – ohne darauf beschränkt zu sein – etwa 1054 nm (nahe Infrarot), etwa 527 nm (sichtbar, grün), etwa 350 nm (nahezu ultraviolett) und etwa 266 nm (ultraviolett). Allgemein können die geeigneten Wellenlängen alle Wellenlängen von etwa 266 nm bis etwa 1054 nm umfassen.
  • Wenn Licht mit unterschiedlichen Wellenlängen gleichzeitig oder hintereinander an das Substrat abgegeben wird, kann jede Wellenlänge des Lichts ein spezifisches Material auf dem Substrat mehr als andere erwärmen. Wenn folglich Material vom Substrat entfernt wird, kann sich die Wellenlänge des Lichts, das auf das Substrat abgegeben wird, ändern. Wenn beispielsweise ein Teil eines Materials auf dem Substrat entfernt ist, kann ein anderes, unter dem Material gebildetes Material mit Hilfe des chemischen Ätzens in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl entfernt werden, allerdings mit unterschiedlichen Parametern zumindest für den Lichtstrahl. So kann das Entfernen eines Teils von mehr als einem Material auf einem Substrat das unterschiedliche Erhitzen der einzelnen Materialien mit dem Lichtstrahl umfassen. Wenn mehr als ein Material von einem Substrat entfernt wird, können gleichermaßen die Parameter der Ätzchemikalie und/oder des Elektronenstrahls geändert werden, wenn unterschiedliche Materialien entfernt werden. Dergestalt können die Parameter jeder Komponente im Entschichtungsprozess zum Entfernen des Materials/der Materialien auf dem Substrat optimiert werden. Außerdem können die Parameter jeder Komponente im Entschichtungsverfahren geändert werden, so dass die Selektivität des Entschichtungsprozesses maximiert wird. Insbesondere können die Parameter in Abhängigkeit von der Zusammensetzung des Defekts, der Zusammensetzung des Materials und in einigen Fällen von der Zusammensetzung des Substrats modifiziert werden. Vorzugsweise werden die Parameter der Ätzchemikalie, des Elektronenstrahls und des Lichtstrahls im Entschichtungsverfahren auf eine minimierte Entfernung des Defekts bei maximaler Entfernung des Materials optimiert.
  • Wie weiter oben beschrieben, erhitzt der Lichtstrahl 122 vorzugsweise das Material 116 auf dem Substrat 114. Das Erhitzen des Materials 116 mit dem Lichtstrahl 122 in Anwesenheit der Ätzchemikalie 124 und des Elektronenstrahls 120 intensiviert das vorzugsweise Ätzen der horizontalen Oberflächen des Materials 116. Insbesondere durch die koaxiale Abgabe des Lichtstrahls 122 auf das Substrat 114 mit dem Elektronenstrahl 120 können die horizontalen Oberflächen des Materials erhitzt werden, ohne die vertikalen Oberflächen des Materials wesentlich zu erhitzen. Auf diese Weise kann Elektronen- und Lichtstrahl-gestütztes chemisches Ätzen im wesentlichen anisotrop sein. Folglich schaffen die hier beschriebenen Entschichtungsmethoden die Fähigkeit, die Bauelementfilme bei Aufrechterhaltung des ursprünglichen Aspektverhältnisses der Bauelement-Features oder anderer dreidimensionaler Features auf dem Substrat zu entfernen, was für Defektprüfung und Analyse entscheidend ist.
  • Wie in 17 dargestellt, entfernt das chemische Ätzen in Verbindung mit dem Elektronenstrahl 120 und dem Lichtstrahl 122 einen Teil 126 des Materials 116 in der Nähe des Defekts 112. Wie des weiteren in 17 dargestellt, hat der restliche Teil des Materials in der Nähe des Defekts eine obere Oberfläche 128, die annähernd einer unteren Oberfläche des Defekts 112 entspricht. In anderen Ausführungsbeispielen kann jedoch der Teil des Materials in der Nähe des Defekts auch "überentfernt" oder "überätzt" sein, so dass die obere Fläche 128 tiefer ist als eine unterste Fläche des Defekts 112. Die Tiefe, auf die das Material entfernt wird, kann beispielsweise in Abhängigkeit von der Analyse variieren, die zu dem Defekt durchgeführt werden soll.
  • Wie weiter oben beschrieben, umgibt der Teil 126 des Materials 116, der in der Nähe des Defekts 112 entfernt wird, seitlich den Defekt 112. So hat der Teil des Materials, der entfernt wird, eine Fläche, in der sich der Defekt befindet. Auf diese Weise können alle Seiten des Defekts exponiert werden, nachdem der Teil des Materials entfernt worden ist, so dass die Analyse des Defekts aus unterschiedlichen Winkeln vorgenommen werden kann. In einem Ausführungsbeispiel hat der Teil des Materials, der entfernt wird, eine Fläche kleiner oder gleich etwa 10 μm mal etwa 10 μm. Folglich ist der Bereich auf dem Substrat, in dem das Material entfernt wird, relativ klein, insbesondere im Vergleich mit der Menge an Material, die normalerweise von den anderen Entschichtungsverfahren entfernt wird. In diesem Sinne können die hier beschriebenen Methoden auf Produktwafern ausgeführt werden, da in den meisten Fällen das Entfernen von Material von einer derart kleinen Fläche auf dem Produktwafer denselben nicht als ganzen nachteilig beeinträchtigen sollte.
  • Der Bereich des Teils des Materials, der entfernt wird, kann variieren, beispielsweise in Abhängigkeit von der Fläche auf dem Substrat, die vom Elektronenstrahl und dem Lichtstrahl beleuchtet wird. Beispielsweise findet in den hier beschriebenen Methoden und Systemen Ätzen nur in Anwesenheit von Ätzmittelgasen in Verbindung mit dem Elektronenstrahl statt. So kann der Durchmesser des Elektronenstrahls geändert werden, beispielsweise in Abhängigkeit von den Seitenabmessungen des Defekts, der zum Entfernen ausgewählten Fläche, der zum Defekt durchzuführenden Analyse, den Eigenschaften des zu entfernenden Materials und/oder den Eigenschaften des Substrats. Auch kann die Fläche des Teils des Materials, der entfernt wird, ebenso variieren, beispielsweise in Abhängigkeit von der Fläche auf dem Substrat, die vom Lichtstrahl beleuchtet wird. Die Fläche auf dem Substrat, die vom Lichtstrahl beleuchtet wird, kann mittels jeder in der Fachwelt bekannten Methode oder Vorrichtung geändert werden. In einem bestimmten Beispiel wird der Bereich des Materials, der entfernt wird, vorzugsweise auf einem Minimum gehalten (um eine Beschädigung oder Zerstörung allenfalls vorhandener benachbarter Strukturen zu vermeiden), während rund um den Defekt ausreichend Raum für eine erfolgreiche Analyse gelassen wird.
  • Die Methode kann auch die Analyse des Defekts 112 zur Bestimmung einer Eigenschaft des Defekts umfassen. Die Eigenschaft des Defekts, die bestimmt wird, kann jede Eigenschaft sein, die von Interesse sein kann, wie die Abmessungen (Breite und Höhe), das Profil, die Zusammensetzung, die Rauheit usw.. Folglich kann die Eigenschaft des Defekts, die bestimmt werden soll, festlegen, welche Analyse am Defekt vorzunehmen ist. In einem Ausführungsbeispiel kann die Analyse des Defekts unter Anwendung eines Elektronenstrahls zur Bestimmung der Charakteristik des Defekts durchgeführt werden. In einem bestimmten Ausführungsbeispiel kann – wie in 17 dargestellt – der Elektronenstrahl 120, der zum Entfernen benützt wurde, auch zur Analyse des Defekts 112 benützt werden. Die Parameter des zum Entfernen benützten Elektronenstrahls können sich von den Parametern des Elektronenstrahls zur Analyse des Defekts unterscheiden.
  • In einem solchen Ausführungsbeispiel kann der Elektronenstrahl 120 dazu benützt werden, den Defekt unter Anwendung einer Technik wie beispielsweise der Rasterelektronenmikroskopie abzubilden. Das Bild des Defekts kann dann für eine Defektprüfung oder zur Bestimmung von Eigenschaften wie der seitlichen Abmessungen des Defekts verwendet werden. In einem anderen Ausführungsbeispiel kann der Elektronenstrahl 120 dazu dienen, den Defekt abzubilden, während das Material entfernt wird. So können der Defekt und der Entschichtungsprozess kontrolliert und protokolliert werden, was weitere Informationen über den Defekt, das Material in der Nähe des Defekts und den Entschichtungsprozess ergeben kann. Die Informationen können dazu dienen, den Entschichtungsprozess, wie er hierin weiter beschrieben wird, zu kontrollieren und/oder zu protokollieren. In einem anderen Ausführungsbeispiel kann der Elektronenstrahl dazu benützt werden, eine Zusammensetzung des Defekts unter Anwendung einer Technik wie EDX oder AES zu bestimmen, wie weiter oben beschrieben. Nachdem die Zusammensetzung des Defekts bestimmt wurde, können die hier beschriebenen Entschichtungsmethoden geändert werden, um die Selektivität zwischen dem Defekt und den umgebenden Filmen zu maximieren.
  • In einem anderen Ausführungsbeispiel kann ein (nicht dargestelltes) Röntgenanalysesystem dazu verwendet werden, eine Eigenschaft des Defekts zu bestimmen. Beispielsweise kann eine Eigenschaft eines Defekts, wie beispielsweise dessen Zusammensetzung, unter Anwendung einer Technik wie XPS oder XRF bestimmt werden. In einem anderen Beispiel kann eine XRR-Technik benützt werden, um eine Eigenschaft eines Defekts zu messen, wie etwa die Konzentration eines Elements im Defekt. Das Röntgenanalysesystem kann des weiteren so wie oben beschrieben konfiguriert sein. In anderen Ausführungsbeispielen kann die Analyse des Defekts unter Verwendung jeder anderen in der Fachwelt bekannten analytischen Technik durchgeführt werden. Beispielsweise kann der Defekt unter Anwendung von SIMS durchgeführt werden, wie weiter oben beschrieben.
  • In anderen Ausführungsbeispielen kann der Lichtstrahl 122 dazu dienen, den Defekt zu analysieren. Beispielsweise kann der Lichtstrahl 122 zum Abbilden des Defekts verwendet werden. Das Bild des Defekts kann dann zur Bestimmung einer oder mehrerer Eigenschaften des Defekts herangezogen werden. Die Eigenschaft(en) des Defekts, die auf diese Weise bestimmt werden können, können – ohne darauf beschränkt zu sein – eine seitliche Dimension des Defekts, eine Höhe des Defekts usw. umfassen. Die Parameter des Lichtstrahls können zwischen Entfernen und Analyse geändert werden. Beispielsweise kann eine Wellenlänge und/oder eine Polarisation des Lichtstrahls nach dem Entschichten aber vor dem Analysieren des Defekts geändert werden. Andere Parameter des Lichtstrahls 122 können ähnlicherweise zwischen Entfernen und Analyse geändert werden. In einem anderen Ausführungsbeispiel kann der Lichtstrahl 122 dazu verwendet werden, den Defekt abzubilden, während das Material entfernt wird. So können der Defekt und der Entschichtungsprozess kontrolliert und protokolliert werden, woraus sich möglicherweise weitere Informationen über den Defekt, das Material in der Näher des Defekts und das Entschichtungsverfahren gewinnen lassen. Diese Informationen können dazu dienen, den Entschichtungsprozess zu kontrollieren und/oder zu steuern, wie hierin weiter beschrieben. In anderen Ausführungsbeispielen kann ein anderer Lichtstrahl dazu benützt werden, den Defekt wie hier beschrieben zu analysieren. Dieser Lichtstrahl kann zum Elektronenstrahl 120 koaxial oder nicht koaxial sein.
  • In 18 ist ein Beispiel eines Systems dargestellt, das dazu konfiguriert ist, ein Substrat zur Analyse vorzubereiten. In diesem Beispiel umfasst das System ein Teilsystem zur Elektronenabgabe 130. Das Teilsystem zur Elektronenabgabe 130 ist als Elektronensäule konfiguriert. Das Teilsystem zur Elektronenabgabe ist dazu konfiguriert, auf das Substrat 134 den Elektronenstrahl 132 abzugeben. Wie in 18 dargestellt, ist das System auch dazu konfiguriert, auf das Substrat 134 den Lichtstrahl 136 abzugeben. Der Lichtstrahl 136 und der Elektronenstrahl 132 werden annähernd auf der gleichen Stelle auf dem Substrat 134 abgegeben.
  • Wie in 18 dargestellt, wird der Lichtstrahl 136 an das Substrat durch Fokussieren des Strahls in einem Glanzwinkel abgegeben, der tangential zur Außenkante der Objektivlinse 138 des Teilsystems zur Elektronenabgabe verläuft. Auf diese Weise ist der Lichtstrahl achsversetzt mit Bezug zum Elektronenstrahl. Mit anderen Worten, der Lichtstrahl wird an das Substrat nicht koaxial mit dem Elektronenstrahl abgegeben. Diese Konfiguration ermöglicht die Fokussierung des Lichtstrahls auf dem Substrat ohne Modifikationen an der Elektronensäule. Da jedoch der Lichtstrahl in einem Glanzwinkel (etwa 55° von der Vertikalen) fokussiert wird, ist der Schnittpunkt des Elektronenstrahlfokus, des Lichtstrahlfokus und des Substrats in kritischer Abhängigkeit von der Schlagweite (d. h. von der Trennung der Objektivlinse und dem Substrat). Jede Änderung der Arbeitsdistanz würde den Laserstrahl zum Überschießen oder Unterschießen des Achspunktes bringen und damit eine Neuausrichtung des Lichtstrahls erforderlich machen. Und da – wie in 19 dargestellt – der Lichtstrahl 136 auf dem Substrat 134 in einem Glanzwinkel auftrifft, ist der Brennfleck 140 des Lichtstrahls 136 eine Ellipse, die im Hauptdurchmesser um einen Faktor von etwa 1,74 gestreckt ist, während der Brennfleck 142 des Elektronenstrahls 132 kreisförmig ist. Auch reduziert der Reflexionsgrad 144 der Oberfläche im Glanzwinkel die Menge an Energie, die dem Prozess durch den Lichtstrahl zugeführt wird.
  • Die Abgabe des Lichtstrahls auf das Substrat koaxial mit dem Elektronenstrahl eliminiert die oben skizzieren Probleme. Wie in 19 dargestellt, sind die Brennflecke beider Strahlen kreisförmig, wenn der Lichtstrahl 146 an das Substrat 134 koaxial mit dem Elektronenstrahl 132 abgegeben wird. Überdies ist der Brennfleck des Lichtstrahls 146 im wesentlichen gleichförmig. Deshalb sind der Elektronenstrahlfokus, der Lichtstrahlfokus und das Substrat nicht in kritischer Abhängigkeit von der Trennung der Objektivlinse und des Substrats. Auf diese Weise ist die Ausrichtung des Lichtstrahls nicht kritisch abhängig von der Arbeitsdistanz. Insofern machen Änderungen in der Arbeitsdistanz keine Neuausrichtung des Lichtstrahls 146 erforderlich. Folglich sind die hierin weiter beschriebenen Systeme leichter zu betätigen als nicht-koaxiale Systeme. Überdies reduziert die Abgabe des Lichtstrahls 146 auf ein Substrat 134 in einem im wesentlichen normalen Winkel den Reflexionsgrad des Lichtstrahls von der Oberfläche des Substrats. Folglich weisen die hierin beschriebenen Systeme eine verbesserte Abgabe von Lichtenergie für das Entschichtungsverfahren auf.
  • 20 und 21 stellen ein Ausführungsbeispiel eines Teilsystems zur Elektronen- und Lichtabgabe dar, das in einem System enthalten sein kann, das zur Vorbereitung eines Substrats zur Analyse konfiguriert ist. Das Teilsystem zur Elektronen- und Lichtabgabe ist dazu konfiguriert, das Sichtfeld eines Elektronenstrahls mit Licht- oder Laserenergie zu beleuchten, die auf einen Fleck mit relativ kleinem Durchmesser fokussiert ist. Folglich ist das System konfiguriert, das Entschichtungsverfahren durch Erhitzen des Teils des Materials zu verstärken, der entfernt wird, und unterschiedliche Materialien unterschiedlich zu ätzen. Wie in 20 dargestellt, umfasst das Teilsystem zur Elektronen- und Lichtabgabe die Lichtquelle 148. Die Lichtquelle 148 ist dazu konfiguriert, den Lichtstrahl 150 zu generieren. Der Lichtstrahl 150 wird vom optischen Bauteil 152 auf das optische Fenster 154 in der Säulenbasis 156 einer Elektronensäule des Teilsystems zur Elektronen- und Lichtabgabe gerichtet. Das optische Fenster kann als Vakuumfenster konfiguriert sein. Das optische Fenster ist so konfiguriert, dass der Lichtstrahl 150 in die Elektronensäule eindringen kann.
  • Wie in 21 dargestellt, ist die Elektronensäule 158 dazu konfiguriert, den Elektronenstrahl 160 auf ein (nicht dargestelltes) Substrat abzugeben. Nachdem er durch das optische Fenster 154 in die Elektronensäule 158 eingedrungen ist, wird der Lichtstrahl 150 (z.B. durch eine einfache – nicht dargestellte – Linse) auf einen Fleck fokussiert, und dieses Bild wird durch die Linse 162 auf den Spiegel 164 und schließlich das Substrat fokussiert. Die Linse 162 kann ein langbrennweitiges Objektiv oder jedes andere in der Fachwelt bekannte Objektiv sein. Der Lichtstrahl 150 wird vom Spiegel 164 reflektiert. Der Spiegel 164 kann ein 45° Metallspiegel sein. Der Spiegel 164 kann auch ein sich zusammenrollender Laserspiegel sein. Der Spiegel 164 hat eine (nicht dargestellte) Öffnung durch sich hindurch. Diese Öffnung ist vorzugsweise so konfiguriert, dass der Elektronenstrahl 160 durch sie hindurch gehen kann. Beispielsweise kann die Öffnung im Spiegel zentriert sein und einen Durchmesser von etwa 1 mm haben. Außerdem ist der Spiegel vorzugsweise axial in der Elektronensäule platziert, und die Öffnung ist an der Achse des Elektronenstrahls 160 ausgerichtet. So kann der Elektronenstrahl seinem axialen Weg durch die Elektronensäule und durch die Öffnung im Spiegel 164 folgen. Folglich ist der Lichtstrahl 150, nachdem er vom Spiegel 164 reflektiert wurde, koaxial zum Elektronenstrahl 160. Auf diese Weise ist das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert, dass es den Elektronenstrahl 160 an das Substrat koaxial mit dem Lichtstrahl 150 abgibt. Zwar gibt es einen kleinen Verlust an Lichtstrahlenergie (z.B. etwa 5%) aufgrund der Öffnung in der Mitte des Spiegels, doch ist ein solcher Verlust akzeptabel und schränkt die Funktionalität des Teilsystems zur Elektronen- und Lichtabgabe nicht ein.
  • Das in 20 und 21 dargestellte Teilsystem zur Elektronen- und Lichtabgabe kann in einem System mit einem (nicht dargestellten) Teilsystem zur Chemikalienabgabe eingebracht sein, das dazu konfiguriert ist, eine oder mehrere Chemikalien einem Substrat zuzuführen. Das Teilsystem zur Chemikalienabgabe kann wie oben beschrieben konfiguriert sein. Die eine oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl 160 und dem Lichtstrahl 150 entfernen einen Teil eines Materials auf dem Substrat in der Nähe eines Defekts, wie in 16 und 17 dargestellt. Wie oben beschrieben, kann der Defekt ein unterflächiger Defekt oder ein teilweise unterflächiger Defekt sein. Überdies kann der Defekt ein Oberflächendefekt sein. Der Teil des Materials, der entfernt wird, kann eine Fläche kleiner oder gleich etwa 10 μm mal etwa 10 μm haben, wie oben beschrieben. Wie oben weiter beschrieben, kann die Fläche des Teils des Materials, der entfernt wird, beispielsweise in Abhängigkeit von den Parametern des Elektronenstrahls sowie den Parametern des Lichtstrahls variieren. In einigen Ausführungsbeispielen kann das Material einen Bauelementfilm enthalten. In einem solchen Ausführungsbeispiel ändern die eine oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl 160 und dem Lichtstrahl 150 ein Aspektverhältnis von Bauelement-Features auf dem Substrat nicht wesentlich, wie oben weiter beschrieben.
  • Die Lichtquelle 148 kann ein Laser sein. Allerdings kann die Lichtquelle auch jede andere in der Fachwelt bekannte geeignete Lichtquelle sein. Allgemein eignen sich wohl Lichtquellen, die bei ihren Betriebswellenlängen relativ hell sind, besonders gut zur Verwendung in den hier beschriebenen Systemen. Ein Beispiel eines geeigneten Lasers ist ein gütegeschalteter Laser im Bereich 100 mW. Ein weiterer geeigneter Laser kann ein Ti-Saphir-Laser sein. Die Lichtquelle kann auch ein Laser mit einheitlicher Wellenlänge oder ein Laser mit mehreren Wellenlängen sein. Der Lichtstrahl kann unter Anwendung von mehr als einer Lichtquelle erzeugt werden. Beispielsweise kann das Licht mehrerer Laser mit einem Kombinator zu einer Objektivkonstruktion kombiniert werden. In einem anderen Beispiel können unterschiedliche Lichtstrahlen von unterschiedlichen Lasern erzeugt werden, und der Lichtstrahl, der zum Substrat geführt wird, kann je nach dem zu entfernenden Material variieren. Auf diese Weise werden möglicherweise nicht alle unterschiedlichen Lichtstrahlen gleichzeitig zum Substrat geführt.
  • Die Wellenlänge des Lichtstrahls 150 variiert in Abhängigkeit von dem zu entfernenden Material. Beispielsweise wird die Wellenlänge des Lichtstrahls 150 in Abhängigkeit von dem zu entfernenden Material so ausgewählt, dass das Licht vom Material absorbiert werden kann. Auf diese Weise kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert sein, dass der Lichtstrahl 150 ein Material wie oben beschrieben auf dem Substrat erwärmt. Und der Lichtstrahl kann eine Wellenlänge (z.B. monochromes Licht), annähernd eine Wellenlänge (z.B. nahezu monochromes Licht) oder mehr als eine Wellenlänge haben (z.B. polychromes Licht oder Breitbandlicht). Beispiele geeigneter Wellenlängen umfassen – ohne darauf beschränkt zu sein – etwa 1054 nm (nahe Infrarot), etwa 527 nm (sichtbar, grün), etwa 350 nm (nahezu ultraviolett) und etwa 266 nm (ultraviolett). Allgemein können die geeigneten Wellenlängen alle Wellenlängen von etwa 266 nm bis etwa 1054 nm umfassen.
  • Wenn Licht mit unterschiedlichen Wellenlängen gleichzeitig oder hintereinander an das Substrat abgegeben wird, kann jede Wellenlänge ein spezifisches Material mehr als andere erwärmen. Wenn folglich Material vom Substrat entfernt wird, kann sich die Wellenlänge des Lichts, das auf das Substrat abgegeben wird, ändern. Wenn beispielsweise ein Teil eines Materials auf dem Substrat entfernt ist, kann ein anderes, unter dem Material gebildetes Material mit Hilfe des chemischen Ätzens in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl entfernt werden, allerdings mit unterschiedlichen Parametern zumindest für den Lichtstrahl. So kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert werden, dass der Lichtstrahl 150 die einzelnen Materialien mit dem Lichtstrahl unterschiedlich erhitzt. Wenn mehr als ein Material von einem Substrat entfernt wird, können gleichermaßen die Parameter der Ätzchemikalie und/oder des Elektronenstrahls geändert werden, wenn unterschiedliche Materialien entfernt werden. Dergestalt können die Parameter jeder Komponente im Entschichtungsprozess zum Entfernen des Materials/der Materialien auf dem Substrat optimiert werden. Außerdem können die Parameter jeder Komponente im Entschichtungsverfahren geändert werden, so dass die Selektivität des Entschichtungsprozesses maximiert wird. Insbesondere können die Parameter in Abhängigkeit von der Zusammensetzung des Defekts, der Zusammensetzung des Materials und in einigen Fällen von der Zusammensetzung des Substrats modifiziert werden. Vorzugsweise werden die Parameter der Ätzchemikalie, des Elektronenstrahls und des Lichtstrahls im Entschichtungsverfahren auf eine minimierte Entfernung des Defekts bei maximaler Entfernung des Materials optimiert.
  • Wie weiter oben beschrieben, erhitzt der Lichtstrahl 150 vorzugsweise den Teil des Materials auf dem Substrat, der entfernt werden soll. Das Erhitzen des Materials mit dem Lichtstrahl 150 in Anwesenheit einer Ätzchemikalie und eines Elektronenstrahls 160 intensiviert das vorzugsweise Ätzen der horizontalen Oberflächen des Teils des Materials, der entfernt wird. Insbesondere durch die koaxiale Abgabe des Lichtstrahls 150 an ein Substrat mit dem Elektronenstrahl 160 kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert werden, dass der Lichtstrahl 150 eine horizontale Oberfläche des Materials erhitzt, ohne eine vertikale Oberfläche des Materials wesentlich zu erhitzen. Auf diese Weise kann Elektronen- und Lichtstrahl-gestütztes chemisches Ätzen im wesentlichen anisotrop sein. Folglich schaffen die hier beschriebenen Entschichtungsmethoden die Fähigkeit, die Bauelementfilme bei Aufrechterhaltung des ursprünglichen Aspektverhältnisses der Bauelement- Features oder anderer dreidimensionaler Features auf dem Substrat zu entfernen, was für Defektprüfung und Analyse entscheidend ist.
  • Ein System, welches das in 20 und 21 dargestellte Teilsystem zur Elektronen- und Lichtabgabe umfasst, kann auch ein (nicht dargestelltes) Analyse-Teilsystem umfassen. Das Analyse-Teilsystem ist dazu konfiguriert, eine Eigenschaft des Defekts auf dem Substrat zu messen. Die Eigenschaft des Defekts kann jede der hier beschriebenen sein. Die Eigenschaft des gemessenen Defekts kann festlegen, welche Analyse am Defekt ausgeführt wird. Das Analyse-Teilsystem kann so konfiguriert werden, dass es eine der hier beschriebenen Analysetechniken ausführt.
  • In einem Ausführungsbeispiel kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert sein, dass es unter Nutzung eines Elektronenstrahls 160 eine Eigenschaft des Defekts misst. Auf diese Weise kann das Teilsystem zur Elektronen- und Lichtabgabe so konfiguriert werden, dass es als Analyse-Teilsystem funktioniert. Beispielsweise kann der Elektronenstrahl 160, der zum Entschichten verwendet wurde, auch zur Analyse des Defekts verwendet werden. Die Parameter des zum Entschichten verwendeten Elektronenstrahls können sich von den Parametern des Elektronenstrahls unterscheiden, der zum Messen der Eigenschaft des Defekts verwendet wurde. Die Parameter des Elektronenstrahls können zwischen Entfernen und Messen durch Ändern eines oder mehrerer Parameter des Teilsystems zur Elektronen- und Lichtabgabe geändert werden. Die Parameter des Teilsystems zur Elektronen- und Lichtabgabe können in einigen Ausführungsbeispielen durch einen (nicht dargestellten) Prozessor geändert oder gesteuert werden. Der Prozessor kann weiter wie oben beschrieben konfiguriert werden.
  • In einem Ausführungsbeispiel kann das Teilsystem zur Elektronen- und Lichtabgabe dazu konfiguriert werden, den Defekt unter Anwendung einer Technik wie beispielsweise der Rasterelektronenmikroskopie, abzubilden. Das Bild des Defekts kann dann zur Defektprüfung oder zur Feststellung von Eigenschaften, wie den seitlichen Abmessungen des Defekts, verwendet werden. In einem anderen Ausführungsbeispiel kann das Teilsystem zur Elektronen- und Lichtabgabe dazu verwendet werden, den Defekt abzubilden, während das Material entfernt wird. Auf diese Weise können der Defekt und das Entschichtungsverfahren kontrolliert und protokolliert werden, was weitere Informationen über den Defekt, das Material in der Nähe des Defekts und das Entschichtungsverfahren verschaffen kann. Diese Informationen können dazu verwendet werden, das Entschichtungsverfahren zu kontrollieren und/oder zu steuern, wie oben beschrieben. In einem anderen Beispiel kann das Teilsystem zur Elektronen- und Lichtabgabe zur Bestimmung einer Zusammensetzung des Defekts unter Anwendung einer Technik wie EDX konfiguriert sein, wie weiter oben beschrieben. Nachdem die Defektzusammensetzung bestimmt worden ist, können die hier beschriebenen Entschichtungsmethoden geändert werden, um die Selektivität zwischen dem Defekt und den umgebenden Filmen zu maximieren.
  • In anderen Ausführungsbeispielen kann der Lichtstrahl 150 zur Analyse des Defekts verwendet werden. Auf diese Weise kann das Teilsystem zur Elektronen- und Lichtabgabe zur Analyse des Defekts unter Anwendung des Lichtstrahls 150 und/oder Elektronenstrahls 160 verwendet werden. In einem Ausführungsbeispiel kann der Lichtstrahl 150 zur Abbildung des Defekts verwendet werden. Das Bild des Defekts kann dann zur Bestimmung einer oder mehrerer Eigenschaften des Defekts verwendet werden, wie die weiter oben beschriebenen. Die Parameter des Lichtstrahls können zwischen Entfernen und Analyse wie oben beschrieben geändert werden. In einem anderen Ausführungsbeispiel kann der Lichtstrahl 150 dazu verwendet werden, den Defekt beim Entfernen des Materials abzubilden. Auf diese Weise können der Defekt und das Entschichtungsverfahren kontrolliert und protokolliert werden, was weitere Informationen über den Defekt, das Material in der Nähe des Defekts und den Entschichtungsprozess verschaffen kann. Diese Informationen können ebenfalls wie oben beschrieben verwendet werden. In anderen Ausführungsbeispielen kann die Analyse des Defekts unter Anwendung eines anderen (nicht dargestellten) Lichtstrahls vollzogen werden, der mit dem Elektronenstrahl koaxial oder nicht koaxial sein kann.
  • In einem anderen Ausführungsbeispiel kann das Analyse-Teilsystem ein Röntgenanalysesystem umfassen, wie die oben beschriebenen oder wie sie in der Fachwelt bekannt sind. In anderen Ausführungsbeispielen kann die Analyse des Defekts unter Anwendung jeder anderen in der Fachwelt bekannten Analysetechnik durchgeführt werden. Beispielsweise kann der Defekt unter Anwendung von SIMS analysiert werden, wie weiter oben beschrieben. Das Analysesystem kann mit dem System auf jede Weise gekoppelt sein. Beispielsweise können das Analysesystem und das System in einem Gehäuse untergebracht und durch einen gemeinsamen Prozessor, ein gemeinsames Substrathandhabegerät, eine gemeinsame Stromquelle, ein Übertragungsmedium, usw. gekoppelt sein. Das in 20 und 21 dargestellte Ausführungsbeispiel des Teilsystems zur Elektronen- und Lichtabgabe kann weiter so wie hier beschrieben konfiguriert sein.
  • Weitere Modifikationen und alternative Ausführungsbeispiele unterschiedlicher Aspekte der Erfindung sind für einschlägige Fachleute angesichts dieser Beschreibung offensichtlich. Beispielsweise werden Methoden und Systeme zum Messen einer Eigenschaft eines Substrats oder zum Vorbereiten eines Substrats zur Analyse geschaffen. Dementsprechend ist diese Beschreibung als nur illustrativ zu betrachten und dient nur dem Zweck, den Fachleuten die allgemeine Durchführung der Erfindung näher zu bringen. Es ist zu beachten, dass die hier dargestellten und beschriebenen Formen der Erfindung als die derzeit bevorzugten Ausführungsbeispiele zu betrachten sind. Andere Elemente und Materialien können für die hier dargestellten und beschriebenen eingesetzt werden, Teile und Verfahren können verändert werden, und bestimmte Charakteristika der Erfindung können unabhängig verwendet werden, jeweils wie dies Fachleuten nach Lektüre dieser Beschreibung der Erfindung als zielführend und möglich erscheint. An den hier beschriebenen Elementen können Änderungen vorgenommen werden, ohne vom Prinzip und Geltungsbereich der Erfindung abzuweichen, wie sie in den nachstehenden Ansprüchen beschrieben ist.
  • ABSTRACT
  • Methoden und Systeme zum Messen einer Eigenschaft eines Substrats oder zum Vorbereiten eines Substrats zur Analyse werden geschaffen. Eine Methode zum Messen einer Eigenschaft eines Substrats umfasst das Entfernen eines Teils eines Features auf dem Substrat unter Anwendung eines Elektronenstrahls, um ein Querschnittprofil eines restlichen Teils des Features zu exponieren. Das Feature kann ein Photoresist-Feature sein. Die Methode umfasst auch das Messen einer Eigenschaft des Querschnittprofils. Eine Methode zur Vorbereitung eines Substrats zur Analyse umfasst das Entfernen eines Teils eines Materials auf dem Substrat in der Nähe eines Defekts unter Anwendung des chemischen Ätzens in Verbindung mit einem Elektronenstrahl. Der Defekt kann ein unterflächiger Defekt oder ein teilweise unterflächiger Defekt sein. Eine weitere Methode zur Vorbereitung eines Substrats zur Analyse umfasst das Entfernen eines Teils eines Materials auf einem Substrat in der Nähe eines Defekts unter Anwendung des chemischen Ätzens in Verbindung mit einem Elektronenstrahl und einem Lichtstrahl.

Claims (42)

  1. Methode zum Messen einer Eigenschaft eines Substrats, umfassend: Entfernen eines Teils eines Features auf dem Substrat unter Anwendung eines Elektronenstrahls, um ein Querschnittprofil eines restlichen Teils des Features zu exponieren; und Messen einer Eigenschaft des Querschnittprofils des restlichen Teils des Features.
  2. Methode nach Anspruch 1, wobei das Entfernen den restlichen Teil des Features nicht wesentlich deformiert.
  3. Methode nach Anspruch 1, wobei der Teil des Features, der entfernt wird, im wesentlichen auf einen vom Elektronenstrahl beleuchteten Bereich des Features beschränkt ist.
  4. Methode nach Anspruch 1, wobei das Messen unter Anwendung des Elektronenstrahls durchgeführt wird.
  5. Methode nach Anspruch 1, wobei das Messen unter Anwendung des Elektronenstrahls durchgeführt wird und die Methode weiter das Neigen des Substrats im Verhältnis zum Elektronenstrahl zwischen dem Entfernen und dem Messen umfasst.
  6. Methode nach Anspruch 1, wobei das Messen unter Anwendung eines anderen Elektronenstrahls durchgeführt wird und wobei der andere Elektronenstrahl in einer vorbestimmten geneigten Position im Verhältnis zum Substrat angeordnet ist.
  7. Methode nach Anspruch 1, wobei das Feature ein Photoresist-Feature umfasst.
  8. Methode nach Anspruch 1, wobei die Eigenschaft des Querschnittprofils eine dreidimensionale Eigenschaft des Features umfasst.
  9. Methode nach Anspruch 1, wobei das Substrat einen Produktwafer umfasst.
  10. System, das zum Messen einer Eigenschaft eines Substrats konfiguriert ist, umfassend ein Teilsystem zur Elektronenabgabe, das dazu konfiguriert ist, einen oder mehrere Elektronenstrahlen auf ein Substrat abzugeben, wobei der eine oder die mehreren Elektronenstrahlen einen Teil eines Features auf dem Substrat entfernen können, um ein Querschnittprofil eines restlichen Teils des Features zu exponieren, und wobei der eine oder die mehreren Elektronenstrahlen eine Eigenschaft des Querschnittprofils des restlichen Teils des Features messen können.
  11. Methode zum Vorbereiten eines Substrats auf die Analyse, umfassend das Entfernen eines Teils eines Materials auf dem Substrat in der Nähe eines Defekts unter Anwendung des chemischen Ätzens in Verbindung mit einem Elektronenstrahl.
  12. Methode nach Anspruch 11, wobei der Defekt einen unterflächigen Defekt oder einen teilweise unterflächigen Defekt umfasst.
  13. Methode nach Anspruch 11, wobei der Teil des Materials, der entfernt wird, eine Fläche kleiner oder gleich etwa 10 μm mal etwa 10 μm aufweist.
  14. Methode nach Anspruch 11, des weiteren umfassend das Entfernen eines Teils eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts unter Anwendung des chemischen Ätzens in Verbindung mit dem Elektronenstrahl, wobei das zusätzliche Material sich vom Material unterscheidet und wobei das zusätzliche Material unter dem Material ausgebildet ist.
  15. Methode nach Anspruch 11, des weiteren die Analyse des Defekts unter Anwendung des Elektronenstrahls zur Bestimmung einer Eigenschaft des Defekts umfassend.
  16. Methode nach Anspruch 11, des weiteren die Analyse des Defekts unter Anwendung eines Röntgenanalysesystems zur Bestimmung einer Eigenschaft des Defekts umfassend.
  17. Methode nach Anspruch 11, des weiteren die Analyse des Defekts zur Bestimmung einer Eigenschaft des Defekts umfassend, wobei es sich bei der Eigenschaft des Defekts um eine Zusammensetzung handelt.
  18. Methode nach Anspruch 11, wobei das chemische Ätzen das Exponieren des Substrats an einer Ätzchemikalie umfasst und wobei die Ätzchemikalie eine Fluor-basierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Sauerstoff-basierte Chemikalie umfasst.
  19. Methode nach Anspruch 11, wobei das Substrat einen Produktwafer umfasst.
  20. System, das zum Vorbereiten eines Substrats zur Analyse konfiguriert ist, Folgendes umfassend: ein Teilsystem zur Chemikalienabgabe, das zur Abgabe einer oder mehrere Chemikalien auf ein Substrat konfiguriert ist; und ein Teilsystem zur Elektronenabgabe, das zur Abgabe eines Elektronenstrahls auf das Substrat konfiguriert ist, wobei die eine oder mehreren Chemikalien in Verbindung mit dem Elektronenstrahl einen Teil eines Materials auf dem Substrat in der Nähe eines Defekts entfernen.
  21. System nach Anspruch 20, wobei das Teilsystem zur Elektronenabgabe des weiteren konfiguriert ist, eine Eigenschaft des Defekts unter Anwendung des Elektronenstrahls zu messen.
  22. System nach Anspruch 20, des weiteren ein Analyse-Teilsystem umfassend, das zum Messen einer Eigenschaft des Defekts konfiguriert ist, wobei das Analyse-Teilsystem ein Röntgenanalysesystem umfasst.
  23. Methode zum Vorbereiten eines Substrats zur Analyse, umfassend das Entfernen eines Teils eines Materials auf dem Substrat in der Nähe eines Defekts unter Anwendung des chemischen Ätzens in Verbindung mit einem Elektronenstrahl und einem Lichtstrahl, wobei der Elektronenstrahl auf das Substrat koaxial mit dem Lichtstrahl abgegeben wird.
  24. Methode nach Anspruch 23, wobei das Entfernen das Erhitzen des Materials mit dem Lichtstrahl umfasst.
  25. Methode nach Anspruch 23, wobei das Entfernen das Erhitzen einer horizontalen Oberfläche des Materials und nicht wesentliches Erhitzen einer vertikalen Oberfläche des Materials umfasst.
  26. Methode nach Anspruch 23, des weiteren umfassend das Entfernen eines Teils eines zusätzlichen Materials auf dem Substrat in der Nähe des Defekts unter Anwendung des chemische Ätzens in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl, wobei das zusätzliche Material sich vom Material unterscheidet und wobei das zusätzliche Material unter dem Material ausgebildet wird.
  27. Methode nach Anspruch 26, wobei das Entfernem des Teil des Materials und das Entfernen des Teils des zusätzlichen Materials das unterschiedliche Erhitzen des Materials und des zusätzlichen Materials mit dem Lichtstrahl umfassen.
  28. Methode nach Anspruch 23, wobei das Material einen Bauelementfilm umfasst und wobei das Entfernen ein Aspektverhältnis von Bauelement-Features auf dem Substrat nicht wesentlich ändert.
  29. Methode nach Anspruch 23, wobei der Defekt einen unterflächigen Defekt oder einen teilweise unterflächigen Defekt umfasst.
  30. Methode nach Anspruch 23, wobei der Teil des Materials, der entfernt wird, eine Fläche kleiner oder gleich etwa 10 μm mal etwa 10 μm aufweist.
  31. Methode nach Anspruch 23, des weiteren die Analyse des Defekts unter Anwendung des Elektronenstrahls zur Bestimmung einer Eigenschaft des Defekts umfassend.
  32. Methode nach Anspruch 23, des weiteren die Analyse des Defekts unter Anwendung eines Röntgenanalysesystems zur Bestimmung einer Eigenschaft des Defekts umfassend.
  33. Methode nach Anspruch 23, des weiteren die Analyse des Defekts zur Bestimmung einer Eigenschaft des Defekts umfassend, wobei es sich bei der Eigenschaft des Defekts um eine Zusammensetzung handelt.
  34. Methode nach Anspruch 23, wobei das chemische Ätzen das Exponieren des Substrats an einer Ätzchemikalie umfasst und wobei die Ätzchemikalie eine Fluor-basierte Chemikalie, eine Chlor-basierte Chemikalie, eine Brom-basierte Chemikalie oder eine Sauerstoff-basierte Chemikalie umfasst.
  35. System, das zum Vorbereiten eines Substrats zur Analyse konfiguriert ist, umfassend: ein Teilsystem zur Chemikalienabgabe, das dazu konfiguriert ist, einem Substrat eine oder mehrere Chemikalien zuzuführen; und ein Teilsystem zur Elektronen- und Lichtabgabe, das dazu konfiguriert ist, an ein Substrat einen Elektronenstrahl koaxial mit einem Lichtstrahl abzugeben, wobei die eine oder die mehreren Chemikalien in Verbindung mit dem Elektronenstrahl und dem Lichtstrahl einen Teil eines Materials auf dem Substrat in der Nähe eines Defekts entfernen.
  36. System nach Anspruch 35, wobei das Teilsystem zur Elektronen- und Lichtabgabe einen Laser umfasst, der zum Erzeugen eines Lichtstrahls konfiguriert ist.
  37. System nach Anspruch 35, wobei das Teilsystem zur Elektronen- und Lichtabgabe eine Elektronensäule umfasst und wobei die Elektronensäule ein optisches Fenster umfasst, das so konfiguriert ist, dass dem Lichtstrahl das Eindringen in die Elektronensäule möglich ist.
  38. System nach Anspruch 35, wobei das Teilsystem zur Elektronen- und Lichtabgabe einen Spiegel mit einer durch diesen hindurch ausgebildeten Öffnung umfasst und wobei der Elektronenstrahl durch die Öffnung geht und wobei der Lichtstrahl vom Spiegel so reflektiert wird, dass der Lichtstrahl koaxial mit dem Elektronenstrahl ist.
  39. System nach Anspruch 35, wobei das Teilsystem zur Elektronen- und Lichtabgabe weiter so konfiguriert ist, dass der Lichtstrahl das Material erhitzt.
  40. System nach Anspruch 35, wobei das Teilsystem zur Elektronen- und Lichtabgabe weiter so konfiguriert ist, dass der Lichtstrahl eine horizontale Oberfläche des Materials erhitzt und eine vertikale Oberfläche des Materials nicht wesentlich erhitzt.
  41. System nach Anspruch 35, wobei das Teilsystem zur Elektronen- und Lichtabgabe weiter zum Messen einer Eigenschaft des Defekts unter Anwendung des Elektronenstrahls konfiguriert ist.
  42. System nach Anspruch 35, des weiteren ein Analyse-Teilsystem umfassend, das zum Messen einer Eigenschaft des Defekts konfiguriert ist, wobei das Analyse-Teilsystem ein Röntgenanalysesystem umfasst.
DE112005000660T 2004-03-22 2005-03-22 Methoden und Systeme zum Messen einer Eigenschaften eines Substrats oder zur Vorbereitung eines Substrats zur Analyse Withdrawn DE112005000660T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US55517004P 2004-03-22 2004-03-22
US60/555,170 2004-03-22
PCT/US2005/009324 WO2005092025A2 (en) 2004-03-22 2005-03-22 Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis

Publications (1)

Publication Number Publication Date
DE112005000660T5 true DE112005000660T5 (de) 2007-02-08

Family

ID=35056743

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112005000660T Withdrawn DE112005000660T5 (de) 2004-03-22 2005-03-22 Methoden und Systeme zum Messen einer Eigenschaften eines Substrats oder zur Vorbereitung eines Substrats zur Analyse

Country Status (4)

Country Link
US (3) US7365321B2 (de)
JP (1) JP4931799B2 (de)
DE (1) DE112005000660T5 (de)
WO (1) WO2005092025A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8933423B2 (en) 2011-06-06 2015-01-13 Hitachi High-Technologies Corporation Charged particle beam device and sample production method

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005092025A2 (en) * 2004-03-22 2005-10-06 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
US7904845B2 (en) 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
US7681301B2 (en) * 2007-03-07 2010-03-23 James Neil Rodgers RFID silicon antenna
US20080237811A1 (en) * 2007-03-30 2008-10-02 Rohit Pal Method for preserving processing history on a wafer
US8045145B1 (en) 2007-06-06 2011-10-25 Kla-Tencor Technologies Corp. Systems and methods for acquiring information about a defect on a specimen
US8709269B2 (en) * 2007-08-22 2014-04-29 Applied Materials Israel, Ltd. Method and system for imaging a cross section of a specimen
WO2009152046A1 (en) 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US20100068408A1 (en) * 2008-09-16 2010-03-18 Omniprobe, Inc. Methods for electron-beam induced deposition of material inside energetic-beam microscopes
DE102010011898A1 (de) * 2010-03-18 2011-09-22 Carl Zeiss Nts Gmbh Inspektionssystem
US9043743B2 (en) * 2013-10-22 2015-05-26 International Business Machines Corporation Automated residual material detection
US9859138B2 (en) * 2014-10-20 2018-01-02 Lam Research Corporation Integrated substrate defect detection using precision coating
US9696268B2 (en) 2014-10-27 2017-07-04 Kla-Tencor Corporation Automated decision-based energy-dispersive x-ray methodology and apparatus
US9442076B2 (en) 2014-12-12 2016-09-13 Bell Helicopter Textron Inc. Infrared radiometric imaging inspection of steel parts
KR20170042432A (ko) 2015-10-08 2017-04-19 삼성전자주식회사 포토레지스트 패턴의 검사 방법
US10957608B2 (en) * 2017-04-28 2021-03-23 Kla-Tencor Corporation Guided scanning electron microscopy metrology based on wafer topography
US11035804B2 (en) 2017-06-28 2021-06-15 Kla Corporation System and method for x-ray imaging and classification of volume defects

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US678783A (en) * 1900-02-15 1901-07-16 Scott H Hull Hip-strap connector.
US4559450A (en) 1982-08-06 1985-12-17 Unisearch Limited Quantitative compositional analyser for use with scanning electron microscopes
DE3403254A1 (de) 1984-01-31 1985-08-01 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zur kompensation von aufladungen bei der sekundaerionen-massenspektrometrie (sims) elektrisch schlecht leitender proben
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPS6477853A (en) 1987-09-18 1989-03-23 Jeol Ltd Mapping type ion microanalyzer
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
TW299559B (de) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
JPH08222175A (ja) 1995-02-13 1996-08-30 Hitachi Ltd 荷電粒子を用いた微細加工方法及び装置
US5614060A (en) 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
JPH08329876A (ja) * 1995-05-30 1996-12-13 Hitachi Ltd 観察試料作成方法及びその装置
JPH0922110A (ja) * 1995-07-06 1997-01-21 Hitachi Ltd 荷電ビーム処理方法及びその装置並びにフォトマスクの加工方法及びその装置
KR100197114B1 (ko) * 1995-07-19 1999-06-15 김영환 메모리 소자 집적 다이의 층결함의 3차원 검사 방법
US6040198A (en) 1995-11-30 2000-03-21 Fujitsu Limited Element concentration measuring method and apparatus, and semiconductor device fabrication method and apparatus
US5740226A (en) 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US5955244A (en) * 1996-08-20 1999-09-21 Quantum Corporation Method for forming photoresist features having reentrant profiles using a basic agent
DE19641981C2 (de) 1996-10-11 2000-12-07 A Benninghoven Verfahren zur Bestimmung von Tiefenprofilen im Dünnschichtbereich
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
JP3500264B2 (ja) 1997-01-29 2004-02-23 株式会社日立製作所 試料分析装置
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
DE19724265A1 (de) 1997-06-09 1998-12-10 Atomika Instr Gmbh Sekundärionen-Massenspektrometer mit Lochmaske
US6083363A (en) 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6087045A (en) * 1997-10-17 2000-07-11 Mitsubishi Chemical Corporation Primer with electrochemically inert particulate and process for fabricating same
JP3848006B2 (ja) * 1999-03-15 2006-11-22 株式会社東芝 マスク欠陥修正方法
US6084679A (en) 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
WO2000065406A1 (fr) * 1999-04-21 2000-11-02 Seiko Instruments Inc. Procede de correction de masque a changement de phase et dispositif a faisceau ionique focalise
JP3974319B2 (ja) * 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
JP2001319923A (ja) 2000-05-10 2001-11-16 Ebara Corp 基材の異方性食刻方法及び基材の食刻装置
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
EP1296351A4 (de) * 2000-06-27 2009-09-23 Ebara Corp Untersuchungsvorrichtung für geladene teilchenstrahlen und verfahren zur herstellung eines bauelements mit dieser untersuchungsvorrichtung
US6891610B2 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6630667B1 (en) * 2000-09-29 2003-10-07 Nptest, Llc Compact, high collection efficiency scintillator for secondary electron detection
EP1273907A4 (de) * 2000-11-17 2006-08-30 Ebara Corp Methode und instrument zur wafer-inspektion und elektronenstrahlgerät
US6514866B2 (en) 2001-01-12 2003-02-04 North Carolina State University Chemically enhanced focused ion beam micro-machining of copper
JP2002252157A (ja) * 2001-02-22 2002-09-06 Sony Corp マスク作製用部材およびその製造方法ならびにマスクおよびその製造方法ならびに露光方法ならびに半導体装置の製造方法
US7088852B1 (en) * 2001-04-11 2006-08-08 Advanced Micro Devices, Inc. Three-dimensional tomography
US6730237B2 (en) 2001-06-22 2004-05-04 International Business Machines Corporation Focused ion beam process for removal of copper
US20030000921A1 (en) * 2001-06-29 2003-01-02 Ted Liang Mask repair with electron beam-induced chemical etching
US6933081B2 (en) * 2002-05-15 2005-08-23 Micron Technology, Inc. Method for quartz bump defect repair with less substrate damage
US6843927B2 (en) 2002-08-27 2005-01-18 Kla-Tencor Technologies Corporation Method and apparatus for endpoint detection in electron beam assisted etching
US6943350B2 (en) 2002-08-27 2005-09-13 Kla-Tencor Technologies Corporation Methods and apparatus for electron beam inspection of samples
US6936981B2 (en) * 2002-11-08 2005-08-30 Applied Materials, Inc. Retarding electron beams in multiple electron beam pattern generation
JP2004177682A (ja) * 2002-11-27 2004-06-24 Seiko Instruments Inc 複合荷電粒子ビームによるフォトマスク修正方法及びその装置
US6787783B2 (en) 2002-12-17 2004-09-07 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair
WO2005092025A2 (en) * 2004-03-22 2005-10-06 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
US20050284181A1 (en) 2004-06-29 2005-12-29 Smith Terry L Method for making an optical waveguide assembly with integral alignment features

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8933423B2 (en) 2011-06-06 2015-01-13 Hitachi High-Technologies Corporation Charged particle beam device and sample production method

Also Published As

Publication number Publication date
US20050221229A1 (en) 2005-10-06
US7365321B2 (en) 2008-04-29
JP2007530944A (ja) 2007-11-01
US20140291516A1 (en) 2014-10-02
JP4931799B2 (ja) 2012-05-16
WO2005092025A3 (en) 2007-05-18
US8765496B2 (en) 2014-07-01
WO2005092025A2 (en) 2005-10-06
US20080264905A1 (en) 2008-10-30

Similar Documents

Publication Publication Date Title
DE112005000660T5 (de) Methoden und Systeme zum Messen einer Eigenschaften eines Substrats oder zur Vorbereitung eines Substrats zur Analyse
DE69937188T2 (de) Teilchenstrahlvorrichtung mit gekippter säule und verfahren zur verwendung derselben
DE60128659T2 (de) Verfahren zur reparatur von lithographischen masken unter verwendung eines strahls geladener teilchen
DE102005035769B4 (de) EUV-Lithographiemaske mit magnetischem Kontrast und zugehöriges Herstellungsverfahren sowie zugehöriges Verfahren zum Herstellen eines Halbleiterbauelements
DE60130889T9 (de) Ionenstrahl-frässystem und verfahren zur vorbereitung von proben für die elektronenmikroskopie
DE602006000278T2 (de) Mehrmaliges Rundfräsen zur Probenherstellung
DE102006015722B4 (de) Verfahren zur Photolithographie bei der Halbleiter-Herstellung
DE102014111372A1 (de) Iridium-spitze, gasfeld-ionenquelle, einrichtung eines fokussierten ionenstrahls, elektronenquelle, elektronenmikroskop, einrichtung zur analyse unter anwendung eines elektronenstrahls, ionen-elektronen- mehrfachstrahl-einrichtung, abtastsondenmikroskop und masken- reparatureinrichtung
US10546719B2 (en) Face-on, gas-assisted etching for plan-view lamellae preparation
DE4421517A1 (de) Verfahren zum Abtrag oder Auftrag von Material mittels eines Partikelstrahls und Vorrichtung zu seiner Durchführung
DE112013005358T5 (de) Vorrichtung und Verfahren zur optischen Metrologie mit optimierten Systemparametern
DE102017208114A1 (de) Verfahren und Vorrichtung zum Teilchenstrahl-induzierten Ätzen einer photolithographischen Maske
DE102016224690B4 (de) Verfahren und Vorrichtung zum Untersuchen eines Elements einer photolithographischen Maske für den EUV-Bereich
US20150348752A1 (en) Ion Implantation to Alter Etch Rate
DE102017203879A1 (de) Verfahren und Vorrichtung zum Analysieren einer defekten Stelle einer photolithographischen Maske
DE102010030758A1 (de) Steuerung kritischer Abmessungen in optischen Abbildungsprozessen für die Halbleiterherstellung durch Extraktion von Abbildungsfehlern auf der Grundlage abbildungsanlagenspezifischer Intensitätsmessungen und Simulationen
DE102005014793B4 (de) Verfahren und Inspektionssystem zur CD-Messung auf der Grundlage der Bestimmung von Flächenanteilen
EP1857576A2 (de) Verfahren und Vorrichtung zur Behandlung einer Halbleiterscheibe
DE60215821T2 (de) Verfahren zum messen der leistung eine raster-elektronenmikroskops
DE102020208185A1 (de) Verfahren und Vorrichtung zum Einstellen eines Seitenwandwinkels eines Pattern-Elements einer fotolithographischen Maske
DE10250893B4 (de) Verfahren und Vorrichtung zum Bestimmen der Abmessung eines Strukturelements durch Variieren eines die Auflösung bestimmenden Parameters
DE10358036B4 (de) Verfahren zum Charakterisieren einer Tiefenstruktur in einem Substrat
DE10224195B4 (de) Verfahren zur objektiven und genauen Dickenmessung von dünnen Filmen im mikroskopischen Maßstab
DE102020201482A1 (de) Vorrichtung und Verfahren zum Reparieren eines Defekts einer optischen Komponente für den extrem ultravioletten Wellenlängenbereich
DE69813500T2 (de) Verfahren und Vorrichtung für Dimensionsmessung und Inspektion von Strukturen

Legal Events

Date Code Title Description
8127 New person/name/address of the applicant

Owner name: KLA-TENCOR CORP., MILPITAS, CALIF., US

R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G01N0001280000

Ipc: G01N0001440000

R012 Request for examination validly filed

Effective date: 20120320

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G01N0001280000

Ipc: G01N0001440000

Effective date: 20120425

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee