KR20110127389A - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR20110127389A
KR20110127389A KR1020100046837A KR20100046837A KR20110127389A KR 20110127389 A KR20110127389 A KR 20110127389A KR 1020100046837 A KR1020100046837 A KR 1020100046837A KR 20100046837 A KR20100046837 A KR 20100046837A KR 20110127389 A KR20110127389 A KR 20110127389A
Authority
KR
South Korea
Prior art keywords
plasma
chamber
window
photocatalyst layer
processing apparatus
Prior art date
Application number
KR1020100046837A
Other languages
English (en)
Inventor
윤준호
신경섭
김우석
김동권
김형용
전용호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100046837A priority Critical patent/KR20110127389A/ko
Priority to US13/086,475 priority patent/US20110284163A1/en
Publication of KR20110127389A publication Critical patent/KR20110127389A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Catalysts (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리 장치는 기판을 가공하기 위한 챔버, 상기 챔버 내에 플라즈마를 제공하는 플라즈마 발생부, 상기 챔버의 일측벽에 형성되며 상기 챔버 내의 플라즈마로부터 방출된 광을 투과시키는 윈도우, 및 상기 챔버 내측을 향하는 상기 윈도우의 표면 상에 형성되고 상기 챔버 내의 플라즈마로부터 방출된 광에 의해 활성화되어 상기 윈도우 표면에서의 반응 부산물을 분해시키는 광촉매층을 포함한다.

Description

플라즈마 처리 장치{Plasma processing apparatus}
본 발명은 플라즈마 처리 장치에 관한 것으로, 보다 상세하게는 플라즈마를 이용한 기판 처리 공정을 모니터링하기 위한 챔버 윈도우를 갖는 플라즈마 처리 장치에 관한 것이다.
반도체 소자의 제조 기술은 현대 사회의 정보화에 부응하기 위해서 집적도, 신뢰도, 응답 속도 등을 향상시키는 방향으로 발전되고 있다. 반도체 소자를 제조하기 위해서는 포토리소그래피 공정, 증착 공정, 식각 공정, 연마 공정, 세정 공정, 검사 공정 등 다양한 단위 공정들이 반복적으로 수행된다.
그런데, 상기 단위 공정들 중에서 식각 공정, 증착 공정 등은 주로 고온에서 수행되는 것이 일반적이다. 그러나, 이와 같은 고온 공정 반도체 소자를 구성하는 미세 패턴을 열화시켜 반도체 소자의 신뢰성에 치명적인 영향을 줄 수 있다. 이에 따라, 최근의 반도체 소자의 제조 공정에서는 공정 온도를 줄이기 위해서 물질의 제4 상태로 불리는 플라즈마를 이용하고 있다.
그런데 플라즈마를 이용한 반도체 제조 장치에서는, 플라즈마 공정 시 발생하는 공정 부산물이 챔버의 내측에 흡착되는 상황이 빈번하게 발생한다. 예를 들면, 플라즈마 식각 장치는 플라즈마를 이용하여 식각을 진행하기 때문에 식각 공정의 모니터링을 위해 플라즈마로부터 방출된 광을 이용한다. 즉, 플라즈마 식각 챔버의 일측에 챔버 윈도우를 이용하여 플라즈마로부터 방출된 광을 확인하여 식각 공정을 모니터링하게 된다.
이와 같은 플라즈마를 이용한 식각 공정 중 발생하는 미립자 또는 식각 가스에 의해 폴리머(polymer)가 발생할 수 있다. 이러한 폴리머는 챔버 내부는 물론 챔버 윈도우에 부착될 수 있다. 이에 따라, 폴리머가 상기 챔버 윈도우에 쌓이게 되면 상기 챔버 내부로부터의 광이 상기 윈도우를 통해 투과되지 못하여 원활한 식각이 이루어졌는지에 관한 모니터링을 하지 못하는 문제점이 발생하게 된다.
본 발명의 목적은 플라즈마를 이용한 반도체 제조 공정을 지속적으로 모니터링할 수 있는 플라즈마 처리 장치를 제공하는 데 있다.
상기 본 발명의 목적을 달성하기 위해 본 발명에 따른 플라즈마 처리 장치는 기판을 가공하기 위한 챔버, 상기 챔버 내에 플라즈마를 제공하는 플라즈마 발생부, 상기 챔버의 일측벽에 형성되며 상기 챔버 내의 플라즈마로부터 방출된 광을 투과시키는 윈도우, 및 상기 챔버 내측을 향하는 상기 윈도우의 표면 상에 형성되고 상기 챔버 내의 플라즈마로부터 방출된 광에 의해 활성화되어 상기 윈도우 표면에서의 반응 부산물을 분해시키는 광촉매층을 포함한다.
본 발명의 일 실시예에 있어서, 상기 광촉매층은 상기 챔버 내의 플라즈마로부터 방출된 광의 특정 파장에 의해 활성화되어 상기 반응 부산물을 분해할 수 있는 라디칼을 발생시킬 수 있다.
본 발명의 일 실시예에 있어서, 상기 광촉매층은 산화티탄(TiO2) 또는 산화텅스텐(WO3)을 포함할 수 있다.
본 발명의 일 실시예에 있어서, 상기 광촉매층은 10 내지 100 ㎛의 두께를 가질 수 있다.
본 발명의 다른 실시예에 있어서, 상기 광촉매층은 그물 형태의 패턴을 가질 수 있다. 이 경우에 있어서, 상기 광촉매층의 패턴은 10 내지 100 ㎛의 폭을 가질 수 있다. 상기 광촉매층의 패턴은 습식 식각에 의해 형성될 수 있다.
본 발명의 일 실시예에 있어서, 상기 플라즈마 처리 장치는 상기 윈도우로부터 투과된 광을 분석하여 플라즈마 처리 공정을 모니터링하기 위한 분석 유닛을 더 포함할 수 있다. 상기 분석 유닛은 광학 방출 분광기를 포함할 수 있다.
이와 같이 구성된 본 발명에 따른 플라즈마 처리 장치는 챔버의 일측벽에 형성되는 윈도우 및 상기 윈도우의 표면 상에 형성된 광촉매층을 포함한다. 상기 광촉매층은 상기 챔버 내의 플라즈마로부터 방출된 광에 의해 활성화되어 상기 윈도우 표면에서의 반응 부산물을 분해시킨다.
따라서, 플라즈마를 이용한 기판 가공 공정 중에 윈도우에 쌓이는 반응 부산물을 용이하게 제거하여, 지속적으로 플라즈마 처리 공정을 모니터링할 수 있고 광 신호 검출의 감도를 개선시킬 수 있다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 처리 장치를 나타내는 도면이다.
도 2는 도 1의 플라즈마 처리 장치의 일부를 나타내는 단면도이다.
도 3은 본 발명의 다른 실시예에 따른 플라즈마 처리 장치에 사용되는 광촉매층 패턴의 일부를 나타내는 평면도이다.
도 4a 내지 도 4c는 도 3의 광촉매층 패턴을 제조하기 위한 방법을 나타내는 단면도들이다.
이하, 첨부한 도면을 참조하여 본 발명의 실시예들에 따른 플라즈마 처리 장치에 대해 상세히 설명한다. 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 각 도면을 설명하면서 유사한 참조부호를 유사한 구성요소에 대해 사용하였다. 첨부된 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다.
어떤 구성 요소가 다른 구성 요소에 "연결되어" 있다거나 "접촉되어" 있다고 기재된 경우, 다른 구성 요소에 직접적으로 연결되어 있거나 또는 접촉되어 있을 수도 있지만, 중간에 또 다른 구성 요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면, 어떤 구성 요소가 다른 구성 요소에 "직접 연결되어" 있다거나 "직접 접촉되어" 있다고 기재된 경우에는, 중간에 또 다른 구성 요소가 존재하지 않는 것으로 이해될 수 있다. 구성 요소들 간의 관계를 설명하는 다른 표현들, 예를 들면, "~사이에" 와 "직접 ~사이에" 또는 "~에 인접하는" 과 "~에 직접 인접하는" 등도 마찬가지로 해석될 수 있다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 처리 장치를 나타내는 도면이고, 도 2는 도 1의 플라즈마 처리 장치의 일부를 나타내는 단면도이다.
도 1 및 도 2를 참조하면, 본 발명의 일 실시예에 따른 플라즈마 처리 장치(100)는 기판(10)을 가공하기 위한 챔버(102), 챔버(102) 내에 플라즈마를 제공하는 플라즈마 발생부, 챔버(102)의 일측벽에 형성된 윈도우(210) 및 윈도우(210)의 표면 상에 형성된 광촉매층(220)을 포함한다.
본 발명의 일 실시예에 있어서, 상기 플라즈마 발생부는 플라즈마 파워(RF 파워)를 이용하여 챔버(102) 내에 플라즈마를 발생시킬 수 있다. 상기 플라즈마 발생부는 챔버(102) 내에 소스 가스를 제공하는 가스 공급부(130) 및 고주파 발생부를 포함할 수 있다. 상기 고주파 발생부는 챔버(102) 내부에 제공된 가스에 고주파를 인가하여 플라즈마 상태로 형성시킬 수 있다. 상기 고주파 발생부는 상부 전극(110), 소스 전원 공급기(140), 하부 전극(120), 및 바이어스 전압 공급기(150)를 포함할 수 있다.
상부 전극(110)은 디스크 형상을 가지며 챔버(102)의 상부에 배치되어 소스 전원이 인가되는 제1 전극(112)과, 제1 전극(112)과 대응하는 디스크 형상을 갖고 제1 전극(112)의 하부면에 결합되는 제2 전극(114)을 포함할 수 있다. 상부 전극(110)은 제1 스위치를 통해 소스 전원 공급기(source power generator, 140)에 연결된다.
하부 전극(120)은 공정 챔버(102)의 바닥 상에 지지되고, 반도체 기판(10)은 하부 전극(120)의 상부면 상에 지지된다. 반도체 기판(10)은 진공 또는 정전기력에 의해 하부 전극(120)의 상부면에 고정된다. 하부 전극(120)은 제2 스위치를 통해 바이어스 전원 공급기(bias power generator, 150)에 연결된다.
상기 고주파 발생부의 구조는 이에 한정되지 않으며, 플라즈마 발생 방법에 따라 다양한 변형이 가능함을 이해할 수 있을 것이다.
또한, 챔버(102)의 일측에는 챔버(102)의 하부와 연통하는 진공 펌프(160)를 포함하는 배기부가 구비될 수 있다. 상기 배기부는 챔버(102) 내의 가스, 공정 부산물 등을 외부로 배출할 수 있다.
본 발명의 일 실시예에 있어서, 챔버(102)의 일측벽에는 상기 측벽을 관통하도록 형성된 뷰 포트(view port, 202)가 구비되고, 뷰 포트(202)에는 광을 투과시키는 윈도우(210)가 구비될 수 있다. 윈도우(210)는 투명한 재질의 석영 등을 포함할 수 있다. 윈도우(210)는 O-링과 고정 부재(230)에 의해 챔버(102)의 뷰 포트(202)에 밀봉될 수 있다. 따라서, 윈도우(210)는 챔버(102) 내의 플라즈마로부터 방출된 광을 투과시키게 된다.
또한, 플라즈마 처리 장치(100)는 윈도우(210)로부터 투과된 광을 분석하여 플라즈마 처리 공정을 모니터링하기 위한 분석 유닛(240)을 더 포함할 수 있다.
예를 들면, 분석 유닛(240)은 광학 프로브(optical probe, 242), 광학 케이블(244) 및 플라즈마 분석부(246)를 포함할 수 있다. 광학 프로브(242)는 뷰 포트(202)와 연결되고, 광학 케이블(244)은 광학 프로브(242)와 플라즈마 분석부(246)를 연결할 수 있다.
플라즈마 분석부(246)는 챔버(102)의 뷰 포트(202), 광학 프로브(242) 및 광학 케이블(244)을 통해 전송되는 광을 분석한다. 예를 들면, 플라즈마 분석부(246)는 광학 방출 분광기(optical emission spectrometer; OES)를 포함할 수 있다.
구체적으로, 플라즈마를 이용하여 반도체 기판(10) 상에 형성된 박막에 대한 가공 공정을 수행하는 동안, 플라즈마의 화학적 조성은 상기 박막을 포함하는 챔버(102)내 잔류 물질의 조성에 따라 변화된다. 즉, 반응하는 물질이 변화함에 따라 플라즈마로부터 방출되는 광의 스펙트럼들이 변화되며, 광학 방출 분광기로부터 측정되는 광의 스펙트럼의 변화에 따라 챔버(102) 내부 잔류 물질의 성분이 검출될 수 있다.
본 발명의 일 실시예에 있어서, 광촉매층(220)은 챔버(102) 내측을 향하는 윈도우(210)의 표면 상에 형성될 수 있다. 광촉매층(220)은 챔버(102) 내의 플라즈마로부터 방출된 광에 의해 활성화되어 윈도우(210) 표면 상에서 반응 부산물을 분해시킬 수 있다.
광촉매층(220)은 투명하며 난반사가 없고 높은 경도를 갖는 광촉매 물질을 이용하여 형성될 수 있다. 예를 들면, 광촉매층(220)은 반도체성 금속 산화물이나 황 화합물을 이용하여 형성될 수 있다.
본 실시예에 있어서, 광촉매층(220)은 챔버(102) 내의 플라즈마로부터 방출된 광의 특정 파장에 의해 활성화되어 기판 가공 공정 중에 생성된 상기 반응 부산물을 분해할 수 있는 라디칼을 발생시킬 수 있다.
상기 광촉매 물질은 일정한 영역의 에너지가 가해지면 전자가 가전자대(valence band)에서 전도대(conductive band)로 여기된다. 즉, 전도대에서 전자들이 형성되게 되고 가전자대에서는 정공(electron hole)이 형성되게 되는 데 이렇게 형성된 전자와 정공은 플라즈마 반응기 내의 산소와 수분과 반응하여 히드록시기(OH) 라디칼을 형성하게 된다. OH 라디칼은 강력한 산화제로서 작용하여 챔버(102) 내에서 플라즈마를 이용한 식각 공정과 같은 가공 공정 중에 형성된 폴리머와 같은 유기물을 이산화탄소(CO2)와 물(H2O)로 분해하게 된다.
예를 들면, 광촉매층(220)은 TiO2, WO3, CdS, SrTiO2, MoS2와 같은 광촉매 물질을 이용하여 형성될 수 있다. 광촉매층(220)은 특정한 자외선 파장 범위(300nm 내지 400nm)에서 여기될 수 있다.
또한, 광촉매층(220)의 두께는 윈도우(210)를 통한 광신호 측정의 성능을 저하시키기 않는 범위 내에서 선택될 수 있다. 예를 들면, 광촉매층(220)은 10 내지 100 ㎛의 두께를 가질 수 있다. 이에 따라, 플라즈마 분석부(246)의 광학 방출 분광기는 챔버(102) 내의 플라즈마로부터 광촉매층(220) 및 윈도우(210)를 투과한 광을 분석하게 된다.
본 발명의 일 실시예에 따르면, 윈도우(210) 표면 상에 형성된 광촉매층(220)은 플라즈마에서 발생된 빛에 의해 플라즈마를 이용한 가공 공정에 의해 증착된 반응 부산물과 광촉매 반응을 할 수 있다. 따라서, 플라즈마 처리 공정 중에 윈도우(210) 표면 상에 흡착될 수 있는 반응 부산물은 활성화된 광촉매에 의해 분해됨으로써 윈도우(210)의 오염을 방지할 수 있게 된다.
이에 따라, 광촉매층(220)을 상기 플라즈마 처리 장치의 윈도우(210)에 설치함으로써, 반도체 양산 라인에서 지속적인 공정 모니터링이나 설비 보수를 위한 광학 방출 분광기의 감도를 개선시킬 수 있다.
이하에서는, 도 1의 플라즈마 처리 장치(100)를 이용하여 플라즈마 식각 공정을 수행하는 방법에 대하여 설명하기로 한다.
먼저, 챔버(102) 내에 박막이 형성된 기판(10)이 로딩된다. 이어서, 비반응성 가스와 식각 가스를 공급하고, 플라즈마 파워를 공급하여 챔버(102) 내에 플라즈마를 발생시킨다. 이를 통해 식각 가스를 활성화시켜 식각 공정을 수행한다. 상기 플라즈마화된 식각 가스는 직진성이 뛰어나며, 이에 따라, 플라즈마를 이용한 식각 공정을 통해 박막을 식각할 경우 우수한 프로파일을 갖는 패턴을 형성할 수 있다.
이 때, 플라즈마로부터 방출된 광은 챔버(102) 측벽에 마련된 윈도우(210)를 통해 외부로 제공된다. 즉, 플라즈마로부터 방출된 광은 광촉매층(220) 및 윈도우(210)를 거쳐 분석 유닛(240)에 제공된다. 분석 유닛(240)은 챔버(102) 내의 플라즈마로부터 방출된 광을 검사하여 식각 공정을 실시간으로 모니터링 할 수 있다.
여기서, 식각 공정이 진행됨에 따라 폴리머와 같은 반응 부산물이 챔버(102) 내에 생성된다. 반응 부산물은 챔버(102)의 내측벽에 흡착되게 된다. 또한, 윈도우(210)의 표면에도 반응 부산물이 흡착될 수 있다. 그러나, 본 실시예에 있어서, 광촉매층(220)은 챔버(102) 내의 플라즈마로부터 방출된 광에 의한 광촉매 반응에 의해 윈도우(210)의 표면 상에 흡착될 수 있는 폴리머를 분해시킬 수 있다.
따라서, 광촉매층(220)에 의한 광촉매 반응에 의해 식각 공정 중에 발생한 폴리머가 윈도우(210)의 표면 상에 흡착되는 것을 방지하여, 정확한 식각 공정의 모니터링을 수행할 수 있게 된다. 더욱이, 광촉매층(220)은 반영구적으로 사용될 수 있으며, 이에 따라, 지속적인 공정 모니터링이나 설비 보수를 위하여 광학 방출 분광기를 사용할 수 있고 광 신호 검출의 감도를 개선시킬 수 있다.
도 3은 본 발명의 다른 실시예에 따른 플라즈마 처리 장치에 사용되는 광촉매층 패턴의 일부를 나타내는 평면도이고, 도 4a 내지 도 4c는 도 3의 광촉매층 패턴을 제조하기 위한 방법을 나타내는 단면도들이다. 본 실시예에 따른 플라즈마 처리 장치는 광촉매층 패턴의 형상을 제외하고는 도 1의 실시예의 플라즈마 처리 장치와 실질적으로 동일한 구성요소들을 포함한다. 따라서, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 3을 참조하면, 본 발명의 다른 실시예에 따른 플라즈마 처리 장치는 윈도우(210)의 표면 상에 그물 형태의 패턴을 갖는 광촉매층 패턴(224)을 포함할 수 있다.
본 발명의 다른 실시예에 있어서, 광촉매층 패턴(224)은 그물 형태의 패턴을 가짐으로써 윈도우(210) 상에서 차지하는 면적이 감소될 수 있다. 따라서, 그물 형태의 패턴을 갖는 광촉매층 패턴(224)은 윈도우(210)의 광투과율을 최대화하면서 효율적인 광촉매 반응을 통해 윈도우(210) 표면 상의 유기물의 제거를 최적화할 수 있다.
예를 들면, 광촉매층 패턴(224)은 10 내지 100 ㎛의 폭(W)을 가질 수 있다. 또한, 광촉매층 패턴(224)은 직사각형, 육각형 등과 같은 다각형 형상을 반복적으로 가질 수 있다. 광촉매층 패턴(224)의 형태와 치수는 윈도우(210)의 광투과율을 최대화하고 광촉매 반응의 효율성을 고려하여 선택될 수 있다.
이하에서는, 도 3의 광촉매층 패턴을 형성하는 방법에 대하여 설명하기로 한다.
도 4a를 참조하면, 챔버(102)의 일측벽에 구비되는 뷰 포트(202)에 설치된 윈도우(210)를 마련한다. 윈도우(210)는 투명한 재질의 석영 등을 포함할 수 있다.
이어서, 윈도우(210) 상에 광촉매층(220)을 형성한다. 예를 들면, 광촉매층(220)은 반도체성 금속 산화물이나 황 화합물을 이용하여 형성될 수 있다. 또한, 광촉매층(220)은 투명하며 난반사가 없고 높은 경도를 갖는 광촉매 물질을 이용하여 형성될 수 있다.
예를 들면, 광촉매층(220)은 TiO2, WO3와 같은 광촉매 물질을 이용하여 형성될 수 있다. 또한, 광촉매층(220)의 두께(t)는 윈도우(210)를 통한 광신호 측정의 성능을 저하시키기 않는 범위 내에서 선택될 수 있다. 예를 들면, 광촉매층(220)은 10 내지 100 ㎛의 두께를 가질 수 있다.
도 4b 및 도 4c를 참조하면, 광촉매층(220) 상에 포토레지스트 패턴(222)을 형성한 후, 포토레지스트 패턴(222)을 식각 마스크로 이용하여 광촉매층(220)을 선택적으로 제거하여 그물 형태의 패턴을 갖는 광촉매층 패턴(224)을 형성한다. 이어서, 포토레지스트 패턴(222)은 애싱 및 스트립 공정에 의하여 제거될 수 있다.
본 발명의 다른 실시예에 있어서, 광촉매층 패턴(224)은 습식 식각 공정에 의해 형성될 수 있다. 상기 습식 식각 공정은 완충된 습식액(예를 들면, BOE(buffered oxide etchant))을 사용할 수 있다.
예를 들면, 광촉매층 패턴(224)은 직사각형, 육각형 등과 같은 다각형 형상을 반복적으로 가질 수 있다. 광촉매층 패턴(224)의 폭(W)은 윈도우(210)의 광투과율을 최대화하고 광촉매 반응의 효율성을 고려하여 선택될 수 있다. 따라서, 그물 형태의 패턴을 갖는 광촉매층 패턴(224)은 윈도우(210)의 광투과율을 최대화하면서 효율적인 광촉매 반응을 통해 윈도우(210) 표면 상의 유기물의 제거를 최적화할 수 있다.
상술한 바와 같이, 본 발명에 따른 플라즈마 처리 장치는 챔버의 일측벽에 형성되는 윈도우 및 상기 윈도우의 표면 상에 형성된 광촉매층을 포함한다. 상기 광촉매층은 상기 챔버 내의 플라즈마로부터 방출된 광에 의해 활성화되어 상기 윈도우 표면에서의 반응 부산물을 분해시킨다.
따라서, 플라즈마를 이용한 기판 가공 공정 중에 윈도우에 쌓이는 반응 부산물을 용이하게 제거하여, 지속적으로 플라즈마 처리 공정을 모니터링할 수 있고 광 신호 검출의 감도를 개선시킬 수 있다.
상술한 바와 같이 본 발명의 바람직한 실시예들을 참조하여 설명하였지만 해당 기술 분야에서 통상의 지식을 가진 자라면 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
10 : 기판 100 : 플라즈마 처리 장치
102 : 챔버 110 : 상부 전극
112 : 제1 전극 114 : 제2 전극
120 : 하부 전극 130 : 가스 공급부
140 : 소스 전원 공급기 150 : 바이어스 전압 공급부
160 : 진공 펌프 202 : 뷰 포트
210 : 윈도우 220 : 광촉매층
224 : 광촉매층 패턴 230 : 고정 부재
240 : 분석 유닛 242 : 광학 프로브
244 : 광학 케이블 246 : 플라즈마 분석부

Claims (10)

  1. 기판을 가공하기 위한 챔버;
    상기 챔버 내에 플라즈마를 제공하는 플라즈마 발생부;
    상기 챔버의 일측벽에 형성되며, 상기 챔버 내의 플라즈마로부터 방출된 광을 투과시키는 윈도우; 및
    상기 챔버 내측을 향하는 상기 윈도우의 표면 상에 형성되고, 상기 챔버 내의 플라즈마로부터 방출된 광에 의해 활성화되어 상기 윈도우 표면에서의 반응 부산물을 분해시키는 광촉매층을 포함하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서, 상기 광촉매층은 상기 챔버 내의 플라즈마로부터 방출된 광의 특정 파장에 의해 활성화되어 상기 반응 부산물을 분해할 수 있는 라디칼을 발생시키는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 2 항에 있어서, 상기 광촉매층은 산화티탄(TiO2)을 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 2 항에 있어서, 상기 광촉매층은 산화텅스텐(WO3)을 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 1 항에 있어서, 상기 광촉매층은 10 내지 100 ㎛의 두께를 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제 1 항에 있어서, 상기 광촉매층은 그물 형태의 패턴을 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제 6 항에 있어서, 상기 광촉매층의 패턴은 10 내지 100 ㎛의 폭을 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제 6 항에 있어서, 상기 광촉매층의 패턴은 습식 식각에 의해 형성되는 것을 특징으로 하는 플라즈마 처리 장치.
  9. 제 1 항에 있어서, 상기 윈도우로부터 투과된 광을 분석하여 플라즈마 처리 공정을 모니터링하기 위한 분석 유닛을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 제 9 항에 있어서, 상기 분석 유닛은 광학 방출 분광기를 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
KR1020100046837A 2010-05-19 2010-05-19 플라즈마 처리 장치 KR20110127389A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020100046837A KR20110127389A (ko) 2010-05-19 2010-05-19 플라즈마 처리 장치
US13/086,475 US20110284163A1 (en) 2010-05-19 2011-04-14 Plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100046837A KR20110127389A (ko) 2010-05-19 2010-05-19 플라즈마 처리 장치

Publications (1)

Publication Number Publication Date
KR20110127389A true KR20110127389A (ko) 2011-11-25

Family

ID=44971467

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100046837A KR20110127389A (ko) 2010-05-19 2010-05-19 플라즈마 처리 장치

Country Status (2)

Country Link
US (1) US20110284163A1 (ko)
KR (1) KR20110127389A (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021252967A1 (en) * 2020-06-12 2021-12-16 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US12000041B2 (en) 2023-05-31 2024-06-04 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9293303B2 (en) * 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
KR20150106974A (ko) * 2014-01-29 2015-09-23 세메스 주식회사 기판처리장치 및 방법
KR20160058490A (ko) * 2014-11-17 2016-05-25 삼성전자주식회사 뷰 포트(view port)를 포함하는 플라즈마 공정 설비
CN109360796A (zh) * 2018-09-29 2019-02-19 德淮半导体有限公司 半导体装置及其工作方法
KR20220019440A (ko) * 2020-08-10 2022-02-17 삼성전자주식회사 윈도우를 갖는 플라즈마 처리 장치, 분석 장치, 및 챔버

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
FR2738813B1 (fr) * 1995-09-15 1997-10-17 Saint Gobain Vitrage Substrat a revetement photo-catalytique
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7630859B2 (en) * 2007-05-01 2009-12-08 Verity Instruments, Inc. Method and apparatus for reducing the effects of window clouding on a viewport window in a reactive environment

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021252967A1 (en) * 2020-06-12 2021-12-16 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US12009191B2 (en) 2020-06-12 2024-06-11 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US12000041B2 (en) 2023-05-31 2024-06-04 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner

Also Published As

Publication number Publication date
US20110284163A1 (en) 2011-11-24

Similar Documents

Publication Publication Date Title
KR20110127389A (ko) 플라즈마 처리 장치
US6146492A (en) Plasma process apparatus with in situ monitoring, monitoring method, and in situ residue cleaning method
US8154721B2 (en) Method of online predicting maintenance of an apparatus
JP2007165512A (ja) プラズマ処理装置
US7738976B2 (en) Monitoring method of processing state and processing unit
KR20110103723A (ko) 공정 모니터링 장치와, 이를 이용한 공정 모니터링 방법
KR102013485B1 (ko) 기판 처리방법 및 장치
JP2781545B2 (ja) 半導体製造装置
US20040221957A1 (en) Method system and computer readable medium for monitoring the status of a chamber process
US10636686B2 (en) Method monitoring chamber drift
KR101591961B1 (ko) 플라즈마 처리 챔버의 플라즈마 상태 분석 장치 및 방법
KR20070054716A (ko) 리소그래피 노광 툴에서의 오염 검출 및 모니터링 방법 및시스템, 그리고 제어되는 대기 상태들 하에서 이를동작하는 방법
US7312865B2 (en) Method for in situ monitoring of chamber peeling
KR101663032B1 (ko) 공정 모니터링 장치와 이를 구비한 반도체 공정 설비, 그리고 이를 이용한 공정 모니터링 방법
KR101273922B1 (ko) 능동형 오염방지장치를 갖는 셀프 플라즈마 발광분광기 및 이를 이용한 플라즈마 챔버의 오염 방지 방법
US10854433B2 (en) In-situ real-time plasma chamber condition monitoring
JP2011199072A (ja) 終点検出装置、プラズマ処理装置および終点検出方法
US20220093429A1 (en) Systems and methods for determining residual compounds in plasma process
JP2006073751A (ja) プラズマクリーニング処理の終点検出方法及び終点検出装置
CN107546141B (zh) 监测等离子体工艺制程的装置和方法
JP3727620B2 (ja) 計測用窓部を備えたプラズマ処理装置
KR20130064472A (ko) 멀티 광 파장 모니터링을 이용한 공정 진단 방법
JP2004221542A (ja) プロセスチャンバと真空ラインをクリーニングするためのシステムと方法
JP2013207210A (ja) プラズマ処理装置およびプラズマ処理方法
JP2003163203A (ja) 半導体製造装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid