JP5812606B2 - 基板処理装置及び半導体装置の製造方法 - Google Patents

基板処理装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP5812606B2
JP5812606B2 JP2011000515A JP2011000515A JP5812606B2 JP 5812606 B2 JP5812606 B2 JP 5812606B2 JP 2011000515 A JP2011000515 A JP 2011000515A JP 2011000515 A JP2011000515 A JP 2011000515A JP 5812606 B2 JP5812606 B2 JP 5812606B2
Authority
JP
Japan
Prior art keywords
gas
gas supply
substrate
exhaust
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011000515A
Other languages
English (en)
Other versions
JP2011222960A (ja
JP2011222960A5 (ja
Inventor
豊田 一行
一行 豊田
修 笠原
修 笠原
義朗 ▲ひろせ▼
義朗 ▲ひろせ▼
高寺 浩之
浩之 高寺
上村 大義
大義 上村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2011000515A priority Critical patent/JP5812606B2/ja
Priority to US13/035,112 priority patent/US20110212625A1/en
Priority to KR1020110017384A priority patent/KR101236108B1/ko
Publication of JP2011222960A publication Critical patent/JP2011222960A/ja
Publication of JP2011222960A5 publication Critical patent/JP2011222960A5/ja
Application granted granted Critical
Publication of JP5812606B2 publication Critical patent/JP5812606B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation

Description

本発明は、基板上に薄膜を形成、もしくは形成されている膜を改質などする基板処理装置及び半導体装置の製造方法に関する。
基板を複数枚一括で処理する所謂バッチ装置として、複数枚の基板を縦に積み上げ、一括で処理をする縦型の基板処理装置が挙げられる(特許文献1)。また、処理室内の基板支持台に基板を載置し、1枚ずつ処理する基板処理装置が挙げられる(特許文献2)。
特開2006−156695号 特開平11−288798号
基板を処理する装置として、1枚の基板を処理する枚葉装置がある。枚葉装置は、1枚ずつ処理をするため、緻密な処理が可能であることが知られている。また、基板の大型化が検討されている昨今では、機構強度の観点から、複数の基板を積み重ねて処理をするバッチ装置より枚葉装置で処理することが検討されている。
しかしながら、枚葉装置は、1枚ずつ処理をするためスループットが低いという問題がある。
本発明の目的は、基板処理装置において、緻密な基板処理をしつつ、スループットを向上させることができる基板処理装置及び半導体装置の製造方法を提供することにある。
前記した課題を解決するための手段のうち代表的なものは、次の通りである。
処理室内に設けられ、基板を支持する基板支持部と、前記基板支持部を移動する基板支持部移動機構と、前記処理室にガスを供給するガス供給部と、前記処理室のガスを排気する排気部と、前記基板支持部と対向するように設けられたプラズマ生成部と、を有する基板処理装置。
更には、次の通りである。
処理室内に設けられ、基板を支持する複数の基板支持部と、前記基板支持部を移動する基板支持部移動機構と、前記処理室にガスを供給するガス供給部と、前記処理室のガスを排気する排気部と、前記基板支持部と対向するように設けられたプラズマ生成部と、を有する基板処理装置を用いた半導体装置の製造方法であって、前記ガス供給部からガスを供給しつつ前記排気部からガスを排気するガス供給/排気工程と、前記ガス供給/排気工程の間、複数の前記基板支持部を移動する移動工程と、を有する半導体装置の製造方法。
この基板処理装置及び半導体装置の製造方法によれば、枚葉装置の緻密な処理を可能としつつ、処理スループットを向上させることができる。
本発明の第一実施形態である基板処理装置を示す平面図である。 その一部省略切断斜視図である。 その一部省略側面断面図である。 本発明の第二実施形態である基板処理装置を示す一部省略側面断面図である。 本発明の第三実施形態である基板処理装置を示す一部省略側面断面図である。 本発明の第四実施形態である基板処理装置を示す平面図である。 本発明の第四実施形態に係る基板処理装置の側面図及び上面図である。 本発明の第四実施形態に係るシャワーヘッドの拡大図である。 本発明の第四実施形態における、ウエハを載置した場合の説明図である。 本発明の第四実施形態に係る基板処理装置の排気系統を説明する説明図である。 本発明の第四実施形態に係る基板処理装置のガスの流れを説明する説明図である。 本発明の第五実施形態に係る基板処理装置の側面図及び上面図である。 本発明の第五実施形態に係るプラズマ生成源及びその周辺を説明する説明図である。 本発明の第六実施形態である基板処理装置を示す平面図である。 比較例としての基板処理装置の側面図及び上面図である。 比較例における、ウエハを載置した場合の説明図である。 比較例に係る基板処理装置の排気系統を説明する説明図である。
<第一の実施形態>
以下、本発明に係る第一の実施形態を図面に即して説明する。
図1〜図3は、本発明の第一実施形態を示している。
本実施形態において、本発明に係る基板処理装置10は、半導体集積回路装置(以下、半導体装置という)の製造方法において、半導体装置が形成される基板としての半導体ウエハ(以下、ウエハ18という)にプラズマ処理を施す基板処理装置として構成されている。
本実施形態に係る基板処理装置10は、処理室12を形成した筐体11を備えている。筐体11は長方形筒形状に形成されており、筒中空部が処理室12を形成している。
筐体11の正面壁には入口13が開設されており、入口13と対向する筐体11の他方の壁には出口14が開設されている。入口13はゲート13Aによって開閉されるように構成されており、出口14はゲート14Aによって開閉されるように構成されている。
図1に記載のように、筐体11の入口13を有する壁には入口側予備室33が連結され、出口14を有する壁には出口側予備室34がそれぞれ連結されている。両予備室33、34は減圧可能に構成されている。
入口側予備室33には予備室ヒータ33Aが設けられ、筐体11に入る前に、ウエハ18を加熱する構成としている。また、出口側予備室34には予備室冷却機構34Aが設けられ、筐体11内で加熱されたウエハ18を冷却する構造としている。
なお、図2では説明の便宜上、各予備室33、34を省略している。
基板処理装置10には制御部80が設けられており、制御部80によって各構成を制御している。
処理室12内には複数枚の基板保持具17(基板支持部、後述する)を間隔をあけて並べて移動させる基板支持部移動機構としてのコンベア15が全長にわたって水平に敷設されている。
コンベア15は回転するローラ16を複数本備えており、各ローラ16の回転によって移動(搬送)対象物としてのウエハ18を支持した基板保持具17を搬送するように構成されている。コンベア15の幅は、基板保持具17の幅よりも大きく設定されている。
また、処理室12は複数枚、例えば4枚の基板保持具17を等しいピッチをもって並べて搬送し得る長さに設定されている。
基板保持具17は正方形の平板形状に形成されており、外径がウエハ18の直径よりも大きく設定されている。基板保持具17は、この基板保持具17のうち、ローラ16と向かい合わない面(以下、上面とする)に没設された保持穴17aにウエハ18を収納することにより、ウエハ18を位置決めして着脱自在に保持するように構成されている。
図1、図2に示されているように、筐体11の天井壁には、一対の電極を有するプラズマ生成装置20が複数台、本実施例においては4台、コンベア15の搬送方向(以下、前後方向とする)に等しいピッチをもってそれぞれ配置されている。
プラズマ生成装置20は後述するように電極を有しており、電極に電力を投入することで、処理室12内に供給された処理ガスをプラズマ状態とする。
処理室12の側壁には、処理室12内のガスを排気するガス排気口19aが形成されており、このガス排気口19aにはガス排気管19bが接続されるように設けられている。
ガス排気管19bは、複数台のプラズマ生成装置20それぞれに対応するように設けられている。ガス排気管19bは下流で合流し、ガス排気管19bの合流した箇所には、上流から順に、圧力調整バルブ19cと、排気装置としての真空ポンプ19dとが設けられており、この圧力調整バルブ19cの開度を調整することで、処理室12内の圧力が所定の値に調整される。
ガス排気口19aとガス排気管19bと圧力調整バルブ19cと真空ポンプ19dとから、ガス排気部19が構成される。
圧力調整バルブ19cと真空ポンプ19dとは、制御部80と電気的に接続されており、制御部80により圧力調整制御される。
なお、図2では説明の便宜上、ガス排気部19を省略している。
図3に示されているように、本実施形態に係るプラズマ生成装置20は、絶縁材料が用いられて正方形枠形状に形成されたブラケット21を備えている。ブラケット21は筐体11の天井壁に建て込まれて固定されており、ブラケット21の枠内にはホルダ22が嵌め込まれている。
ホルダ22は、石英(SiO2 )等の誘電体が用いられて正方形板形状に形成されている。ホルダ22の上面には、基板保持具17の進行方向と直交する方向に、複数条(図示例では8条)の細い長方形の長溝22aが等しいピッチをもって整列されて、一定深さに没設されている。
プラズマ生成装置20は一対の電極としてのくし型電極対23を備えており、くし型電極対23はアノード電極24及びカソード電極25を複数組(図示例では4組)備えている。アノード電極24及びカソード電極25は細長い長方形平板形状にそれぞれ形成されており、隣り合う長溝22a、22aにそれぞれ収納されている。すなわち、各電極24、25はウエハ18の進行方向と直行するように設けられている。
プラズマ30は、各電極24、25の間であって、これらの電極24、25の延伸方向に生成される。
各電極24、25をウエハ18の進行方向に対して直行方向に配置することで、生成されたプラズマ30がウエハ18の表面を走査する。従って、ウエハ18上に均一にプラズマ30を晒すことができる。
仮にウエハ18の進行方向と電極24、25を並行にした場合、ウエハ18上に進行方向と並行にプラズマ30が生成されるため、膜厚がまだらとなってしまう。
長溝22a、22aにそれぞれ収納されたアノード電極24及びカソード電極25は、長溝22aの底壁22bによって処理室12から分離されている。
このように、くし型電極対23と処理室12との間に誘電体製のホルダ22が設けられるため、電極24、25がプラズマ30によって削られて生成される金属片による金属汚染を防止することができる。このとき、底壁22bは、プラズマ30を生成できる程度の厚みであって、ウエハ18への薄膜形成に支障のない厚みとする。
プラズマ生成装置20には高周波電源26が接続されており、高周波電源26は整合器27及び絶縁トランス28を介して、くし型電極対23に接続されている。すなわち、高周波電源26は、整合器27を介して絶縁トランス28の一次側に接続されており、絶縁トランス28の二次側にはくし型電極対23が接続されている。くし型電極対23の複数組のアノード電極24及びカソード電極25は、絶縁トランス28に並列に接続されている。
高周波電源26や整合器27及び絶縁トランス28は、筐体11の天井壁上に配置された配電盤29に格納されている(図1及び図2参照)。
なお、プラズマ生成装置20、高周波電源26、整合器27、絶縁トランス28をプラズマ生成部と呼ぶ。
なお、本実施形態では、入口13から出口14に向かって、それぞれ隣接するプラズマ生成部を、第一のプラズマ生成部、第二のプラズマ生成部、第三のプラズマ生成部・・・と呼ぶ。
同様に、入口13から出口14に向かって、それぞれ隣接するプラズマ生成装置を、第一のプラズマ生成装置、第二のプラズマ生成装置、第三のプラズマ生成装置・・・と呼ぶ。
底壁22bの内、ウエハ18と対向する面は、ウエハ18表面と略平行になるように構成する。すなわち、底壁22bはコンベア15と略平行になるように構成する。このような形状とすることで、ウエハ18面上に均一にプラズマ30を晒すことが可能となる。
筐体11の天井壁にはガス供給口31aが開設されており、ガス供給口31aにはガス供給管31bの一端が接続されている。ガス供給管31bには、上流から順に、ガス供給源31e、ガス流量を調整する流量制御装置31d、ガス流路を開閉するバルブ31cが設けられている。バルブ31cを開閉することで、ガス供給管31bから処理室12内にガスが供給され、又は供給停止される。
ガス供給口31aとガス供給管31bとバルブ31cと流量制御装置31dとガス供給源31eとから、ガス供給部31が構成される。流量制御装置31dとバルブ31cは、制御部80と電気的に接続されており、制御部80により制御される。
筐体11の底にはヒータ32が設けられており、このヒータ32は、コンベア15により搬送されるウエハ18や基板保持具17を加熱する。
次に、以上の構成に係る基板処理装置10の作用及び効果を説明する。各構成の動作は、制御部80により制御される。
ウエハ18が搭載された基板保持具17は、入口側予備室33に搬入される。入口側予備室33では、予備室ヒータ33Aが基板保持具17及びウエハ18を加熱する。加熱と同時に、入口側予備室33内を、筐体11と略同じ圧力とする。
また、筐体11はガス排気部19及びガス供給部31の協働により、一定の圧力に維持されている。
ウエハ18が所定の温度に加熱された後、ゲート13Aが開放され、基板保持具17がコンベア15上へ載置される。載置された後、ゲート13Aが閉じ、筐体11と入口側予備室33が区画される。
ウエハ18を予め保持した第一の基板保持具17は入口13から搬入され、コンベア15上に載置される。コンベア15上に載置された基板保持具17及び基板保持具17上のウエハ18は、ヒータ32によって加熱されて、予め設定された処理温度に維持される。
最初に処理する基板保持具17(第一の基板保持具17)が1台目のプラズマ生成装置20(第一のプラズマ生成装置20)に対向した状態になるように、コンベア15が第一の基板保持具17を搬送して停止する。
この状態で、図3に示されているように、ガス供給部31からガスを供給した後、プラズマ生成装置20がプラズマ30を基板保持具17上に生成し、ウエハ18にプラズマ処理を施す。
このとき、入口側予備室33には次の第二の基板保持具17が待機している。
予め設定された処理時間が経過すると、入口側予備室33から筐体11へ第二の基板保持具17を搬送する。このとき、第一の基板保持具17と第二の基板保持具17との距離を、第一のプラズマ生成装置20と第二のプラズマ生成装置20との間の距離と同じくするよう、第二の基板保持具17をコンベア上に載置する。
コンベア15は第一の基板保持具17が第二のプラズマ生成装置と対向した状態となるように搬送する。更には第二の基板保持具17が第一のプラズマ生成装置20と対向した状態となるよう、第一の基板保持具17及び第二の基板保持具17を搬送する。
このとき、入口側予備室33に第三の基板保持具17を載置する。
このように、基板保持具17が順次搬入され、各プラズマ生成装置20の下でウエハ18がプラズマ処理される。
各プラズマ生成装置20の下で順次処理することで、例えば、所望の膜厚を堆積することが可能となる。
出口14に最も近いプラズマ生成装置20でプラズマ処理を施されたウエハ18は、次のように筐体11から搬出される。
まず、出口14に最も近いプラズマ生成装置20で所定の時間、ウエハ18が処理された後、出口14のゲート14Aが開放される。開放されたら、図示しない搬送機構によって出口側予備室34へ搬出される。搬出された後、ゲート14Aを閉じる。
出口側予備室34では、搬送された基板保持具17が予備室冷却機構34Aによって冷却される。同時に、ウエハ18が冷却される。
このようにすることで、ウエハ18を素早く冷却することが可能となるため、高温状態のウエハ18を搬入できない他の装置にも、素早く移載することが可能となる。
ところで、例えば、プラズマ生成装置が容量結合型平行平板電極であって一方の電極が連続的に移動する基板保持具によって構成されている場合、次のような問題がある。
ウエハ18を保持した基板保持具を連続的に移動させながらウエハ18にプラズマ処理を施すと、基板保持具を連続的に移動する場合、すなわち、移動することによって上部電極と下部電極の位置関係にズレが起きた場合に、平行平板電極間に生成されるプラズマの形成状態(体積や密度、電子温度など)が変化するので、ウエハ18にプラズマ処理を均一に施すことができない。
本実施形態においては、ウエハ18や基板保持具17、コンベア15等の影響を受けずに、プラズマ生成装置20の各電極によりプラズマ30を生成することができるので、ウエハ18を保持した基板保持具17をコンベア15によって連続的に移動させても、プラズマ生成状態に影響を及ぼさない。
したがって、基板保持具17をコンベア15によって連続的に移動させても、ウエハ18に対してプラズマ処理を均一に施すことができる。更には、筐体11にて複数枚連続してウエハ18を処理することが可能であるので、従来の枚葉装置に比べスループットを高くすることができる。
<第二の実施形態>
図4は本発明の第二の実施形態を示している。
本実施形態が第一実施形態と異なる点は、くし型電極対23を保持するホルダ22Aが平板形状に形成されており、くし型電極対23がホルダ22Aの処理室12内側端面に配置されて、プラズマ30と接触するように構成されている点、である。他の構成においては、第一の実施例と同様の構成である。
本実施形態においては、くし型電極対23が石英等の誘電体を介していない。言い換えれば、くし型電極対23が処理室12と連通した状態としている。このような構成とした場合、底壁22bの存在する第一の実施例に比べて、くし型電極対23から発生する電界が維持される。従って、第一の実施形態よりも効率的にプラズマ30を生成することが可能となる。
また、供給するガスとして腐食性ガスを使用する場合には、くし型電極対23が劣化したりエッチングされたりする。そこで、炭化シリコン(SiC)等の材料を用いてくし型電極対23を構成することにより、寿命を延ばすことが可能となる。
<第三の実施形態>
図5は本発明の第三の実施形態を示している。
本実施形態が第一実施形態と異なる点は、プラズマ生成装置20に相当するプラズマ生成装置が誘導結合方式(誘導結合型装置20B)である点である。他の構成においては、第一の実施例と同様の構成である。
以下に、誘導結合型装置20Bを図5を用いて説明する。
誘導結合型装置20Bは、ブラケット41を備えている。ブラケット41は筐体11の天井壁に建て込まれて固定されており、ブラケット41の枠内にはドーム42が嵌め込まれている。
ドーム42は、酸化アルミニウムまたは石英等の非金属材料が使用されてドーム形状に形成されている。ドーム42の外周にはコイル43が設置されており、コイル43には高周波電力を印加する高周波電源44が、整合器45及び絶縁トランス46を介して接続されている。
高周波電源44、整合器45及び絶縁トランス46は、筐体11の天井壁上に配置された図示しない配電盤に格納されている。
誘導結合型装置20B、コイル43、高周波電源44、整合器45及び絶縁トランス46からプラズマ生成部が構成される。コイル43に高周波電力を投入することで、プラズマ49が生成される。
ドーム42の天井壁にはガス供給口48aが開設されており、ガス供給口48aにはガス供給管48bの一端が接続されている。ガス供給管48bには、上流から順に、ガス供給源48e、ガス流量を調整する流量制御装置48d、ガス流路を開閉するバルブ48cが設けられている。このバルブ48cを開閉することで、ガス供給管48bから処理室12内にガスが供給され、又は供給停止される。
ガス供給口48aとガス供給管48bとバルブ48cと流量制御装置48dとガス供給源48eとから、ガス供給部48が構成される。流量制御装置48dとバルブ48cは、制御部80と電気的に接続されており、制御部80により制御される。
本実施形態においても、ウエハ18や基板保持具17、コンベア15等の影響を受けずに、誘導結合型装置20Bによりプラズマ49を生成することができるので、ウエハ18を保持した基板保持具17をコンベア15によって連続的に移動させても、プラズマ生成状態に影響を及ぼさない。
したがって、基板保持具17をコンベア15によって連続的に移動させても、ウエハ18に対してプラズマ処理を均一に施すことができる。更には、筐体11にて複数枚のウエハ18を連続して処理することが可能なので、従来の枚葉装置に比べスループットを高くすることができる。
<第四の実施形態>
図6から図11は本発明の第四の実施形態を示している。
本実施形態が第一の実施形態と異なる点は、基板処理装置がロータリー式に構成されている点である。
(1)基板処理装置の構成
まず、本実施形態に係る基板処理装置100の構成について説明する。
図6は、第四実施形態に係る基板処理装置100の一部切断平面図である。
図7(A)は、本実施形態に係る基板処理装置100の側面断面図であり、図7(B)は、図7(A)のa−a'矢視図である。また、図7(A)は、図7(B)のb−b'矢視図である。
図8は、第一のシャワーヘッド133(あるいは第二のシャワーヘッド137)の拡大図である。
図9は、ウエハ18を載置した場合の説明図である。
図10は、基板処理装置100の排気系統を説明する説明図である。
図11は、基板処理装置100のガスの流れを説明する説明図である。
本実施形態に係る基板処理装置100は、処理室101を形成した筐体51を備えている。筐体51は円筒形状に形成されており、筒中空部が処理室101を形成している。処理室101は、円状の反応室壁103に囲まれて形成されている。
筐体51の側壁には入口53及び出口54が隣り合わせに開設されている。入口53はゲート53Aによって開閉されるように構成されており、出口54はゲート54Aによって開閉されるように構成されている。
筐体51の入口53を有する壁には入口側予備室57が連結され、出口54を有する壁には出口側予備室58が連結されている。両予備室57、58は減圧可能に構成されている。
入口側予備室57には予備室ヒータ57Aが設けられ、筐体51に入る前に、ウエハ18を加熱する構成としている。また、出口側予備室58には予備室冷却機構58Aが設けられ、筐体51内で加熱されたウエハ18を冷却する構造としている。
処理室101内には、支持部材としての複数の基板保持具17(基板支持部)を間隔をあけて並べて移動させる基板支持部移動機構としての回転トレー120が備えられている。処理室101底部には、ウエハ18を加熱するヒータ106が配設され、回転トレー120はヒータ106の上部に配設されている。
また、回転トレー120は回転駆動部119に連結されている。回転駆動部119が回転軸121を回転させることで回転トレー120が回転する。
回転トレー120のウエハ載置面より上の空間には、処理ガスを供給する処理ガス供給部、不活性ガスを供給する不活性ガス供給部、及びガス排気部が設けられている。
図7に示されているように、第一のガス供給部は、複数の供給孔を有する第一のシャワーヘッド133、第一のガス導入ポート135、ガス供給管200b、ガス流路を開閉するバルブ200c、ガス流量を調整する流量制御装置200d、ガス供給源200eを有する。
ガス供給管200bは、第一のガス導入ポート135に接続しており、このガス供給管200bの上流から順に、ガス供給源200e、流量制御装置200d、バルブ200cが設けられている。バルブ200cを開閉することで、ガス供給管200bから処理室101内にガスが供給、又は供給停止される。
第一のガス供給部は、第一の処理ガス、例えばジクロロシラン(DCS)を供給する。
第二のガス供給部は、複数の供給孔を有する第二のシャワーヘッド137、第二のガス導入ポート131、ガス供給管212b、ガス流路を開閉するバルブ212c、ガス流量を調整する流量制御装置212d、ガス供給源212eを有する。
ガス供給管212bは、第二のガス導入ポート131に接続しており、このガス供給管212bには上流から順に、ガス供給源212e、流量制御装置212d、バルブ212c、リモートプラズマ機構212fが設けられている。バルブ212cを開閉することで、ガス供給管212bから処理室101内にガスが供給され、又は供給停止される。
第二のガス供給部は、第二の処理ガスであるアンモニアガスを供給する。本実施形態においては、リモートプラズマ機構212fによって活性化されたアンモニアラジカルを供給する。
第一のシャワーヘッド133を囲むように、第一の排気孔128aが設けられる。また、第一の排気孔128aは、第一のシャワーヘッド133と同様、回転トレー120のウエハ載置面の上(重力方向に対して上方)の空間に配置される。
図10に記載のように、第一の排気孔128aは第一の排気経路としての第一の排気管104に接続され、第一の排気管104は第一の圧力調整バルブ(APCバルブ)204を介して第一の排気装置としての第一の排気ポンプ107に接続される。
第一の排気孔128a、第一の排気管104、第一の排気ポンプ107、及び第一のAPCバルブ204を含めて第一の排気部と呼ぶ。
同様に、第二のシャワーヘッド137を囲むように、第二の排気孔128bが設けられる。また、第二の排気孔128bは、第二のシャワーヘッド137と同様、回転トレー120のウエハ載置面の上(重力方向に対して上方)の空間に配置される。
図10に記載のように、第二の排気孔128bは、第一の排気経路と異なる第二の排気経路としての第二の排気管105に接続され、第二の排気管105は第二の圧力調整バルブ(APCバルブ)206を介して第二の排気装置としての第二の排気ポンプ108に接続される。
第二の排気孔128b、第二の排気管105、第二の排気ポンプ108、及び第二のAPCバルブ206を含めて第二の排気部と呼ぶ。
各シャワーヘッド133、137のガス供給面は、図8に記載のように、回転トレー120の回転軸121から遠い下底152が、回転軸121に近い上底151より長い状態であって、台形状に形成されている。供給面に設けられたガス供給孔は、上底151から下底152にいくほど多く設けられる。
このような構成とすることで、ウエハ18に対する下底152側のガスが晒される時間を、上底151側のガスが晒される時間に近づけることができる。好ましくは、孔の数を調整することで同等とすることができる。
本実施形態において、回転軸121を中心としてウエハ18が回転した場合、ウエハ18の表面のうち回転軸121から遠い場所(点)ほど速度が速い。すなわち、回転軸121に近い点と回転軸121に遠い点で速度の差がある。
上記のような構造とすることで、ウエハ18における、回転軸121に近い点の供給量と回転軸121に遠い点の供給量を近づけることができ、ウエハ18面に対して、均一な処理(例えば吸着)が可能となる。
仮に、図15の比較例のような、ウエハ18における回転軸121に近い点、回転軸121に遠い点でガス供給量が同じである装置を考える。更には、基板処理として吸着処理を考える。
この場合、回転軸121から遠い点において均一に吸着するような速度に合わせて回転させることによって、ウエハ18面内に均一に吸着することが可能となる。回転軸121に近い点では、ウエハ18に対するガス供給時間が長くなったとしても、セルフリミット現象によって均一に吸着されるためである。ここで、セルフリミット現象とは、処理ガス雰囲気であっても、それ以上膜が成長しない状態を言う。
しかしながら、遠い点における速度で吸着する回転速度に合わせた場合、スループットが低くなるという問題がある。
本実施形態のような構造とすることで、よりスループットの高い処理が可能となる。
上底151と下底152の距離、すなわち台形の高さに相当する距離hは、ウエハ18の径に相当、もしくはウエハ18の径より大きくする。このような構造とすることで、回転トレー120上のウエハ18表面に、確実にガスを供給することが可能となる。
不活性ガス供給部は、第一及び第二のガス排気孔128a、128bの間に設けられたシャワー板134、ガス導入ポート136、ガス供給管202b、ガス流路を開閉するバルブ202c、ガス流量を調整する流量制御装置202d、ガス供給源202eを有する。
ガス供給管202bは、ガス導入ポート136に接続しており、このガス供給管202bの上流から順に、ガス供給源202e、流量制御装置202d、バルブ202cが設けられている。バルブ202cを開閉することで、ガス供給管202bから処理室101内にガスが供給され、又は供給停止される。
シャワー板134は、ガス導入ポート136から供給された不活性ガス(例えば窒素)を、処理室101内に均等に供給する。
このように、シャワー板134、ガス導入ポート136、ガス供給管202b、ガス流路を開閉するバルブ202c、ガス流量を調整する流量制御装置202d、ガス供給源202eにより、第三のガス供給部としての不活性ガス供給部が構成される。
第一のシャワーヘッド133、第二のシャワーヘッド137、シャワー板134は、図7(B)のように配置されている。
すなわち、第一のシャワーヘッド133、第二のシャワーヘッド137は、回転トレー120の回転軸121を中心として、水平方向に交互に配置されている(回転軸121の回転方向に対して交互に配置されている)。また、シャワー板134は、それぞれ各排気孔128a、128b内に間隙を設けるように配置されている。
回転駆動部119、ガス供給部、ガス排気部等は、制御部80に電気的に接続されている。制御部80は、これらの構成を制御する。
(2)基板処理工程
次に、上述の基板処理装置100により実施される本実施形態に係る半導体装置(デバイス)の製造工程としての一工程として、基板上に絶縁膜を成膜するシーケンス例について説明する。なお、以下の説明において、上述の半導体製造装置の各部の動作は、制御部80により制御される。
ここでは第一の元素をシリコン(Si)、第二の元素を窒素(N)とする。第一の元素を含む処理ガスとしてシリコン含有ガスであるジクロロシラン(DCS)ガス(第一のガス)を、第二の元素を含む処理ガスとして窒素含有ガスであるアンモニア(NH3)ガス(第二のガス)を用い、ウエハ18上に絶縁膜としてシリコン窒化膜(SiN膜)を形成する例について説明する。
(ウエハ搬入工程)
まず、入口53のゲート53Aを開け、図示しない搬送装置により処理室101内に複数のウエハ18(ここでは4枚)を搬入して、回転軸121を中心として回転トレー120上に載置する。そして、ゲート53Aを閉じる。
(圧力調整工程)
次に、第一及び第二の排気ポンプ107、108を作動させ、第一及び第二のAPCバルブ204、206の開度を調整し、処理室101内が所望の圧力(成膜圧力)になるように制御する。
また、ヒータ106に電力を投入し、ウエハ18の温度(成膜温度)を所望の温度(例えば350 ℃)に維持するように制御する。
また、加熱しつつ回転トレー120を1[回転/秒]で回転させ、更にシャワー板134から不活性ガス(ここでは窒素)を供給する。
(成膜工程)
回転トレー120が回転された状態で、第一のシャワーヘッド133から第一の処理ガスであるDCSを処理室101に供給する。
DCSガスの供給により、第一のシャワーヘッド133の下を通過するウエハ18表面の下地膜上に、第一の元素としてのシリコンを含む第一の層が形成される(化学吸着する)。すなわち、ウエハ18上(下地膜上)に1原子層未満から数原子層のシリコン含有層としてのシリコン層(Si層)が形成される。シリコン含有層は、DCSの化学吸着(表面吸着)層であってもよい。なお、シリコンは、それ単独で固体となる元素である。
ここでシリコンを含む層とは、シリコンにより構成される連続的な層の他、不連続な層やこれらが重なってできる薄膜をも含む。なお、シリコンにより構成される連続的な層を薄膜という場合もある。
また、DCSの化学吸着層とは、DCS分子の連続的な化学吸着層の他、不連続な化学吸着層をも含む。
なお、ウエハ18上に形成されるシリコン含有層の厚さが数原子層を超えると、窒化工程が後続する場合に窒化の作用がシリコン含有層の全体に届かなくなる場合がある。また、ウエハ18上に形成可能なシリコン含有層の最小値は1原子層未満である。
よって、シリコン含有層の厚さは1原子層未満から数原子層とするのが好ましい。
なお、ウエハ温度及び処理室101内の圧力等の条件を調整することにより、DCSガスが自己分解する条件下では、ウエハ18上にシリコンが堆積することでシリコン層が形成され、DCSガスが自己分解しない条件下では、2ウエハ18上にDCSが化学吸着することでDCSの化学吸着層が形成されるよう、形成される層を調整することができる。
また、第二のシャワーヘッド137から第二の処理ガスであるアンモニアを、リモートプラズマ機構212fによって活性化された状態(活性種)で供給する。アンモニアガスは、流量制御装置212dによって流量調整される。
NH3ガスは反応温度が高く、上記のようなウエハ温度、処理室内圧力では反応しづらいので、プラズマ励起することにより活性種としてから流すようにしている。このためウエハ18の温度は上述のように設定した低い温度範囲のままでよい。そのため、ヒータ106の温度を変化させる必要がない。
なお、NH3ガスを供給する際にプラズマ励起せず、ヒータ106の温度を適正に調整してウエハ18の温度を例えば600 ℃以上の温度とし、さらに第二のAPCバルブ206を適正に調整して処理室101内の圧力を例えば50 〜 3000 Paの範囲内の圧力とすることで、NH3ガスをノンプラズマで熱的に活性化することも可能である。
なお、NH3ガスは熱で活性化させて供給すると、ソフトな反応を生じさせることができるが高温にする必要がある。
このため、高温処理に弱いウエハを処理する場合は熱による活性化は適さない。ここで、高温処理に弱いウエハとは、例えば、アルミニウム等を含む配線を有するウエハである。このようなウエハの場合、高温処理することで、配線が酸化、あるいは、変形するおそれがある。
また、第一のガスによる処理温度(ウエハ温度)も上昇してしまうため、第一のガスによる処理が所望の温度範囲を超えてしまうことが考えられる。
そのため、熱によって活性化したガスを使用する場合は、高温処理でも可能なウエハであって、更には第一のガス処理が高温でも可能な処理であることが望ましい。
一方、プラズマ生成部によりガスを活性化させた場合、次の利点がある。
すなわち、第一のガスと第二のガスで処理するウエハ温度が異なる場合、いずれか低いウエハ温度に合わせてヒータ106を制御すればよい。
そのため、高温処理に弱いウエハにおいても処理が可能となる。
第一のシャワーヘッド133の下から第二のシャワーヘッド137の下へ移動したウエハ18上には、第一の層としてのシリコン含有層が形成されており、活性種となったNH3ガスは、シリコン含有層の一部と反応する。
これによりシリコン含有層は窒化されて、シリコン(第一の元素)及び窒素(第二の元素)を含む第二の層、すなわち、シリコン窒化層(SiN層)へと改質される。
このように、第一のシャワーヘッド133及び第二のシャワーヘッド137の下をウエハ18が通過し、シリコン窒化膜が形成される処理をシリコン窒化膜形成処理とする。
回転トレー120と共にウエハ18が回転することで、ウエハ18は第一のシャワーヘッド133、第二のシャワーヘッド137、続いてもう一方の第一のシャワーヘッド133、第二のシャワーヘッド137の下を通過する。
このようなウエハ18上へのシリコン窒化膜形成処理を繰り返すことで、所望の膜厚のシリコン窒化膜を形成する。
続いて、図10及び図11を用いて、供給されるガスの流れについて説明する。
第一のシャワーヘッド133から供給されたDCSガスは、ウエハ18上に晒された後、シャワー板134から供給される不活性ガスと共に、第一の排気孔128aから排気される。
また、第二のシャワーヘッド137から供給されたNH3ガスは、ウエハ18上に晒された後、シャワー板134から供給される不活性ガスと共に、第二の排気孔128bから排気される。
第一の排気管104、第一の排気孔128aによって排気されるDCSガスと、第二の排気管105、第二の排気孔128bによって排気されるNH3との間には、シャワー板134から供給される不活性ガスが存在するので、DCSガスとNH3ガスとの混合による気相反応を防ぐことが可能となる。
所定時間が経過して所望の膜厚のシリコン窒化膜が形成されたら、バルブ200c等を閉め、DCS及びNH3ガスの供給を停止する。
(真空引き工程)
ガス導入ポート136のバルブ202cを引き続き開として、流量制御装置202dにより流量調整されたキャリアガス(不活性ガス)である窒素(N2)を処理室101内に供給する。
このとき、第一の排気管104及び第二の排気管105それぞれの第一のAPCバルブ204及び第二のAPCバルブ206は開状態を維持し、第一の排気ポンプ107、第二の排気ポンプ108により処理室101内が20 Pa以下となるよう、残ガスを排気する。
これにより、処理室101を窒素(N2)に置換する。
(ウエハ搬出工程)
第一の排気管104及び第二の排気管105の第一のAPCバルブ204及び第二のAPCバルブ206は開状態を維持し、出口側予備室58と同程度の圧力(例えば、大気圧)に復帰させる。そして、上述の工程の逆工程により処理済みのウエハ18を処理室101内から搬出する。
(3)本実施形態に係る効果
本実施形態によれば、第一の排気部と第二の排気部との間に設けられた不活性ガスを供給する第三のガス供給部と、ガス供給孔及びガス排気孔の内少なくとも一組のガス供給孔及びガス排気孔とは、前記基板支持部の基板載置面より上に設けられているので、第一のガス供給部から供給される第一のガスと、第二の供給部から供給される第二のガスとの混合を防ぐことができる。
<第五の実施形態>
図12、図13は本発明の第五の実施形態を示している。
本実施形態が第四の実施形態と異なる点は、プラズマ源138によってNH3ガスをプラズマ状態とする点である。
具体的には、第四の実施形態に係る基板処理装置100では、NH3ガスをリモートプラズマ機構212fにより活性化したが、本実施形態に係る基板処理装置100では、処理室101に設けたプラズマ源138によってNH3ガスをプラズマ状態とする点で異なる。
(1)基板処理装置100の構成
本実施形態に係る基板処理装置100について、図12、13を用いて説明する。
なお、第四の実施形態と同様の番号は、本実施形態においても同様の機能を有する構成のため、説明を省略する。
図12(A)は、本実施形態に係る基板処理装置100の側面断面図である。図12(B)は、図12(A)のc−c'矢視図である。また、図12(A)は、図12(B)のd−d'矢視図である。
図13は、プラズマ源138の拡大図である。
(プラズマ生成部)
本実施形態においては、第二のガス供給部として、第二のシャワーヘッド137に替わりプラズマ源138を設けている。プラズマ源138では、導電性材料で構成したくし型電極113を石英板111と石英ブロック112で挟むよう構成する。
くし型電極113は、くし形に分割された2つの電極をかみ合わせて一体としたもので、両電極に180度位相のずれた高周波電力を印加する構造となっている。
くし型電極113の両端には電力供給端子130の一方がそれぞれ接続され、電力供給ポート130の他方は絶縁トランス114、整合器118を介して高周波電源117が接続される。
第二の処理ガスであるNH3ガスは、ガス導入ポート131から石英板111と石英ブロック112の間に供給される。供給されたNH3ガスは、くし型電極113によってプラズマ状態とされ、石英板111に設けた複数の小穴142から処理室101へ供給される。
ガス導入ポート131にはガス供給管212bが接続しており、このガス供給管212bには上流から順に、ガス供給源212e、流量制御装置212d、バルブ212cが設けられている。バルブ212cを開閉することで、ガス供給管212bから処理室101内にガスが供給され、又は供給停止される。
くし型電極113及び石英ブロック112の周囲には、第二の排気管105に通気された電極カバー143が設けられている。電極カバー143と石英ブロック112との間には空間が設けられ、第二の排気孔128bとして活用される。
電極カバー143はつば127により、反応室壁103に気密を保持して取り付けられる。
電力供給ポート130、ガス導入ポート131、及び電極カバー143の接続箇所は、シールリング132に設けた図示しないOリングによって気密を確保している。更に、石英ブロック112を保持するための絶縁ブロック122は、電極カバー143に気密を保持して取り付けられる。
(2)基板処理工程
次に、上述の基板処理装置100により実施される本実施形態に係る半導体装置(デバイス)の製造工程としての一工程として、ウエハ18上に絶縁膜を成膜するシーケンス例について説明する。
なお、以下の説明において、上述の基板処理装置100の各部の動作は、制御部80により制御される。
ウエハ搬入工程、圧力調整工程については、第四の実施形態と同様なので説明を省略する。
(成膜工程)
回転トレー120が回転された状態で、くし型電極113に高周波電力を供給する。
また、回転トレー120が回転された状態で、第一のシャワーヘッド133から第一の処理ガスであるDCSガスを処理室101に供給する。
また、ガス導入ポート131から第二の処理ガスであるアンモニア(NH3)を、石英板111と石英ブロック112の間に供給する。アンモニアガスは、流量制御装置212dによって流量調整される。
供給されたアンモニアガスは、くし型電極113に印加された高周波電力によってプラズマ状態とされる。アンモニアプラズマは石英板111の表面(処理室101側)に生成される。
NH3ガスは反応温度が高く、上記のようなウエハ温度、処理室内圧力では反応しづらいので、本実施形態においては、プラズマ励起することにより、アンモニアガスの活性種を生成すると共にアンモニアイオンを生成し、その作用を利用している。
このため、ウエハ18の温度は上述のように設定した低い温度範囲のままでよい。プラズマ状態で改質する場合は、第四の実施形態のリモートプラズマ機構で生成した活性種に比べ、DCSとの反応性を高くすることができる。一方、反応性が高くなることで、よりDCSとNH3ガスの混合を抑制する必要がある。
プラズマ状態となったNH3ガスは、第一のシャワーヘッド133の下からプラズマ源138の下へ移動したウエハ18上に形成された第一の層としてのシリコン含有層の一部と反応する。
これによりシリコン含有層は窒化されて、シリコン(第一の元素)及び窒素(第二の元素)を含む第二の層、すなわち、シリコン窒化層(SiN層)へと改質される。
このように、第一のシャワーヘッド133及びプラズマ源138の下をウエハ18が通過し、シリコン窒化膜が形成される処理をシリコン窒化膜形成処理とする。
回転トレー120と共にウエハ18が回転することで、ウエハ18は第一のシャワーヘッド133、プラズマ源138、続いてもう一方の第一のシャワーヘッド133、プラズマ源138の下を通過する。
このようなウエハ18上へのシリコン窒化膜形成処理を繰り返すことで、所望の膜厚のシリコン窒化膜を形成する。
続いて、供給されるガスの流れについて説明する。
第一のシャワーヘッド133から供給されたDCSガスは、ウエハ18上に晒された後、シャワー板134から供給される不活性ガスと共に、第一の排気孔128aから排気される。
また、プラズマ源138から供給されたアンモニアプラズマは、ウエハ18上に晒された後、シャワー板134から供給される不活性ガスと共に、第二の排気孔128bから排気される。
第一の排気管104、第一の排気孔128aから排気されるDCSガスと、第二の排気管105、第二の排気孔128bから排気されるNH3との間には、シャワー板134から供給される不活性ガスが存在するので、DCSガスとNH3ガスとの混合による気相反応を防ぐことが可能となる。
所定時間が経過して所望の膜厚のシリコン窒化膜が形成されたら、バルブ200c、212cを閉め、DCSガス及びNH3ガスの供給を停止する。
なお、本発明における第五の実施形態では、プラズマ源138としてくし型電極113を例に説明したが、それに限るものではなく、ICP(Inductively Coupled Plasma)源を用いても良い。
なお、第四、第五の実施形態においては、シャワーヘッド(第一のシャワーヘッド133及び第二のシャワーヘッド137)のガス供給面を台形状として説明したが、これに限らず三角形状としてもよい。すなわち、回転軸121から回転トレー120の端部にいくほど、言い換えれば、回転軸121から離れるほど、ガス供給量を多くするような構造であればよい。
また、第四、第五の実施形態においては、基板保持具17でウエハ18を保持したが、これに限らず、基板保持具17の替わりに複数のピンによりウエハ18を保持するようにしてもよい。
<第六の実施形態>
図14は、本発明の第六の実施形態を示している。
本実施形態が第四の実施形態と異なる点は、プラズマ生成装置20が4台設けられている点で異なる。
本実施形態において、基板処理装置100には、移動装置としての移動台55が水平に敷設されている。すなわち、移動台55は回転するトレー56を備えており、各トレー56の回転によって移動(搬送)対象物としてのウエハ18を保持した支持部材としての基板保持具17を公転させるように構成されている。
トレー56は直径がウエハ18の外径の2倍よりも大きく、4枚のウエハ18を等しいピッチすなわち90度の位相差をもって並べて搬送し得る大きさに設定されている。
図14に示されているように、筐体51の天井壁には一対の電極を有するプラズマ生成装置20が4台、回転するトレー56の回転方向に等しいピッチすなわち90度の位相差をもってそれぞれ配置されている。
なお、プラズマ生成装置20は誘導結合型装置20B(図5参照)に置き換えることができる。
本実施形態においても、他の実施形態と同様にスループットを向上させることができる。
また、本実施形態においても、基板保持具17を移動台55によって連続的に移動させても、ウエハ18に対してプラズマ処理を均一に施すことができる。
なお、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々に変更が可能であることはいうまでもない。
例えば、プラズマ生成装置は、くし型電極対及び誘導結合型装置によって構成するに限らず、MMT装置等によって構成してもよい。
プラズマ生成装置は4台設けるに限らず、1〜3または5台以上設けてもよい。
前記実施形態においては、半導体装置の製造方法においてウエハ18にプラズマ処理を施す場合について説明したが、本発明はこれに限らず、LCDの製造方法においてガラスパネルにプラズマ処理を施す場合等の基板処理装置全般に適用することができる。
<比較例の説明>
続いて比較例について、説明する。
(1)比較例における基板処理装置の構成
比較例の基板処理装置300について、図15〜17を用いて説明する。なお、他の実施形態と同様の番号は、本実施形態においても同様の機能を有する構成のため、説明を省略する。
図15(A)は、本実施形態に係る基板処理装置300の側面断面図である。図15(B)は、図15(A)のg−g'矢視図である。
図16は、ウエハ18を載置した場合の説明図である。
図17は、比較例における基板処理装置300の排気系統を説明する図である。
図15は、回転トレー120の上に載置した複数(例では4枚)のウエハ18を回転しながらウエハ18の表面に薄膜を成膜する装置の断面を示したものである。
g−g'矢視図は回転トレー120から処理室101の上側の構造を見た図で、h−h'矢視図は処理室101の中央部の断面図で回転トレー120及びヒータ106なども含めて示してある。
処理室101は、反応室壁103で気密に構成され、処理室101の下部には、回転トレー120上の被処理ウエハ18を加熱するためのヒータ106が設けてある。
ヒータ106の上部には、回転トレー120が回転可能に設けてあり、回転駆動部119が回転トレー120と連結された回転軸121を回転する構造となっている。
図16に示すように、回転トレー120の上には、複数の被処理ウエハ18を載置できるようになっている。
処理室101の上部には、反応性ガスを供給するためのシャワーヘッド123、124が設けてあり、それぞれ別のガスを複数のガス吹き出し口126からシャワー状に供給することが可能で、また不活性ガスを供給するための一対のシャワーヘッド116が設けてある。
さらにそれぞれのシャワーヘッド123、124を仕切るように仕切りブロック125が設けて有り、仕切りブロック125に設けたガス吹き出し口126から不活性ガスを供給して、反応性ガスが処理室101の回転トレー120上で混合するのを抑制する構造となっている。
各シャワーヘッド123、124にはガス供給ポート110が設けてあり、必要なガスをシャワーヘッド123、124を経由して処理室101内に供給する構造となっている。
図17は、図15のg−g'矢視図と排気系を模式的に示したものである。
反応室壁103の側面には排気管115が設けてあり、処理室101内のガスを排気装置141(図17参照)で排気する構造となっている。
ガス導入ポート110にはガス供給管222bが接続しており、このガス供給管222bには上流から順に、ガス供給源222e、流量制御装置222d、バルブ222cが設けられている。バルブ222cを開閉することで、ガス供給管222bから処理室101内にガスが供給され、又は供給停止される。
(2)基板処理工程
次に比較例の装置による基板処理のシーケンス例を説明する。
ここでは一例としてジクロロシラン(DCS)とリモートプラズマで励起したアンモニア(NH3)の活性種を交互に供給して窒化膜を一層ずつ形成するALD(Atomic Layer Deposition)法について説明する。
処理室101内を排気装置141で所定の圧力まで排気する。
ウエハ18を図示しない搬送ロボットで回転トレー120上に載置する。またヒータ106に電力を投入して回転トレー120と共にウエハ18を350 ℃に加熱する。
ウエハ18を4枚載置した回転トレー120を1[回転/秒]で回転させると共に仕切りブロック125から窒素を供給する。
この状態で二つのシャワーヘッド116からは窒素を供給し、別のシャワーヘッド123からDCSガスを供給し、もう一つのシャワーヘッド124からはリモートプラズマで励起したNH3ガスを供給する。
回転トレー120上の一枚のウエハ18に着目すると、回転トレー120の回転に伴って順次ジクロロシラン、窒素、アンモニアの活性種、窒素の供給を受ける。
最初にジクロロシランの供給によりウエハ18にジクロロシラン分子が吸着し、その後窒素の供給で余分なジクロロシランが除去される。
この状態でアンモニアの活性種が供給され化学反応により窒化膜が一層分形成され、次のシャワーヘッドで余分な反応性生物はパージされる。回転トレー120の回転により上記一連のガス供給が繰り返され、窒化膜が一層ずつ形成されて行く。
ジクロロシランとアンモニアの活性種は、仕切りブロック125から供給される窒素によって回転トレー120上で混合することが抑制されるため気相反応せず薄膜の堆積は一層ずつ進行する。
しかし、処理室101に供給されたジクロロシランとアンモニアは反応室壁103の側面付近出混合し、排気管115を経由して排気装置141で排気される。
処理室101に供給されたジクロロシランとアンモニアは混合すると気相反応し反応生成物が生じる。本比較例の構造では仕切りブロック125から供給される窒素によってウエハ18の周辺でのジクロロシランとアンモニアの混合が抑制されているが、反応室壁103付近で混合してから排気管115で排気される。
このため、処理室101内部の反応室壁103の特に排気管115の近くでジクロロシランとアンモニアが気相反応して塩化アンモニウム等の反応副生成物が生成され、反応室壁や排気経路に付着する。この塩化アンモニウムはやがて異物発生の原因となるため、これを除去する為に頻繁にメンテナンスが必要になる。
また、排気装置141の中でも混合したガスは塩化アンモニウム等の副生成物を生じ、ポンプの性能劣化の原因となる。
排気管115及び排気装置141にも反応性生物が付着するためこれを除去したり、あるいは排気装置141をオーバーホールしたりする為に、頻繁に装置を停止する必要が有る為、稼働率が低下しまたメンテナンス費用もかかる。
[本発明の好ましい態様]
以下に、本発明の好ましい態様について付記する。
本発明の一態様によれば、処理室内に設けられ、基板を支持する基板支持部と、前記基板支持部を移動する基板支持部移動機構と、前記処理室にガスを供給するガス供給部と、前記処理室のガスを排気する排気部と、前記基板支持部と対向するように設けられたプラズマ生成部と、を有する基板処理装置が提供される。
本発明の他の態様によれば、基板載置面に基板を載置し基板を支持する基板支持部と、前記基板支持部を移動する基板支持部移動機構と、第一のガスを第一のガス供給孔から供給する第一のガス供給部と、前記第一のガスを第一のガス排気孔から排気する第一の排気部と、第二のガスを第二のガス供給孔から供給する第二のガス供給部と、前記第二のガスを第二のガス排気孔から排気する第二の排気部と、前記第一の排気部と前記第二の排気部との間に設けられ、不活性ガスを供給する第三のガス供給部と、を有し、前記第一のガス供給孔と前記第一のガス排気孔及び前記第二のガス供給孔と前記第二のガス排気孔のうち、少なくともいずれかの一組は基板載置面よりも重力方向に対して上方に設けられている基板処理装置が提供される。
好ましくは、前記第一のガス供給孔、前記第一のガス排気孔、前記第二のガス供給孔、及び前記第二のガス排気孔は、前記基板載置面と対向するように設けられている。
好ましくは、第一の排気経路を経由して前記第一のガス排気部に接続された第一のポンプと、第二の排気経路を経由して前記第二のガス排気部に接続された第二のポンプと、をさらに有する。
好ましくは、前記基板支持部は、回転軸を中心に回転し、前記第一のガス供給部及び前記第二のガス供給部とは、前記回転軸の回転方向に対して交互に配置されるとともに、前記回転軸から離れるほどガス供給量が多くなるように構成されている。
本発明の他の態様によれば、処理室内に設けられ、基板を支持する複数の基板支持部と、前記基板支持部を移動する基板支持部移動機構と、前記処理室にガスを供給するガス供給部と、前記処理室のガスを排気する排気部と、前記基板支持部と対向するように設けられたプラズマ生成部と、を有する基板処理装置を用いた半導体装置の製造方法であって、前記ガス供給部からガスを供給しつつ前記排気部からガスを排気するガス供給/排気工程と、前記ガス供給/排気工程の間、複数の前記基板支持部を移動する移動工程と、を有する半導体装置の製造方法が提供される。
本発明の他の態様によれば、基板を処理する処理室と、前記基板を支持する支持部材と、前記処理室内に敷設され、複数の前記支持部材を間隔をあけて並べて移動させる移動装置と、該移動装置と対向する位置に設けられたプラズマ生成装置と、を備えた基板処理装置が提供される。
好ましくは、前記プラズマ生成装置は、前記支持部材が移動する方向に、間隔をあけて複数設けられている。
本発明の他の態様によれば、基板を処理する処理室内に設けられ、前記基板を支持する支持部材を複数、同心円状で移動させる移動装置と、前記移動装置と対向する位置に設けられたプラズマ生成装置と、を備えた基板処理装置が提供される。
10 基板処理装置
11 筐体
12 処理室
13 入口
14 出口
15 コンベア
16 ローラ
17 基板保持具
18 ウエハ
19 ガス排気部
20 プラズマ生成装置
23 型電極対
30 プラズマ
31 ガス供給部
32 ヒータ
33 入口側予備室
34 出口側予備室
48 ガス供給部
51 筐体
55 移動台
56 トレー
57 入口側予備室
58 出口側予備室
80 制御部
100 基板処理装置
101 処理室
103 反応室壁
104 第一の排気管
105 第二の排気管
107 第一の排気ポンプ
108 第二の排気ポンプ
119 回転駆動部
120 回転トレー
121 回転軸
133 第一のシャワーヘッド
134 シャワー板
137 第二のシャワーヘッド
138 プラズマ源
141 排気装置

Claims (5)

  1. 処理室内に設けられ、基板載置面に基板を支持する基板支持部と、
    前記基板支持部を移動させる基板支持部移動機構と、
    一のガスを第一のガス供給孔から供給する第一のガス供給部と、
    二のガスを第二のガス供給孔から供給する第二のガス供給部と、
    前記処理室に不活性ガスを第三のガス供給孔から供給する第三のガス供給部と、
    前記第一のガスを第一のガス排気孔から排気する第一の排気部と、
    前記第二のガスを第二のガス排気孔から排気する第二の排気部と、
    前記基板支持部移動機構、前記第一のガス供給部、前記第二のガス供給部、前記第三のガス供給部及び前記排気部を少なくとも制御して、前記基板を処理する制御部と、
    を有し、
    前記第一のガス供給孔はシャワーヘッドにより構成され、
    前記第二のガス供給部には、前記第二のガスを活性化するプラズマ源を構成する電極が設けられ、
    前記第一のガス供給孔、前記第一のガス排気孔、前記第二のガス供給孔、及び前記第二のガス排気孔は、前記基板載置面よりも重力方向に対して上方であって、前記基板載置面と対向する位置に設けられ、
    前記第一のガス排気孔は、前記第一のガス供給孔を構成するシャワーヘッドの外周に沿って全周を囲むように設けられ、
    前記第二のガス排気孔は、前記第二のガス供給部の前記第二のガス供給孔及び前記電極の全周を囲み、且つ、前記電極の外周に沿うように設けられ、
    前記第三のガス供給孔は、前記第一のガス排気孔及び前記第二のガス排気孔の間の領域に設けられ、
    前記制御部は、前記基板支持部に載置された前記基板を移動させながら、前記基板に対して、前記第一のガスによる吸着と、前記不活性ガスによるパージと、前記プラズマ源により活性化された前記第二のガスによる改質を、前記第一のガスと前記第二のガスとの混合を防ぎつつ実行する基板処理装置。
  2. 前記第二のガス供給部には、リモートプラズマ機構が更に設けられている請求項1記載の基板処理装置。
  3. 前記プラズマ源は、ICPプラズマ源である請求項1記載の基板処理装置。
  4. 前記基板支持部は、回転軸を中心に回転し、
    前記第一のガス供給部及び前記第二のガス供給部とは、前記回転軸の回転方向に対して交互に配置されるとともに、前記回転軸から離れるほどガス供給量が多くなるように構成されている請求項1記載の基板処理装置。
  5. 処理室内に設けられ、基板を支持する基板支持部と、
    前記基板支持部を移動させる基板支持部移動機構と、
    一のガスを第一のガス供給孔から供給する第一のガス供給部と、
    二のガスを第二のガス供給孔から供給する第二のガス供給部と、
    前記処理室に不活性ガスを第三のガス供給孔から供給する第三のガス供給部と、
    前記第一のガスを第一のガス排気孔から排気する第一の排気部と、
    前記第二のガスを第二のガス排気孔から排気する第二の排気部と、
    前記基板支持部移動機構、前記第一のガス供給部、前記第二のガス供給部、前記第三のガス供給部及び前記排気部を少なくとも制御して、前記基板を処理する制御部と、を有し、
    前記第一のガス供給孔はシャワーヘッドにより構成され、
    前記第二のガス供給部には、前記第二のガスを活性化するプラズマ源を構成する電極が設けられ、
    前記第一のガス供給孔、前記第一のガス排気孔、前記第二のガス供給孔、及び前記第二のガス排気孔は、前記基板載置面よりも重力方向に対して上方であって、前記基板載置面と対向する位置に設けられ、
    前記第一のガス排気孔は、前記第一のガス供給孔を構成するシャワーヘッドの外周に沿って全周を囲むように設けられ、
    前記第二のガス排気孔は、前記第二のガス供給部の前記第二のガス供給孔及び前記電極の全周を囲み、且つ、前記電極の外周に沿うように設けられ、
    前記第三のガス供給孔は、前記第一のガス排気孔及び前記第二のガス排気孔の間の領域に設けられる基板処理装置を用いた半導体装置の製造方法であって、
    各ガス供給部から各ガスを供給しつつ前記排気部からガスを排気するガス供給/排気工程と、
    前記ガス供給/排気工程の間、前記基板支持部を移動させる移動工程と、を有し、
    前記移動工程においては、前記基板支持部に載置された前記基板を移動させながら、前記基板に対して、前記第一のガスによる吸着と、前記不活性ガスによるパージと、活性化された前記第二のガスによる改質が、前記第一のガスと前記第二のガスとの混合を防ぎつつ実行される半導体装置の製造方法。
JP2011000515A 2010-02-26 2011-01-05 基板処理装置及び半導体装置の製造方法 Expired - Fee Related JP5812606B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2011000515A JP5812606B2 (ja) 2010-02-26 2011-01-05 基板処理装置及び半導体装置の製造方法
US13/035,112 US20110212625A1 (en) 2010-02-26 2011-02-25 Substrate processing apparatus and method of manufacturing semiconductor device
KR1020110017384A KR101236108B1 (ko) 2010-02-26 2011-02-25 기판 처리 장치 및 반도체 장치의 제조 방법

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2010041576 2010-02-26
JP2010041576 2010-02-26
JP2010067880 2010-03-24
JP2010067880 2010-03-24
JP2011000515A JP5812606B2 (ja) 2010-02-26 2011-01-05 基板処理装置及び半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2011222960A JP2011222960A (ja) 2011-11-04
JP2011222960A5 JP2011222960A5 (ja) 2014-02-13
JP5812606B2 true JP5812606B2 (ja) 2015-11-17

Family

ID=44505523

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011000515A Expired - Fee Related JP5812606B2 (ja) 2010-02-26 2011-01-05 基板処理装置及び半導体装置の製造方法

Country Status (3)

Country Link
US (1) US20110212625A1 (ja)
JP (1) JP5812606B2 (ja)
KR (1) KR101236108B1 (ja)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101246170B1 (ko) * 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
JP5882777B2 (ja) 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
KR101394184B1 (ko) * 2012-06-20 2014-05-14 주식회사 테스 박막증착장치
JP5947138B2 (ja) 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
KR101661076B1 (ko) 2012-10-11 2016-09-28 도쿄엘렉트론가부시키가이샤 성막 장치
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI624560B (zh) * 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
KR102124042B1 (ko) 2013-02-18 2020-06-18 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법
KR101590823B1 (ko) 2013-02-27 2016-02-02 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법 및 가스 급배 방법
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
KR101488760B1 (ko) 2013-07-02 2015-02-04 주식회사 테스 전극어셈블리 및 이를 구비한 박막증착장치
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP2015074792A (ja) * 2013-10-07 2015-04-20 株式会社Screenホールディングス プラズマcvd装置
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
JP6383674B2 (ja) * 2014-02-19 2018-08-29 東京エレクトロン株式会社 基板処理装置
JP5800952B1 (ja) * 2014-04-24 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP6225842B2 (ja) * 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
JP6298383B2 (ja) 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI696724B (zh) * 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
JP5800972B1 (ja) 2014-09-10 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、ガス供給ユニット、カートリッジヘッド及びプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
JP6062413B2 (ja) 2014-11-28 2017-01-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP2016148080A (ja) * 2015-02-12 2016-08-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP5977853B1 (ja) 2015-03-20 2016-08-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6412466B2 (ja) * 2015-06-02 2018-10-24 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI677009B (zh) * 2016-01-24 2019-11-11 美商應用材料股份有限公司 雙端饋電可調諧電漿源
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
WO2018086697A1 (en) * 2016-11-10 2018-05-17 Applied Materials, Inc. Vacuum deposition apparatus and method of depositing a layer on a substrate
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11091834B2 (en) * 2018-03-23 2021-08-17 Nova Engineering Films, Inc. Film deposition apparatus with gas entraining openings
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN114258436A (zh) * 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7274387B2 (ja) * 2019-09-24 2023-05-16 東京エレクトロン株式会社 成膜装置及び成膜方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220069148A (ko) * 2020-11-19 2022-05-27 삼성전자주식회사 반도체 소자의 제조 장치 및 반도체 소자의 제조 방법
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0245919A (ja) * 1988-08-06 1990-02-15 Mitsubishi Electric Corp 熱処理装置
JPH0271510A (ja) * 1988-09-07 1990-03-12 Oki Electric Ind Co Ltd 半導体気相成長装置
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
JP2526784B2 (ja) * 1993-06-03 1996-08-21 日本電気株式会社 金属複合酸化物薄膜の製造方法
JP2975885B2 (ja) * 1996-02-01 1999-11-10 キヤノン販売株式会社 ガス分散器及びプラズマ処理装置
JP4340348B2 (ja) * 1998-01-22 2009-10-07 株式会社日立国際電気 プラズマ生成装置
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US20090056876A1 (en) * 2006-01-30 2009-03-05 Noritsu Koko Co., Ltd. Work Processing System and Plasma Generating Apparatus
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
CN101755073B (zh) * 2007-07-30 2011-10-12 Ips股份有限公司 在晶圆上沉积薄膜的反应器
KR100979189B1 (ko) * 2007-12-20 2010-08-31 다이나믹솔라디자인 주식회사 연속 기판 처리 시스템
KR100960958B1 (ko) * 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
JP2009194048A (ja) 2008-02-13 2009-08-27 Sekisui Chem Co Ltd プラズマ処理装置
JP2009277845A (ja) * 2008-05-14 2009-11-26 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
US20110212625A1 (en) 2011-09-01
KR101236108B1 (ko) 2013-02-21
JP2011222960A (ja) 2011-11-04
KR20110098680A (ko) 2011-09-01

Similar Documents

Publication Publication Date Title
JP5812606B2 (ja) 基板処理装置及び半導体装置の製造方法
KR101122964B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치
KR101324367B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR101885411B1 (ko) 기판 처리 방법 및 기판 처리 장치
US7094708B2 (en) Method of CVD for forming silicon nitride film on substrate
KR101592583B1 (ko) 성막 장치, 성막 장치의 클리닝 방법 및 컴퓨터 판독 가능 기억 매체
KR100684910B1 (ko) 플라즈마 처리 장치 및 그의 클리닝 방법
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
US10224185B2 (en) Substrate processing apparatus
JP2011151343A (ja) プラズマ処理装置
KR20080001646A (ko) 성막 장치 및 그 사용 방법
JP6095172B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
KR20060103128A (ko) 성막 장치, 성막 방법 및 기억 매체
KR101745075B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6362488B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2009088315A (ja) 基板処理装置
JP2012222024A (ja) 基板処理装置及び半導体装置の製造方法
JP2008159943A (ja) 成膜装置および成膜方法
JP2014192484A (ja) 半導体装置の製造方法及び基板処理装置
JP2004039795A (ja) 基板処理装置
TW202236359A (zh) 用於沉積殘留物控制的系統和方法
JP2010123752A (ja) 基板処理装置
JP2010129983A (ja) 成膜装置
JP6224263B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2015185757A (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131225

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131225

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150605

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150911

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150915

R150 Certificate of patent or registration of utility model

Ref document number: 5812606

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees