TWI677009B - 雙端饋電可調諧電漿源 - Google Patents

雙端饋電可調諧電漿源 Download PDF

Info

Publication number
TWI677009B
TWI677009B TW106102343A TW106102343A TWI677009B TW I677009 B TWI677009 B TW I677009B TW 106102343 A TW106102343 A TW 106102343A TW 106102343 A TW106102343 A TW 106102343A TW I677009 B TWI677009 B TW I677009B
Authority
TW
Taiwan
Prior art keywords
feed
plasma source
peripheral end
source assembly
thermal electrode
Prior art date
Application number
TW106102343A
Other languages
English (en)
Other versions
TW201737295A (zh
Inventor
愛德華P 韓蒙得四世
Edward P. Hammond Iv
田中努
Tsutomu Tanaka
安納薩K 沙布藍尼
Anantha K. Subramani
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201737295A publication Critical patent/TW201737295A/zh
Application granted granted Critical
Publication of TWI677009B publication Critical patent/TWI677009B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/4697Generating plasma using glow discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2245/00Applications of plasma devices
    • H05H2245/40Surface treatments

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

用於與處理腔室一起使用的電漿源組件包括連接到電極的內邊緣的內RF饋電和連接到電極的外邊緣的外RF饋電。電容連接在電極的內邊緣和電接地之間,以調變跨電極之長度的電壓。

Description

雙端饋電可調諧電漿源
本揭露書的實施例一般涉及一種用於處理基板的設備。更具體地,本揭露書的實施例涉及用於與類似批量處理器的處理腔室一起使用的模組化電容耦合電漿源。
半導體裝置形成通常在包含多個腔室的基板處理平台中進行。在一些情況下,多腔室處理平台或群集工具的目的是在受控環境中在基板上順序地執行兩或更多個製程。然而,在其他情況下,多腔室處理平台可僅對基板執行單一處理步驟;額外的腔室意欲最大化藉由平台而處理之基板的速率。在後一種情況下,在基板上進行的製程通常是批量製程,其中相對大量的基板(如25或50個)在給定的腔室中同時地處理。批量處理對於以經濟可行的方式(諸如對於原子層沉積(ALD)製程和一些化學氣相沉積(CVD)製程)在單獨的基板上執行太耗時的製程是特別有益的。
一些ALD系統(特別是具有旋轉基板之壓板的空間ALD系統)受益於模組化的電漿源,亦即,可容易地插入系統中的源。電漿源由其中產生電漿的容積及將工件暴露於帶電粒子和活性化學自由基物種之通量的通路所組成。
一些處理平台使用保持多個晶圓的旋轉轉盤以沉積薄膜。晶圓之上方的容積可被劃分成藉由氣幕而彼此隔離的區域。每一部分可施加單獨的氣體以黏著到晶圓表面並生長膜。由於旋轉,可調節在每一部分內的氣流,使得在轉盤上不同半徑處的晶圓區域接收類似的處理。電漿源意在以相同的方式執行;然而,現存的電漿源設計在轉盤的內徑處比在外徑處提供不同的處理。
因此,在本領域中存在有在批量處理腔室中在晶圓的內徑和外徑之間提供增加的均勻性的電漿源之需求。
本揭露書的一或多個實施例涉及電漿源組件,電漿源組件包含殼體,殼體具有氣體入口和限定流動路徑的前面。氣體入口允許氣體流沿著流動路徑移動以通過殼體並離開在前面中的開口。RF熱電極在殼體內。RF熱電極具有內周端和外周端。外RF饋電在外周端附近連接到RF熱電極。內RF饋電在內周端附近連接到RF熱電極,且在內RF饋電和電接地之間具有電容。
本揭露書的額外的實施例涉及電漿源組件,電漿源組件包含楔形殼體,楔形殼體具有氣體入口和限定流動路徑的前面。氣體入口允許氣體流沿著流動路徑移動以通過殼體並離開在前面中的開口。楔形殼體與在外周邊緣處相比,在內周邊緣處具有較窄的寬度。RF熱電極在殼體內。RF熱電極具有內周端和外周端。同軸RF饋電具有藉由絕緣體而分開的內導體和外導體。外RF饋電連接到內導體和外導體之一者並連接到外周端附近的RF熱電極。內RF饋電連接到內導體和外導體之另一者並連接到內周端附近的RF熱電極。內RF饋電具有在內RF饋電和電接地之間的電容以及在電容和電接地之間的匹配電路。
本揭露書的進一步的實施例涉及處理基板的方法。方法包含以下步驟:將基板暴露於電漿源組件,電漿源組件具有殼體,殼體中具有RF熱電極,連接到RF熱電極的外周邊緣之外RF饋電及連接到RF熱電極的內周邊緣的內RF饋電。內RF饋電在RF熱電極和電接地之間具有可變電容。可變電容的電容值被調變成沿著徑向方向在電漿功率中平滑地變化。
本揭露書的實施例提供一種用於連續基板沉積的基板處理系統,以最大化產量並提高處理效率。基板處理系統還可用於預沉積和沉積後電漿處理。
如在這份說明書和所附隨的申請專利範圍中所使用的,術語「基板」和「晶圓」可互換地使用,兩者都是指製程在其上作用之表面,或表面的一部分。熟悉本領域者還將理解除非上下文另有明確指示,否則提及基板還可僅指基板的一部分。另外,提及在基板上沉積可指裸基板和其上沉積或形成有一或多個膜或特徵的基板兩者。
如在這份說明書和所附隨的申請專利範圍中所使用的,術語「反應性氣體」、「前驅物」、「反應物」及類似者可互換地使用,以指包括與基板表面反應的物種的氣體。例如,第一「反應性氣體」可簡單地吸附到基板的表面上,並可用於與第二反應性氣體進行進一步的化學反應。
如在這份說明書和所附申請專利範圍中所使用的,術語「減壓」是指小於約100Torr,或小於約75Torr,或小於約50Torr,或小於約25Torr的壓力。例如,限定為在約1Torr至約25Torr的範圍中的「中壓」是減壓。
正為了許多應用而考慮旋轉壓板腔室。在這樣的腔室中,一或多個晶圓放置在旋轉保持器(「壓板」)上。隨著壓盤旋轉,晶圓在各個處理區域之間移動。例如,在ALD中,處理區域將晶圓暴露於前驅物和反應物。此外,電漿暴露可用作反應物或用以處理膜或基板表面,以用於強化膜生長或用以改變膜性質。本揭露書的一些實施例提供當使用旋轉壓板ALD腔室時,ALD膜的均勻沉積和後處理(如,緻密化)。
旋轉壓板ALD腔室可藉由傳統的時域製程而沉積膜,其中整個晶圓被暴露於第一氣體、被淨化且接著被暴露於第二氣體,或藉由空間ALD而沉積膜,其中晶圓的部分被暴露於第一氣體及部分暴露於第二氣體,且通過這些氣流之晶圓的移動使層沉積。
如在這份說明書和所附隨的申請專利範圍中所使用的,術語「派形」和「楔形」可互換地使用,以描述大致為圓形扇區之本體。例如,楔形段可為圓形或盤形結構的片段。派形段的內邊緣可終止於一點,或可截斷成平坦的邊緣或可被圓角化。基板的路徑可垂直於氣體埠。在一些實施例中,每一氣體注射器組件包含複數個細長氣體埠,複數個細長氣體埠在基本上垂直於藉由基板而橫穿的路徑之方向上延伸,其中氣體埠的前邊緣基本上平行於壓板。如在這份說明書和所附隨的申請專利範圍中所使用的,術語「基本上垂直」是指基板的總體移動方向是沿著與氣體埠的軸線大致垂直(如,約45°至90°)的平面。對於楔形氣體埠而言,氣體埠的軸線可被認為是被限定為沿著埠的長度而延伸的埠的寬度之中點的線。
第1圖顯示包括氣體分配組件120(也稱為注射器或注射器組件)和基座組件140的處理腔室100的剖面。氣體分配組件120是在處理腔室中所使用的任何類型的氣體輸送裝置。氣體分配組件120包括面向基座組件140的前表面121。前表面121可具有任何數量或多種開口,以向基座組件140輸送氣體流。氣體分配組件120還包括外周邊緣124,在所示的實施例中為基本上圓形的。
所使用的氣體分配組件120的具體類型可取決於所使用的特定製程而變化。本揭露書的實施例可用於控制在基座和氣體分配組件之間的間隙之任何類型的處理系統。雖然可採用各種類型的氣體分配組件(如,噴淋頭),但是本揭露書的實施例對於具有複數個基本上平行的氣體通道之空間ALD氣體分配組件是特別有用的。如在這份說明書和所附隨的申請專利範圍中所使用的,術語「基本上平行」是指氣體通道的細長軸線在相同的大致方向上延伸。氣體通道的平行度可能存在輕微的不完美。複數個基本上平行的氣體通道可包括至少一個第一反應氣體A通道、至少一個第二反應氣體B通道、至少一個淨化氣體P通道及/或至少一個真空V通道。從第一反應氣體A通道、第二反應氣體B通道和淨化氣體P通道流出的氣體被導向晶圓的頂表面。一些氣流通過清洗氣體P通道而水平地移動越過晶圓的表面並離開處理區域。從氣體分配組件的一端移動到另一端的基板將依次地暴露於每一製程氣體,在基板表面上形成層。
在一些實施例中,氣體分配組件120是由單一注射器單元所製成的剛性固定本體。在一或多個實施例中,氣體分配組件120由複數個單獨的扇區(如,注射器單元122)所製成,如第2圖中所示。單件本體或多扇區本體可與所描述的本揭露書之各種實施例一起使用。
基座組件140定位在氣體分配組件120下方。基座組件140包括頂表面141和在頂表面141中的至少一個凹陷142。基座組件140還具有底表面143和邊緣144。凹陷142可為任何合適的形狀和尺寸,這取決於被處理的基板60的形狀和尺寸。在第1圖中所示的實施例中,凹陷142具有平坦底部,以支撐晶圓的底部;然而,凹陷的底部可變化。在一些實施例中,凹陷具有圍繞凹陷的外周邊緣之台階區域,經調整尺寸以支撐晶圓的外周邊緣。藉由台階而支撐之晶圓的外周邊緣的量可取決於(例如)晶圓的厚度和已存在於晶圓之背側上的特徵的存在而變化。
在一些實施例中,如第1圖中所示,基座組件140的頂表面141中之凹陷142經調整尺寸,使得支撐在凹陷142中的基板60具有與基座140的頂表面141基本上共面的頂表面61。如在這份說明書和所附隨的申請專利範圍中所使用的,術語「基本上共面」是指晶圓的頂表面和基座組件的頂表面在±0.2mm內共面。在一些實施例中,頂表面在±0.15mm,±0.10mm或±0.05mm內共面。
第1圖的基座組件140包括能夠升高、降低和旋轉基座組件140的支撐柱160。基座組件可包括在支撐柱160的中心內之加熱器,或氣體管線,或電氣部件。支撐柱160可為增加或減小在基座組件140和氣體分配組件120之間的間隙、將基座組件140移動到適當位置的主要手段。基座組件140還可包括微調致動器162,可對基座組件140進行微調,以在基座組件140和氣體分配組件120之間產生預定間隙170。在一些實施例中,間隙170的距離為在約0.1mm至約5.0mm的範圍中,或在約0.1mm至約3.0mm的範圍中,或在約0.1mm至約2.0mm的範圍中,或在約0.2mm至約1.8mm的範圍中,或在約0.3mm至約1.7mm的範圍中,或在約0.4mm至約1.6mm的範圍中,或在約0.5mm至約1.5mm的範圍中,或在約0.6mm至約1.4mm的範圍中,或在約0.7mm至約1.3mm的範圍中,或在約0.8mm至約1.2mm的範圍中,或在約0.9mm至約1.1mm的範圍中,或約1mm。
在圖式中所示的處理腔室100是轉盤類型的腔室,其中基座組件140可保持複數個基板60。如第2圖中所示,氣體分配組件120可包括複數個單獨的注射器單元122,當晶圓在注射器單元下方移動時,每一注射器單元122能夠在晶圓上沉積膜。顯示兩個派形注射器單元122定位在基座組件140的大致相對側上和上方。這個數量的注射器單元122僅用於說明性目的而顯示。將理解可包括更多或更少的注射器單元122。在一些實施例中,存在有足夠數量的派形注射器單元122,以形成符合基座組件140的形狀之形狀。在一些實施例中,單獨的派形注射器單元122之每一者可獨立地移動、移除及/或更換,而不影響任何其它的注射器單元122。例如,可升高一個段以允許機器人進入在基座組件140和氣體分配組件120之間的區域,以裝載/卸載基板60。
具有多個氣體注射器的處理腔室可用以同時地處理多個晶圓,使得晶圓經歷相同的製程流程。例如,如第3圖中所示,處理腔室100具有四個氣體注射器組件和四個基板60。在處理開始時,基板60可定位在注射器組件之間。以45。將基座組件140旋轉17將導致在氣體分配組件120之間的每一基板60移動到用於膜沉積的氣體分配組件120,如在氣體分配組件120下面的虛線圓圈所示。額外的45。旋轉將使基板60移動離開注射器組件。利用空間ALD注射器,在晶圓相對於注射器組件移動的期間,薄膜沉積在晶圓上。在一些實施例中,基座組件140以防止基板60停止在氣體分配組件120下方的增量而旋轉。基板60和氣體分配組件120的數量可為相同或不同。在一些實施例中,存在有與氣體分配組件相同數量之正被處理的晶圓。在一或多個實施例中,正被處理的晶圓 的數量是氣體分配組件的數量的分數或整數倍。例如,若存在四個氣體分配組件,則存在有正被處理的4x晶圓,其中x是大於或等於1的整數值。
第3圖中所示的處理腔室100僅為一種可能配置的代表,且不應被視為限製本揭露書的範圍。這裡,處理腔室100包括複數個氣體分配組件120。在所示的實施例中,存在有四個氣體分配組件(也稱為注射器組件)繞處理腔室100而均勻地間隔開。然而,所示的處理腔室100是八邊形的,熟悉本領域者將理解這是一種可能的形狀,且不應被視為限制本揭露書的範圍。所示的氣體分配組件120是梯形的,但是可為單一圓形部件或由複數個派形段所製成,如第2圖中所示。
第3圖中所示的實施例包括負載鎖定腔室180,或類似緩衝站的輔助腔室。這個腔室180連接到處理腔室100的一側,以允許(例如)基板(也稱為基板60)從處理腔室100裝載/卸載。晶圓機器人可位於腔室180中,以將基板移動到基座上。
轉盤(如,基座組件140)的旋轉可為連續的或不連續的。在連續的處理中,晶圓持續旋轉,使得它們依次地暴露於注射器之每一者。在不連續的處理中,晶圓可移動到注射器區域並停止,且接著移動到在注射器之間的區域84並停止。例如,轉盤可旋轉,使得晶圓從注射器間的區域移動越過注射器(或停止在注射器附近),且到達下一個注射器間的區域上,其中轉盤可再次暫停。在注射器之間的暫停可為在每一層沉積(如,暴露於電漿)之間的額外處理步驟提供時間。
第4圖顯示氣體分配組件220的扇區或部分,可稱為注射器單元122。注射器單元122可單獨地使用或與其它注射器單元組合使用。例如,如第5圖中所示,第4圖的注射器單元122的四個經組合以形成單一氣體分配組件220。(為了清楚起見,未顯示分離四個注射器單元的線)。儘管第4圖的注射器單元122除了淨化氣體埠155和真空埠145之外還具有第一反應氣體埠125和第二反應氣體埠135兩者,注射器單元122不需要這些部件的全部。
參照第4和5圖兩者,根據一或多個實施例的氣體分配組件220可包含複數個扇區(或注射器單元122),其中每一扇區為相同的或不同的。氣體分配組件220定位在處理腔室內且包含在氣體分配組件220的前表面121中的複數個細長氣體埠125、135、145。複數個細長氣體埠125、135、145、155從鄰近內周邊緣123的區域朝向與氣體分配組件220的外周邊緣124相鄰的區域延伸。所示的複數個氣體埠包括第一反應氣體埠125、第二反應氣體埠135、真空埠145及淨化氣體埠155,真空埠145圍繞第一反應氣體埠和第二反應氣體埠的每一者。
參考第4或5圖中所示的實施例,然而,當宣稱埠從至少約內周區域延伸到至少約外周區域時,埠可從內區域不僅僅徑向地延伸到外部區域。當真空埠145圍繞反應氣體埠125和反應氣體埠135時,埠可切向地延伸。在第4和5圖中所示的實施例中,楔形反應氣體埠125、135在所有邊緣(包括內周邊區域和外周邊區域附近)上藉由真空埠145而包圍。
參考第4圖,當基板沿著路徑127移動時,基板表面的每一部分暴露於各種反應氣體。跟隨著路徑127,基板將暴露於或「看到」淨化氣體埠155、真空埠145、第一反應氣體埠125、真空埠145、淨化氣體埠155、真空埠145、第二反應氣體埠135和真空埠145。因此,在第4圖中所示的路徑127的端部處,基板已暴露於來自第一反應氣體埠125和第二反應氣體埠135的氣流以形成層。所示的注射器單元122形成四分之一圓,但可更大或更小。第5圖中所示的氣體分配組件220可被認為是第4圖的四個注射器單元122以串聯連接的組合。
第4圖的注射器單元122顯示分離反應氣體的氣幕150。術語「氣幕」用以描述將反應氣體從混合分開的氣流或真空的任何組合。第4圖中所示的氣幕150包含緊鄰第一反應氣體埠125的真空埠145之部分、在中間的淨化氣體埠155及緊鄰第二反應氣體埠135的真空埠145之部分。氣流和真空的組合可用以防止或最小化第一反應氣體和第二反應氣體的氣相反應。
參考第5圖,來自氣體分配組件220的氣流和真空的組合形成複數個處理區域250的分離。處理區域大致圍繞單獨的反應氣體埠125、135而限定,其中氣幕150在處理區域250之間。在第5圖中所示的實施例構成八個單獨的處理區域250,具有八個單獨的氣幕150在其間。處理腔室可具有至少兩個處理區域。在一些實施例中,存在有至少三個,四個,五個,六個,七個,八個,九個,10個,11個或12個處理區域。
在處理期間,基板可在任何給定的時間暴露於超過一個處理區域250。然而,暴露於不同處理區域的部分將具有將兩者分開的氣幕。例如,若基板的前邊緣進入包括第二反應氣體埠135的處理區域,則基板的中間部分將在氣幕150下方,且基板的後邊緣將在包括第一反應氣體埠125的處理區域中。
可為(例如)負載鎖定腔室的工廠介面280顯示為連接到處理腔室100。基板60顯示為疊置在氣體分配組件220之上方以提供參考框架。基板60可經常座落在基座組件上,以被保持在氣體分配組件120(也稱為氣體分配板)的前表面121附近。基板60經由工廠介面280而裝載到處理腔室100中,裝載到基板支撐件或基座組件上(見第3圖)。基板60可顯示為定位於處理區域內,因為基板位於第一反應氣體埠125附近及兩個氣幕150之間。沿著路徑127旋轉基板60將使基板繞處理腔室100而逆時針地移動。因此,基板60將通過第八處理區域250h(包括其間的所有處理區域)而暴露於第一處理區域250a。對於繞處理腔室的每一循環而言,使用所示的氣 體分配組件,基板60將暴露於第一反應氣體和第二反應氣體的四個ALD循環。
在批量處理器中的傳統ALD序列(類似於第5圖的ALD序列)分別地從空間分離的注射器保持化學製品A和B流,其間具有泵/淨化部分。傳統ALD序列具有可能導致沉積膜的不均勻性的起始和結束圖案。發明人已驚奇地發現在空間ALD批量處理腔室中所執行的基於時間的ALD製程提供具有較高均勻性的膜。暴露於氣體A、無反應氣體、氣體B、無反應氣體的基本製程將是在注射器下掃掠基板,以分別地用化學製品A和B飽和表面,以避免在膜中具有起始和結束圖案形式。發明人已驚奇地發現當目標膜厚度是薄的(如,小於20個ALD循環)時,基於時間的方法是特別有利的,其中開始和結束圖案對晶圓內均勻性的性能具有顯著的衝擊。發明人還已發現如於此所述的用以產生SiCN、SiCO和SiCON膜的反應製程不能以時域製程而完成。用以淨化處理腔室的時間量導致材料從基板表面剝離。因為在氣幕下的時間是短的,所以所述的空間ALD製程不會發生剝離。
因此,本揭露書的實施例涉及包含具有複數個處理區域250a-250h的處理腔室100之處理方法,其中每一處理區域藉由如第5圖中所示的氣幕150而與相鄰區域分離。處理腔室內的氣幕和處理區域的數量可為任何合適的數量,這取決於氣流的佈置。第5圖中所示的實施例具有八個氣幕150和八個處理區域250a-250h。氣幕的 數量通常等於或大於處理區域的數量。例如,若區域250a沒有反應氣體流,但僅僅用作裝載區域,則處理腔室將具有七個處理區域和八個氣幕。
複數個基板60定位在基板支撐件上,例如在第1和2圖中所示的基座組件140。複數個基板60繞處理區域而旋轉以進行處理。通常,氣幕150在整個處理是接合(氣體流動且真空開啟)的,包括當沒有反應氣體流入腔室中時的時期。
第一反應氣體A流入一或多個處理區域250,而惰性氣體流入不具有第一反應氣體A流入其中的任何處理區域250中。例如,若第一反應氣體通過處理區域250h而流入處理區域250b,則惰性氣體將流入處理區域250a。惰性氣體可流動通過第一反應氣體埠125或第二反應氣體埠135。
處理區域內的惰性氣體流可為恆定的或變化的。在一些實施例中,反應性氣體與惰性氣體是共同流動的。惰性氣體將用作載體和稀釋劑。由於反應氣體相對於載氣的量小,因此共同流動可藉由減小在相鄰區域之間的壓力差而更容易地平衡在處理區域之間的氣體壓力。
本揭露書的一些實施例涉及注射器模組。雖然針對空間ALD處理腔室而描述注射器模組,但是熟悉本領域者將理解模組不限於空間ALD腔室,且可適用於增加氣體流動均勻性為有用的任何注射器情況。
本揭露書的一些實施例有利地提供模組化電漿源,亦即,可容易地插入處理系統和從處理系統移除的源。這樣的源可具有在與原子層沉積製程相同的壓力水平下操作的其硬體的全部或大部分,通常為1-50Torr。本揭露書的一些實施例提供具有改善的越過晶圓表面的離子通量的電漿源。一或多個實施例有利地提供使用少量細長槽孔,而不是大量小孔之相對容易製造的用於電漿源的阻擋板。一些實施例有利地使用具有到基板表面的可變距離之傾斜阻擋板而提高在基板表面之上方的電漿密度的均勻性。本揭露書的一或多個實施例藉由提供介電套筒以保護導電材料免受直接電漿暴露而提供具有改善的金屬污染的電漿源。
第6圖顯示根據本揭露書的一或多個實施例的電漿源組件300的剖面。第6圖中所示的電漿源組件300包括具有氣體入口315和前面312的殼體310。氣體入口315允許氣體流沿著流動路徑318移動通過殼體310並從前面312中的開口313流出。所示的實施例具有為了描述目的而顯示為偏心的氣體入口315,但是熟悉本領域者將理解氣體入口315可在殼體310中置中。另外,一些實施例包括氣室316,以增加氣流通過流動路徑318的均勻性。
電漿源組件300包括RF熱電極320和至少一個返回電極330。返回電極330是與RF熱電極320形成完整電路的任何導電材料。熟悉本領域者將理解返回電極330可提供用於電子流動的路徑。以這種方式所使用的術語「返回」是指電極是電漿部件的電路徑的一部分,且不意味著用於電流或電子的流動的方向。
參考第6至8圖,RF熱電極320具有第一表面322和與第一表面322相對的第二表面324。第6圖顯示電漿源組件300的剖面,而第7和8圖顯示電極的局部透視圖。如在這方面所使用的,第一表面322和第二表面324在RF熱電極320的厚度T的相對側上。RF熱電極320通常經調整形狀為具有高度H、厚度T和長度L的矩形棱柱。RF熱電極320具有基本上平行於流動路徑318而定向的第一表面322。如在這方面所使用的,術語「基本上平行」是指表面在平行(定義為0°)的±10°內。
返回電極330與RF熱電極320類似地成形。返回電極具有基本上平行於流動路徑318而定向的第一表面332。返回電極330的第一表面332與RF熱電極320的第一表面322間隔開,以形成間隙340。
返回電極330、330b可為任何合適的材料,包括(但不限於)鋁、不銹鋼和銅。返回電極330、330b可具有任何合適的電特性。在一些實施例中,返回電極330、330b是接地電極。接地電極是與電接地電接觸的任何導電材料。
在一些實施例中,返回電極330、330b是不同於RF熱電極320的供電電極。如以這種方式所使用的,「不同於RF熱電極」是指電性質或電位不同於RF熱電極。例如,所產生的電漿的驅動功率可使用移相器以推-拉方式從單一源調諧,以最小化與晶圓的相互作用。在這種實施例中,RF熱電極320可(例如)與返回電極330異相180°。
如第7圖中所示,電漿源組件的一些實施例進一步包含第二返回電極330b。第二返回電極330b具有基本上平行於流動路徑318而定向的第一表面332b。第二返回電極330b的第一表面332b與RF熱電極320的第二表面324間隔開,以形成間隙340b。間隙340和間隙340b可具有相同或不同的尺寸。在一些實施例中,在RF熱電極320和返回電極330、330b之間的間隙340、340b在約4mm至約15mm的範圍中,或在約5mm至約14mm的範圍中,或在約7mm至約13mm的範圍中,或約9mm至約12mm的範圍中,或約11mm。
本揭露書的一些實施例有利地提供可調諧的電漿源,以調節在轉盤之外徑處相對於轉盤之內徑的處理。本揭露書的一或多個實施例有利地提供具有在所測量的電壓中具有可變節點的雙RF饋電的電漿源。一些實施例有利地提供獨立於RF頻率的可調諧的電漿源。
第9圖顯示本揭露書的實施例,其中存在有一個RF熱電極320,在RF熱電極320的任一側上具有返回電極330。返回電極330與RF熱電極320間隔開一距離,以形成間隙340。在RF熱電極320的任一側上的間隙340可具有相同的尺寸或不同的尺寸。間隙340可沿著從內徑端360延伸到外徑端362的RF熱電極320的長度而為均勻的。儘管第9圖顯示垂直電漿源,但是熟悉本領域者將理解本揭露書的各種實施例不限於垂直源。
外RF饋電380在外徑端362附近連接到RF熱電極320。外RF饋電380可為具有藉由絕緣體383而分隔開的內導體381和外導體382之同軸RF饋電。內導體381和外導體382之一者可提供功率給RF熱電極320,且內導體381和外導體382之另一者可連接到電接地。
內RF饋電390在內徑端360附近連接到RF熱電極320。內RF饋電390具有電容394。電容394可為固定電容值或可為可變電容。可變電容可藉由電子反饋電路而手動調節或控制,以提供對電容值的即時調節。在一些實施例中,外RF饋電380提供功率給RF熱電極320,且內RF饋電390為功率提供返回路徑。
不受任何特定的操作理論而束縛,相信在內RF饋電390上使用電容394使RF波長偏移,使得節點(其中電壓= 0)出現在靠近電漿源300之內徑端360。較低的電壓振幅可導致在電漿源300的內徑處較少的電漿產生。一些實施例包括在電容394和電接地之間的匹配電路398。
第10圖顯示具有單一RF饋電420的RF熱電極320之概要圖。RF饋電420分成外RF饋電430和內RF饋電432。一些實施例的外RF饋電430提供功率給RF熱電極320。內RF饋電432通過電容394和匹配電路398而將RF熱電極320連接到接地。RF饋電420可為具有藉由絕緣體而分隔的內導體421和外導體422之同軸電纜。
在一些實施例中,外RF饋電430和內RF饋電432都供應功率給RF熱電極320。單獨的導體(未顯示)通過任選的匹配電路和任選的電容將RF熱電極320連接到接地。
第11圖顯示作為沿著在外徑和內徑之間的距離的位置之函數的電壓振幅的代表曲線圖。電漿產生區域是點燃電漿之電漿組件的部分。在電漿區域之前和之後的部分代表電連接。繪製三條線以顯示電容值對電壓輪廓的相對影響。對於任何給定的頻率,較小的電容(低pF)比較大的電容(高pF)顯示在電漿區域中較大的斜率。在電漿區域之前和之後的電壓的降低可能部分地歸因於傳輸線中的損耗。振幅是電壓的絕對值,使得節點存在於振幅越過零電壓線的地方。可藉由改變電容值的值而調節節點的位置。在所示的曲線圖中,低pF電容具有接近電漿區域的內徑的節點。在一些實施例中,電漿區域兩端的電壓是基本上線性的;意味著線具有大於0.9的測定係數(r2 )。在一些實施例中,電漿區域兩端的電壓是非線性的,且電漿區域上的功率耗散是基本上線性的(如,r2 > 0.9)。
可基於各種因素而選擇電容值,這些因素包括(但不限於)晶圓的尺寸、晶圓在基座上的位置、RF熱電極的尺寸、氣體成分和頻率。可使用電容而不考慮電漿頻率或功率。對於不同的電漿頻率或功率而言,電容的值可不同。在一些實施例中,藉由選擇電容、執行沉積及蝕刻膜以評估蝕刻速率而選擇電容的值。可基於經驗結果而改變電容的值,以沉積具有更均勻蝕刻率的膜。
在一些實施例中,在處理期間調變電容的值。例如,可調變電容值以抵消由電漿非均勻性所導致的波狀或條紋膜圖案。在一些實施例中,改變電容值以平滑在徑向方向上所發生的膜變化。在處理期間,電容值可在第一電容值C1和第二電容值C2之間主動地變化。在一些實施例中,C1和C2之間的距離的數量在電容值的10%內。例如,100pF電容值可在約90pF和約110pF之間主動地變化。在一些實施例中,變化的數量在目標電容值的20%,目標電容值的15%,目標電容值的10%或目標電容值的5%內。主動變化的時間刻度可大於約0.5秒至約60秒。 例子
第12圖顯示用於13MHz、100W電漿,作為沿著電極路徑的位置之函數的電壓振幅的曲線圖。電容值範圍為800 pF至8000 pF。在具有較低電容值的電漿區域的內徑附近可看到節點(電壓= 0)。
第13圖顯示用於60MHz、100W電漿,作為沿著電極路徑的位置之函數的電壓振幅的曲線圖。電容值低於第12圖中的13MHz電漿的電容值,且範圍從25pF至200pF。使用不同的電容值來調諧電壓輪廓,但是不在整個路徑上產生平坦的輪廓。
第14圖顯示用於2MHz、100W電漿,作為沿著電極路徑的位置之函數的電壓振幅的曲線圖。用以調諧輪廓的電容值高於第12圖中的13MHz電漿的電容值。電容值的範圍從40nF到500nF。
可取決於所使用的具體反應性物種而調節電漿的頻率。合適的頻率包括(但不限於)400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz和100MHz。
根據一或多個實施例,在形成層之前及/或之後對基材進行處理。這個處理可在相同的腔室中或在一或多個單獨的處理腔室中進行。在一些實施例中,基板從第一腔室移動到單獨的第二腔室以用於進一步處理。基板可直接從第一腔室移動到單獨的處理腔室,或基板可從第一腔室移動到一或多個傳送腔室,且接著移動到單獨的處理腔室。因此,處理設備可包含與傳送站連通的多個腔室。這種設備可稱為「群集工具」或「群集系統」及類似者。
通常,群集工具是包含多個腔室的模組化系統,多個腔室執行各種功能,包括基板中心尋找和定向、除氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具包括至少第一腔室和中央傳送腔室。中央傳送腔室可容納機器人,機器人可在處理腔室和負載鎖定腔室之間來回運送基板。傳送腔室通常維持在真空條件下,並提供用於將基板從一個腔室移動到另一個腔室及/或到位於群集工具的前端之負載鎖定腔室的中間階段。可適用於本揭露書的兩種已知的群集工具是可從加州聖克拉拉市的應用材料公司所取得的Centura®以及 Endura®。然而,腔室的精確佈置和組合可改變以用於執行如於此所述的製程之特定步驟。可使用的其它處理腔室包括(但不限於)循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如RTP)、電漿氮化、除氣、定向、羥基化和其它基板製程。藉由在群集工具上的腔室中進行處理,可在沉積後續膜之前避免基板與大氣雜質的表面污染,而無需氧化。
根據一或多個實施例,基板連續地處於真空或「負載鎖定」條件下,且當從一個腔室移動到下一個腔室時不暴露於環境空氣。傳送腔室因此處於真空下且在真空壓力下「抽真空」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,在基板的表面上形成層之後,使用惰性氣體作為淨化氣體,以移除一些或全部反應物。根據一或多個實施例,在沉積腔室的出口處注入淨化氣體,以防止反應物從沉積腔室移動到傳送腔室及/或額外的處理腔室。因此,惰性氣體流在腔室的出口處形成簾幕。
在處理期間,基板可被加熱或冷卻。此種加熱或冷卻可藉由任何合適的手段而完成,包括(但不限於)改變基板支撐件(如,基座)的溫度和將加熱或冷卻的氣體流到基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,加熱器/冷卻器可經控制以導電地改變基板溫度。在一或多個實施例中,所採用的氣體(無論是反應氣體或惰性氣體)被加熱或冷卻,以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器定位在鄰近基板表面的腔室內,以對流地改變基板溫度。
在處理期間,基板也可為靜止的或旋轉的。旋轉基板可連續地旋轉或以不連續的步驟而旋轉。例如,基板可在整個製程中旋轉,或基板可在暴露於不同的反應或淨化氣體之間少量地旋轉。在處理期間(連續地或分步驟地)旋轉基板可藉由最小化(例如)在氣流幾何形狀中的局部變化的影響而幫助產生更均勻的沉積或蝕刻。
雖然前面部分涉及本揭露書的實施例,但是本揭露書的其他和進一步的實施例可經設計而不背離本揭露書的基本精神,且本揭露書的範圍藉由以下的申請專利範圍而確定。
17‧‧‧旋轉
60‧‧‧基板
61‧‧‧頂表面
84‧‧‧區域
100‧‧‧處理腔室
120‧‧‧氣體分配組件
121‧‧‧前表面
122‧‧‧注射器單元
123‧‧‧內周邊緣
124‧‧‧外周邊緣
125‧‧‧氣體埠
127‧‧‧路徑
135‧‧‧氣體埠
140‧‧‧基座組件/基座
141‧‧‧頂表面
142‧‧‧凹陷
143‧‧‧底表面
144‧‧‧邊緣
145‧‧‧真空埠/氣體埠
150‧‧‧氣幕
155‧‧‧氣體埠
160‧‧‧支撐柱
162‧‧‧微調致動器
170‧‧‧間隙
180‧‧‧腔室
250‧‧‧處理區域
250a‧‧‧處理區域
250b‧‧‧處理區域
250c‧‧‧處理區域
250d‧‧‧處理區域
250e‧‧‧處理區域
250f‧‧‧處理區域
250g‧‧‧處理區域
250h‧‧‧處理區域
280‧‧‧工廠介面
300‧‧‧電漿源組件/電漿源
310‧‧‧殼體
312‧‧‧前面
313‧‧‧開口
315‧‧‧氣體入口
316‧‧‧氣室
318‧‧‧流動路徑
320‧‧‧RF熱電極
322‧‧‧第一表面
324‧‧‧第二表面
330‧‧‧返回電極
330b‧‧‧返回電極
332‧‧‧第一表面
332b‧‧‧第一表面
340‧‧‧間隙
340b‧‧‧間隙
360‧‧‧內徑端
362‧‧‧外徑端
380‧‧‧外RF饋電
381‧‧‧內導體
382‧‧‧外導體
383‧‧‧絕緣體
390‧‧‧內RF饋電
394‧‧‧電容
398‧‧‧匹配電路
420‧‧‧RF饋電
421‧‧‧內導體
422‧‧‧外導體
430‧‧‧外RF饋電
432‧‧‧內RF饋電
使得本揭露書的實施例之以上所述的特徵可被詳細地理解之方式,可藉由參考實施例來獲得以上所簡單地摘要的本揭露書之實施例的更具體的描述,一些實施例是顯示在附隨的圖式中。然而,應注意附隨的圖式僅顯示這個揭露書的通常實施例,且因此不被認為是對本揭露書之範圍的限制,因為本揭露書可允許其他等效的實施例。
第1圖顯示根據本揭露書的一或多個實施例之基板處理系統的概要剖面圖;
第2圖顯示根據本揭露書的一或多個實施例之基板處理系統的透視圖;
第3圖顯示根據本揭露書的一或多個實施例之基板處理系統的概要圖;
第4圖顯示根據本揭露書的一或多個實施例之氣體分配組件的前部的概要圖;
第5圖顯示根據本揭露書的一或多個實施例之處理腔室的概要圖;
第6圖顯示根據本揭露書的一或多個實施例之電漿源組件的概要剖面圖;
第7圖顯示根據本揭露書的一或多個實施例之電漿源組件的局部透視圖;
第8圖顯示根據本揭露書的一或多個實施例之電漿源組件的局部透視圖;
第9圖顯示根據本揭露書的一或多個實施例之電漿源組件的透視圖;
第10圖顯示根據本揭露書的一或多個實施例之電漿源組件的概要圖;
第11圖顯示根據本揭露書的一或多個實施例之作為沿著電極路徑的距離的函數之電壓振幅的曲線圖;
第12圖顯示根據本揭露書的一或多個實施例之作為沿著電極路徑的距離的函數之電壓振幅的曲線圖;
第13圖顯示根據本揭露書的一或多個實施例之作為沿著電極路徑的距離的函數之電壓振幅的曲線圖;及
第14圖顯示根據本揭露書的一或多個實施例之作為沿著電極路徑的距離的函數之電壓振幅的曲線圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (20)

  1. 一種電漿源組件,包含:一殼體,具有一氣體入口和限定一流動路徑的一前面,該氣體入口允許一氣體流沿著該流動路徑移動,以通過該殼體並離開在該前面中的一開口;一RF熱電極,在該殼體內,該RF熱電極具有一內周端和一外周端;單一條外RF饋電,在該外周端附近連接到該RF熱電極;及單一條內RF饋電,在該內周端附近連接到該RF熱電極,該內RF饋電在該內RF饋電和電接地之間具有一可變電容;其中該外RF饋電提供功率給該RF熱電極,且該內RF饋電為該功率提供一返回路徑。
  2. 如請求項1所述之電漿源組件,進一步包括一返回電極,該返回電極位於該RF熱電極的任一側。
  3. 如請求項1所述之電漿源組件,其中該外RF饋電和該內RF饋電從一同軸RF饋電分離。
  4. 如請求項3所述之電漿源組件,其中該外RF饋電係連接到該同軸RF饋電的一內導體和一外導體之一者,且該內RF饋電係連接到該同軸RF饋電的該內導體和該外導體之另一者。
  5. 如請求項4所述之電漿源組件,其中該內導體和該外導體藉由一絕緣體而隔開。
  6. 如請求項1所述之電漿源組件,其中該可變電容有效地調變越過該RF熱電極的一長度之一電漿電壓的振幅。
  7. 如請求項6所述之電漿源組件,其中該振幅調變從該RF熱電極的該外周端到該內周端是基本上線性的。
  8. 如請求項6所述之電漿源組件,其中該電漿電壓具有靠近該RF熱電極的該內周端之一節點。
  9. 如請求項6所述之電漿源組件,其中一功率耗散從該RF熱電極的該外周端到該內周端是基本上線性的。
  10. 如請求項1所述之電漿源組件,其中該可變電容具有在處理期間在一第一電容和一第二電容之間調變的一值。
  11. 如請求項10所述之電漿源組件,其中在該第一電容和該第二電容之間的差異量在一目標電容的絕對值的10%內。
  12. 如請求項1所述之電漿源組件,進一步包含在該可變電容和電接地之間的一匹配電路。
  13. 如請求項1所述之電漿源組件,其中該殼體和該RF熱電極是楔形的,與在該外周端處相比,在該內周端處具有一較窄的寬度。
  14. 一種電漿源組件,包含:一楔形殼體,具有一氣體入口和限定一流動路徑的一前面,該氣體入口允許一氣體流沿著該流動路徑移動,以通過該殼體並離開在該前面中的一開口,該楔形殼體與在該外周邊緣處相比,在該內周邊緣處具有一較窄的寬度;一RF熱電極,在該殼體內,該RF熱電極具有一內周端和一外周端;一同軸RF饋電,具有藉由一絕緣體而分開的一內導體和一外導體;單一條外RF饋電,連接到該內導體和該外導體之一者並連接到該外周端附近的該RF熱電極;單一條內RF饋電,連接到該內導體和該外導體之另一者並連接到該內周端附近的該RF熱電極,該內RF饋電具有在該內RF饋電和電接地之間的一可變電容以及在該可變電容和電接地之間的一匹配電路;其中該外RF饋電提供功率給該RF熱電極,且該內RF饋電為該功率提供一返回路徑。
  15. 如請求項14所述之電漿源組件,進一步包括一返回電極,該返回電極位於該RF熱電極的任一側。
  16. 如請求項14所述之電漿源組件,其中該可變電容有效地調變越過該RF熱電極的一長度之一電漿電壓的振幅。
  17. 如請求項16所述之電漿源組件,其中該振幅調變從該RF熱電極的該外周端到該內周端是基本上線性的。
  18. 如請求項16所述之電漿源組件,其中該電漿電壓具有靠近該RF熱電極的該內周端之一節點。
  19. 如請求項16所述之電漿源組件,其中一功率耗散從該RF熱電極的該外周端到該內周端是基本上線性的。
  20. 一種處理一基板的方法,該方法包含以下步驟:將該基板暴露於如請求項1所述之電漿源組件,;及調變該可變電容的一電容值成沿著一徑向方向在電漿功率中平滑地變化。
TW106102343A 2016-01-24 2017-01-23 雙端饋電可調諧電漿源 TWI677009B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662286407P 2016-01-24 2016-01-24
US62/286,407 2016-01-24
US201662341597P 2016-05-25 2016-05-25
US62/341,597 2016-05-25

Publications (2)

Publication Number Publication Date
TW201737295A TW201737295A (zh) 2017-10-16
TWI677009B true TWI677009B (zh) 2019-11-11

Family

ID=59360631

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106102343A TWI677009B (zh) 2016-01-24 2017-01-23 雙端饋電可調諧電漿源
TW106102563A TWI726043B (zh) 2016-01-24 2017-01-24 用於產生派形加工的電漿源組件及處理腔室
TW110110311A TWI774283B (zh) 2016-01-24 2017-01-24 用於產生派形加工的電漿源組件、處理腔室及方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW106102563A TWI726043B (zh) 2016-01-24 2017-01-24 用於產生派形加工的電漿源組件及處理腔室
TW110110311A TWI774283B (zh) 2016-01-24 2017-01-24 用於產生派形加工的電漿源組件、處理腔室及方法

Country Status (4)

Country Link
US (3) US10879042B2 (zh)
KR (2) KR102662705B1 (zh)
TW (3) TWI677009B (zh)
WO (2) WO2017127849A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
DE102017100192A1 (de) * 2017-01-06 2018-07-12 Cinogy Gmbh Permanente Wundauflage mit Plasmaelektrode
TWI733021B (zh) * 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
KR102578539B1 (ko) * 2017-07-28 2023-09-13 스미토모덴키고교가부시키가이샤 샤워 헤드 및 그 제조 방법
WO2019108855A1 (en) * 2017-11-30 2019-06-06 Corning Incorporated Atmospheric pressure linear rf plasma source for surface modification and treatment
US10854428B2 (en) * 2017-12-13 2020-12-01 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
CN111492459B (zh) * 2017-12-15 2023-07-14 应用材料公司 用于来自竖直等离子体源的改进等离子体暴露的成形电极
TWI793218B (zh) 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US20200365375A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Stray plasma prevention apparatus for substrate process chamber
JP7488729B2 (ja) 2020-08-31 2024-05-22 株式会社Screenホールディングス 大気圧プラズマ源、および、基板処理装置
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
KR20230033101A (ko) 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
US20100043974A1 (en) * 2002-11-26 2010-02-25 Akira Koshiishi Plasma processing method and apparatus
JP2012074464A (ja) * 2010-09-28 2012-04-12 Tokyo Electron Ltd プラズマ処理装置
CN102598876A (zh) * 2009-11-17 2012-07-18 应用材料公司 具有电极处rf匹配的大面积等离子体处理腔室
TW201515528A (zh) * 2013-08-16 2015-04-16 Applied Materials Inc 用於高溫低壓環境中的延長的電容性耦合的電漿源
US20150262792A1 (en) * 2014-03-17 2015-09-17 Applied Materials, Inc. RF Multi-Feed Structure To Improve Plasma Uniformity

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3115015B2 (ja) * 1991-02-19 2000-12-04 東京エレクトロン株式会社 縦型バッチ処理装置
JP3332857B2 (ja) * 1998-04-15 2002-10-07 三菱重工業株式会社 高周波プラズマ発生装置及び給電方法
JP2000260598A (ja) * 1999-03-12 2000-09-22 Sharp Corp プラズマ発生装置
US6764658B2 (en) * 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4058762B2 (ja) * 2003-02-03 2008-03-12 セイコーエプソン株式会社 表面処理装置および表面処理方法
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
JP4087761B2 (ja) * 2003-08-19 2008-05-21 芝浦メカトロニクス株式会社 プラズマ処理装置
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
KR100963848B1 (ko) * 2007-12-14 2010-07-09 다이나믹솔라디자인 주식회사 멀티 레이저 스캐닝 라인을 갖는 용량 결합 플라즈마반응기
TWI488547B (zh) * 2007-12-25 2015-06-11 Applied Materials Inc 電漿室裝置
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP5749020B2 (ja) * 2008-01-31 2015-07-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバに結合するための装置
KR20100031960A (ko) * 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
TWI381408B (zh) * 2008-12-23 2013-01-01 Primax Electronics Ltd 按鍵結構以及具有此結構之鍵盤
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
KR101081743B1 (ko) * 2009-08-17 2011-11-09 주성엔지니어링(주) 기판처리장치
TW201206254A (en) * 2010-01-12 2012-02-01 Applied Materials Inc Phase-modulated RF power for plasma chamber electrode
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20120255678A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US9859142B2 (en) * 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
KR101765323B1 (ko) 2012-10-17 2017-08-04 한국과학기술원 플라즈마 발생 장치 및 기판 처리 장치
KR102061749B1 (ko) * 2012-12-27 2020-01-02 주식회사 무한 기판 처리 장치
KR102124042B1 (ko) * 2013-02-18 2020-06-18 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
KR102115337B1 (ko) * 2013-07-31 2020-05-26 주성엔지니어링(주) 기판 처리 장치
JP6452449B2 (ja) * 2015-01-06 2019-01-16 東京エレクトロン株式会社 載置台及び基板処理装置
US20170076917A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma Module With Slotted Ground Plate
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
KR102058912B1 (ko) * 2016-03-02 2019-12-24 주성엔지니어링(주) 기판 처리 장치
TWI733021B (zh) * 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
CN111492459B (zh) * 2017-12-15 2023-07-14 应用材料公司 用于来自竖直等离子体源的改进等离子体暴露的成形电极
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100043974A1 (en) * 2002-11-26 2010-02-25 Akira Koshiishi Plasma processing method and apparatus
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
CN102598876A (zh) * 2009-11-17 2012-07-18 应用材料公司 具有电极处rf匹配的大面积等离子体处理腔室
JP2012074464A (ja) * 2010-09-28 2012-04-12 Tokyo Electron Ltd プラズマ処理装置
TW201515528A (zh) * 2013-08-16 2015-04-16 Applied Materials Inc 用於高溫低壓環境中的延長的電容性耦合的電漿源
US20150262792A1 (en) * 2014-03-17 2015-09-17 Applied Materials, Inc. RF Multi-Feed Structure To Improve Plasma Uniformity

Also Published As

Publication number Publication date
US10395893B2 (en) 2019-08-27
US10879042B2 (en) 2020-12-29
WO2017127847A1 (en) 2017-07-27
KR20170107935A (ko) 2017-09-26
WO2017127849A1 (en) 2017-07-27
KR20170088766A (ko) 2017-08-02
TW201737295A (zh) 2017-10-16
KR102662705B1 (ko) 2024-04-30
TWI726043B (zh) 2021-05-01
US20210210312A1 (en) 2021-07-08
TW202139790A (zh) 2021-10-16
TWI774283B (zh) 2022-08-11
US20170213702A1 (en) 2017-07-27
TW201737296A (zh) 2017-10-16
US20170213701A1 (en) 2017-07-27

Similar Documents

Publication Publication Date Title
TWI677009B (zh) 雙端饋電可調諧電漿源
US11315763B2 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
CN106783499B (zh) 横向等离子体/自由基源
TWI719049B (zh) 具有槽式接地板的電漿模組
TWI793218B (zh) 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
TWI733021B (zh) 電漿源組件、處理腔室與處理基板的方法
US20230307213A1 (en) Vertically adjustable plasma source