CN106783499B - 横向等离子体/自由基源 - Google Patents

横向等离子体/自由基源 Download PDF

Info

Publication number
CN106783499B
CN106783499B CN201611028706.4A CN201611028706A CN106783499B CN 106783499 B CN106783499 B CN 106783499B CN 201611028706 A CN201611028706 A CN 201611028706A CN 106783499 B CN106783499 B CN 106783499B
Authority
CN
China
Prior art keywords
electrode
housing
hot electrode
gas
plasma source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201611028706.4A
Other languages
English (en)
Other versions
CN106783499A (zh
Inventor
A·K·萨布莱曼尼
K·甘加基德加
A·乔杜里
J·C·福斯特
N·南塔瓦拉努
K·贝拉
P·A·克劳斯
F·豪斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201710545902.7A priority Critical patent/CN107338423B/zh
Publication of CN106783499A publication Critical patent/CN106783499A/zh
Application granted granted Critical
Publication of CN106783499B publication Critical patent/CN106783499B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/335Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin
    • A61K31/34Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide
    • A61K31/343Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide condensed with a carbocyclic ring, e.g. coumaran, bufuralol, befunolol, clobenfurol, amiodarone
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/5005Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells
    • G01N33/5008Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics
    • G01N33/5011Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics for testing antineoplastic activity
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P35/00Antineoplastic agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2510/00Detection of programmed cell death, i.e. apoptosis

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Pharmacology & Pharmacy (AREA)
  • Veterinary Medicine (AREA)
  • Public Health (AREA)
  • Animal Behavior & Ethology (AREA)
  • Electromagnetism (AREA)
  • Biomedical Technology (AREA)
  • Immunology (AREA)
  • Hematology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Urology & Nephrology (AREA)
  • Epidemiology (AREA)
  • Molecular Biology (AREA)
  • Toxicology (AREA)
  • Cell Biology (AREA)
  • Biotechnology (AREA)
  • Microbiology (AREA)
  • Tropical Medicine & Parasitology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Food Science & Technology (AREA)

Abstract

描述了一种等离子体源组件,所述等离子体源组件包含具有RF热电极和返回电极的壳体。所述壳体包括界定流动路径的气体入口和正面。所述RF热电极包括基本上平行于所述流动路径定向的第一表面。所述返回电极包括第一表面,所述第一表面基本上平行于所述流动路径定向并与所述RF热电极的第一表面相隔开以形成间隙。还描述了与所述等离子体源组件相结合的处理腔室和使用所述等离子体源组件的方法。

Description

横向等离子体/自由基源
技术领域
本公开的实施方式一般涉及一种用于处理基板的设备。更具体来说,本公开的实施方式涉及与如批量处理器的处理腔室一起使用的模块化电容耦合等离子体源。
背景技术
半导体器件的形成常常在包含多个腔室的基板处理平台中进行。在一些情况下,多腔室式处理平台或群集工具的目的在于在受控环境中在基板上顺序地执行一或多个工艺。然而,在其他情况下,多腔室式处理平台可以仅对基板执行单个处理步骤;额外的腔室意在最大化由平台处理基板的速率。在后一情况下,对基板执行的工艺通常是批量工艺,其中相对大量的基板(例如,25个或50个)在给定腔室中被同时处理。批量处理对于以经济上可行的方式在单独基板上执行起来过于耗时的工艺而言是尤其有益的,所述工艺诸如原子层沉积(ALD)工艺和一些化学气相沉积(CVD)工艺。
一些ALD系统,尤其是具有旋转基板平台的空间ALD系统,受益于模块化等离子体源,即,能够容易地插入所述系统的来源。所述等离子体源由其中产生等离子体的腔以及将工件暴露至带电粒子流和活性化学自由基物种的路径组成。
热ALD和CVD工艺常常结合用于膜质量增强的处理。这些处理通常包含高能或反应物种。等离子体源是这些物种的主要来源。等离子体源的一些关注问题包括高能离子轰击和由于溅射产生而来自等离子体源的材料污染。需要最小化由溅射材料引起的基板污染同时维持均匀的等离子体密度的等离子体源。
发明内容
本公开的一或多个实施方式涉及等离子体源组件,所述等离子体源组件包含壳体、RF热电极、和返回电极。壳体具有界定流动路径的气体入口和正面。气体入口允许气流沿着流动路径移动以穿过壳体并离开正面。RF热电极在所述壳体中并具有基本上平行于所述流动路径定向的第一表面。返回电极在所述壳体中并具有第一表面,所述第一表面基本上平行于所述流动路径定向并与所述RF热电极的第一表面相隔开以形成间隙。
本公开的另外实施方式涉及处理腔室,所述处理腔室包含基座组件和气体分配组件。基座组件在所述处理腔室中并具有顶表面以支承多个基板并围绕中心轴旋转多个基板。气体分配组件具有面对所述基座组件顶表面的前表面,用以将气流导向所述基座组件的顶表面。气体分配组件包括等离子体源组件,所述等离子体源组件包含楔形壳体、至少一个RF热电极和至少一个返回电极。楔形壳体具有界定所述壳体主轴的内周端部和外周端部。壳体亦具有第一侧面、第二侧面、气体入口和正面。气体入口和正面界定流动路径且所述气体入口允许气流沿着所述流动路径移动以穿过所述壳体并离开所述正面。至少一个RF热电极在所述壳体中并具有基本上平行于流动路径定向的第一表面。至少一个返回电极在所述壳体中并具有第一表面,所述第一表面基本上平行于所述流动路径定向并与所述RF热电极相隔开以形成间隙。等离子体源组件的楔形壳体的正面定位在距所述基座组件的顶表面约1mm至约5mm范围内的距离处。
本公开的进一步实施方式涉及处理基板的方法。基板定位在与气体分配组件附近的基座组件上。气体分配组件包括等离子体源组件,所述等离子体源组件包含楔形壳体、至少一个RF热电极和至少一个返回电极。楔形壳体具有界定所述壳体主轴的内周端部和外周端部。壳体具有第一侧面、第二侧面、气体入口和正面。气体入口和正面界定流动路径且所述气体入口允许气流沿着所述流动路径移动,以穿过所述壳体并离开所述正面。至少一个RF热电极在所述壳体中并具有基本上平行于流动路径定向的第一表面。至少一个返回电极在所述壳体中并具有第一表面,所述第一表面基本平行于所述流动路径定向并与所述RF热电极的第一表面相隔开以形成间隙。气体流经所述楔形壳体的气体入口进入在所述RF热电极与所述返回电极间的间隙。将所述RF热电极通电以在所述间隙中形成等离子体并将所述基板暴露至所述等离子体。
附图说明
因此,为了能够详细理解本公开的实施方式的上述特征结构所用方式,上文所简要概述的本公开的更具体的描述可以参考各个实施方式进行,一些实施方式例示在附图中。然而,应当注意,附图仅仅示出本公开的典型实施方式,并且因此不应视为限制本公开的范围,因为本公开可允许其他等效实施方式。
图1示出根据本公开的一或多个实施方式的基板处理系统的示意性剖面图;
图2示出根据本公开的一或多个实施方式的基板处理系统的立体图;
图3示出根据本公开的一或多个实施方式的基板处理系统的示意图;
图4示出根据本公开的一或多个实施方式的气体分配组件前侧的示意图;
图5示出根据本公开的一或多个实施方式的处理腔室的示意图;
图6示出根据本公开的一或多个实施方式的等离子体源组件的示意性剖面图;
图7示出根据本公开的一或多个实施方式的等离子体源组件的部分立体图;
图8示出根据本公开的一或多个实施方式的等离子体源组件的部分立体图;
图9示出根据本公开的一或多个实施方式的等离子体源组件的部分示意性侧视图;
图10A和图10B示出根据本公开的一或多个实施方式的等离子体源组件的示意性仰视图;
图11示出根据本公开的一或多个实施方式的具有蛇形电极的等离子体源组件的示意性仰视图;
图12示出根据本公开的一或多个实施方式的等离子体源组件的示意性仰视图;
图13显示根据本公开的一或多个实施方式的等离子体源组件电极的部分剖面侧视示意图;
图14示出根据本公开的一或多个实施方式的等离子体源组件电极的部分剖面侧视示意图;以及
图15示出根据本公开的一或多个实施方式的处理腔室的剖面图。
具体实施方式
本公开的实施方式提供一种基板处理系统,所述基板处理系统用于连续基板沉积以最大化产量并改进处理效率。所述基板处理系统还可以用于沉积前和沉积后的等离子体处理。
如本说明书和随附权利要求书中所用,术语“基板”和“晶片”可互换地使用,两者均指工艺作用于其上的表面或表面的部分。本领域的技术人员将会理解,提到基板还可仅指基板的一部分,除非上下文明确地另外指明。另外,提到在基板上沉积可以表示裸露基板和具有一或多个膜或特征沉积或形成在其上的基板两者。
如本说明书和随附权利要求书中所用,术语“反应气体”、“前驱物”、“反应剂”等等可互换地使用以表示包括与基板表面反应的物种的气体。例如,第一“反应气体”可简单地吸附到基板表面上,并且可用于与第二反应气体进一步化学反应
如本说明书和随附权利要求书中所用,术语“低压”表示低于约100Torr,或低于约75Torr,或低于约50Torr,或低于约25Torr的压力。例如,定义为在约1Torr至约25Torr的范围内的“介质压力”为低压。
旋转平台腔室被视为用于众多应用。在此腔室中,一或多个晶片被置于旋转固持器(“平台”)上。随着平台旋转,晶片在各处理区域间移动。例如,在ALD中,处理区域将晶片暴露至前驱物和反应剂。另外,出于增强膜生长或改良膜性质的目的,暴露的等离子体可被用作反应剂或用于处理所述膜或基板表面。当使用旋转平台ALD腔室时,本公开的一些实施方式提供ALD膜的均匀沉积和后处理(例如,致密化)。
旋转平台ALD腔室可以通过传统时域处理或通过空间ALD来沉积膜,在传统时域处理中将整个晶片暴露至第一气体、净化并随后暴露至第二气体,在空间ALD中将晶片的一些部分暴露至第一气体且一些部分暴露至第二气体以及所述晶片穿过这些气流移动来沉积所述层。
如本说明书和随附权利要求书中所用,术语“饼形”和“楔形”可互换地使用以描述一般呈圆扇形的主体。例如,楔形节段可为圆形或盘形结构的一小部分。所述饼形节段的内边缘可以成为一点,或可以截取为平坦边缘或圆形。基板路径可以垂直于气体端口。在一些实施方式中,每个气体注入器组件包括多个细长气体端口,所述细长气体端口在基本上垂直于基板经过的路径的方向上延伸,其中所述气体端口的前边缘基本上平行于平台。如本说明书和随附权利要求书中所用,术语“基本上垂直”表示所述基板的一般移动方向沿着近似垂直于(例如,约45°至90°)气体端口的轴的平面。对于楔形气体端口而言,气体端口的轴可被视为定义为沿端口长度延伸的端口宽度中点的线。
图1示出处理腔室100的剖面,所述处理腔室100包括气体分配组件120,亦称为注入器或注入器组件;和基座组件140。气体分配组件120是用于处理腔室的任何类型气体输送设备。气体分配组件120包括面对基座组件140的前表面121。前表面121可以具有任何数量或种类的开口以将气流向基座组件140输送。气体分配组件120亦包括外周边缘124,在示出的实施方式中,外周边缘124基本上是圆形的。
使用的气体分配组件120的具体类型可以根据使用的特定工艺而变化。本公开的实施方式可以与任何类型的处理系统一起使用,其中在基座和气体分配组件之间的间隙是受控的。尽管可以采用各种类型的气体分配组件(例如,喷淋头),本公开的实施方式可特别与空间ALD气体分配组件一起使用,空间ALD气体分配组件具有多个基本上平行的气体通道。如本说明书和随附权利要求书中所用,术语“基本上平行”表示所述气体通道的细长轴线在大致相同方向延伸。在气体通道的平行性方面可能存在轻微缺陷。所述多个基本上平行的气体通道可以包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道,和/或至少一个真空V通道。将从一或多个第一反应气体A通道、一或多个第二反应气体B通道和一或多个净化气体P通道流出的气体导向晶片的顶表面。气流中的一些跨晶片表面水平移动并通过一或多个净化气体P通道离开处理区域。从气体分配组件的一端移动至另一端的基板将暴露至每个工艺气体,继而在基板表面上形成层。
在一些实施方式中,气体分配组件120是由单个注入器单元组成的刚性固定主体。在一或多个实施方式中,气体分配组件120由多个单独扇区(例如,注入器单元122)组成,如图2所示。单件主体或多扇区主体可以用于本公开描述的各个实施方式。
基座组件140定位在气体分配组件120下方。基座组件包括顶表面141和在顶表面141中的至少一个凹槽142。基座组件140亦具有底表面143和边缘144。凹槽142可以是根据正在处理的基板60的形状和尺寸而定的任何适宜形状和尺寸。在图1所示的实施方式中,凹槽142具有用于支持晶片底部的平坦底部;然而,所述凹槽的底部可以变化。在一些实施方式中,所述凹槽具有围绕所述凹槽的外周边缘的阶梯区域,所述阶梯区域经尺寸设定成支承晶片的外周边缘。由阶梯支持的晶片的外周边缘的量可以根据例如晶片厚度和已经存在于晶片背面的特征而变化。
如图1所示,在一些实施方式中,在基座组件140的顶表面141中的凹槽142经尺寸设定成使得在凹槽142中支承的基板60具有与基座140的顶表面141基本上共平面的顶表面61。如本说明书和随附权利要求书中所用,术语“基本上共平面”表示晶片的顶表面和基座组件的顶表面在±0.2mm的偏差内共面。在一些实施方式中,所述顶表面在±0.15mm、±0.10mm或±0.05mm的偏差内共面。
图1中的基座组件140包括支柱160,所述支柱160能够升高、降低和旋转基座组件140。所述基座组件可以包括加热器、或气体管线,或在支柱160的中心内的电部件。支柱160可以是增加或减少在基座组件140和气体分配组件120之间的间隙、将基座组件140移动至适当位置的主要装置。基座组件140还可以包括调整制动器162,所述调整制动器162可以微调基座组件140以在基座组件140和气体分配组件120间产生预定间隙170。在一些实施方式中,间隙170距离是在约0.1mm至约5.0mm的范围内、或在约0.1mm至约3.0mm的范围内、或在约0.1mm至约2.0mm的范围内、或在约0.2mm至约1.8mm的范围内、或在约0.3mm至约1.7mm的范围内、或在约0.4mm至约1.6mm的范围内、或在约0.5mm至约1.5mm的范围内、或在约0.6mm至约1.4mm的范围内、或在约0.7mm至约1.3mm的范围内、或在约0.8mm至约1.2mm的范围内、或在约0.9mm至约1.1mm的范围内、或约1mm。
在图中示出的处理腔室100是转盘式腔室,其中基座组件140可以固持多个基板60。如图2中所示,气体分配组件120可以包括多个分离的注入器单元122,当晶片在所述注入器单元下方移动时,每个注入器单元122能够在晶片上沉积膜。示出的两个饼形注入器单元122定位在基座组件140的大致相对侧上且定位在基座组件140的上方。仅出于例示目的示出注入器单元122的数量。将会了解,可以包括更多或更少的注入器单元122。在一些实施方式中,存在足够数量的饼形注入器单元122以形成与基座组件140的形状共形的形状。在一些实施方式中,每个独立饼形注入器单元122可以独立地移动、移除和/或替代,而不影响任何其他注入器单元122。例如,一个节段可以升高以允许机器人进入在基座组件140和气体分配组件120间的区域,从而装载/卸载基板60。
具有多个气体注入器的处理腔室可以用于同时处理多个晶片,使得所述晶片经历相同工艺流程。例如,如图3所示,处理腔室100具有四个气体注入器组件和四个基板60。在处理开始时,基板60可以定位在注入器组件30之间。以45°旋转17基座组件140将会导致在气体分配组件120间的每个基板60被移动到用于膜沉积的气体分配组件120,如由在气体分配组件120下方的虚线圆环例示。进一步45°旋转将移动基板60远离注入器组件30。利用空间ALD注入器,在晶片相对于注入器组件的移动期间,将膜沉积到晶片上。在一些实施方式中,基座组件140递增旋转,从而防止基板60停在气体分配组件30下方。基板60和气体分配组件120的数量可为相同或不同的。在一些实施方式中,存在数量与存在的气体分配组件的数量相同的正被处理的晶片。在一或多个实施方式中,正被处理的晶片数量是气体分配组件数量的分数或整数倍数。例如,如果存在四个气体分配组件,那么存在正被处理的4x个晶片,其中x是大于或等于1的整数值。
图3中所示的处理腔室100仅是一个代表性的可能配置,并且不应视为限制本公开的范围。在此,处理腔室100包括多个气体分配组件120。在所示实施方式中,存在围绕处理腔室100均匀间隔的四个气体分配组件(亦称为注入器组件30)。所示处理腔室100是八边形的,然而,本领域的技术人员将会理解,这是一个可能的形状,并且不应视为限制本公开的范围。所示气体分配组件120是梯形的,但可为一个圆形部件或由多个饼形节段制成,就像图2所示那样。
图3中所示的实施方式包括装载锁定腔室180,或辅助腔室,如缓冲站。此腔室180连接至处理腔室100的一侧,以允许例如所述基板(也称为基板60)从处理腔室100装载/卸载。晶片机器人可定位在腔室180中以将基板移动至基座上。
所述转盘(例如,基座组件140)的旋转可以为连续或不连续的。在连续处理中,晶片不断地旋转使得其等继而暴露至每个注入器。在不连续处理中,可以将晶片移动至注入器区域并停止,随后移动至在注入器之间的区域84并停止。例如,转盘可以旋转使得晶片从注入器内区域跨注入器移动(或在注入器附近停止)并至下一注入器内区域(其中所述转盘可以再次暂停)上。在注入器之间的暂停可提供用于在每个层沉积之间的附加的处理步骤(例如,暴露至等离子体)的时间。
图4显示气体分配组件220的扇区或部分(可以称为注入器单元122)。注入器单元122可以独立地或与其他注入器单元结合使用。例如,如图5所示,四个图4的注入器单元122结合以形成单个气体分配组件220。(出于简洁目的,未图示分离四个注入器单元的线。)尽管图4的注入器单元122具有除净化气体端口155和真空端口145外的第一反应气体端口125和第二反应气体端口135,但是注入器单元122不需要全部这些组件。
参看图4和图5,根据一或多个实施方式的气体分配组件220可以包含多个扇区(或注入器单元122),每个扇区是相同或不同的。气体分配组件220定位在处理腔室中并包含在气体分配组件220的前表面121中的多个细长气体端口125、135、145。所述多个细长气体端口125、135、145、155从在内周边缘123附近的区域延伸至在气体分配组件220的外周边缘124附近的区域。所示的多个气体端口包括第一反应气体端口125、第二反应气体端口135、围绕第一反应气体端口和第二反应气体端口的每一个的真空端口145和净化气体端口155。
根据图4或图5所示的实施方式,当陈述所述端口从至少内周区域附近延伸至至少外周区域附近时,然而,所述端口可以不仅从内部至外部区域径向延伸。所述端口可以切向延伸为围绕反应气体端口125和反应气体端口135的真空端口145。在图4和图5所示的实施方式中,楔形反应气体端口125、135的全部边缘(包括在内周区域和外周区域附近)被真空端口145包围。
参看图4,随着基板沿着路径127移动,所述基板表面的每个部分暴露于各种反应气体。遵循路径127,所述基板将暴露于,或“经过”净化气体端口155、真空端口145、第一反应气体端口125、真空端口145、净化气体端口155、真空端口145、第二反应气体端口135和真空端口145。因此,在图4所示的路径127的末端,所述基板已经暴露于来自第一反应气体端口125和第二反应气体端口135的气流,从而形成层。所示的注入器单元122形成四分之一圆,但可以更大或更小。图5所示的气体分配组件220可以被认为是串联的四个图4的注入器单元122的组合。
图4的注入器单元122示出分离反应气体的气幕150。所使用的术语“气幕”描述分离反应气体以免其混合的气流或真空的任何组合。图4所示的气幕150包含紧接着第一反应气体端口125的真空端口145的部分、在中间的净化气体端口155和紧接着第二反应气体端口135的真空端口145的部分。此气流和真空的组合可以用于防止或最小化第一反应气体和第二反应气体的气相反应。
参看图5,来自气体分配组件220的气流和真空的组合在多个处理区域250中形成隔离。处理区域可粗略定义为利用在250间的气幕150围绕独立反应气体端口125、135。图5中所示的实施方式构成其间具有八个分离的气幕150的八个处理区域250。处理腔室可以具有至少两个处理区域。在一些实施例中,存在至少三个、四个、五个、六个、七个、八个、九个、10个、11个或12个处理区域。
在处理期间,基板可以在任何给定时间暴露于超过一个处理区域250。然而,暴露于不同处理区域的部分将具有分离这两者的气幕。例如,若基板的前缘进入包括第二反应气体端口135的处理区域,那么所述基板的中间部分将在气幕150下方且所述基板的后缘将在包括第一反应气体端口125的处理区域中。
所示的工厂接口280(可以是例如装载锁定腔室)连接至处理腔室100。所示基板60重叠在气体分配组件220上以提供参考框架。基板60通常可以置于基座组件上以固持在气体分配组件120(亦称为气体分配板)的前表面121附近。经由工厂接口280将基板60装载到处理腔室100中且至基板支撑件或基座组件(参看图3)上。所示的基板60可以定位在处理区域中,由于所述基板位于第一反应气体端口125附近并在两个气幕150a、150b之间。沿着路径127旋转基板60将围绕处理腔室100逆时针移动所述基板。因此,基板60将暴露于第一处理区域250a一直到第八处理区域250h(包括其间的全部处理区域)。针对围绕处理腔室的每个循环而言,使用所示的气体分配组件,基板60将暴露至第一反应气体和第二反应气体的四个ALD循环。
如图5那样,在批量处理器中的常规ACL序列维持分别来自其间具有泵送/净化区段的空间分离的注入器的化学品A和B流。常规ALD序列具有可以导致沉积的膜的不均匀性的开始和结束图案。发明者已经惊讶地发现,在空间ALD分批处理腔室中执行的基于时间的ALD工艺提供具有较高均匀性的膜。暴露至气体A、无反应气体、气体B、无反应气体的基本工艺将扫描在注入器下方的基板,用以分别利用化学品A和B来使所述表面饱和以避免在膜中具有开始和结束图案形式。发明者已经惊讶地发现,当目标膜厚度是薄的(例如,少于20个ALD循环)时,基于时间的方法是特别有利的,其中开始和结束图案对晶片均匀性性能具有显著影响。发明者还已经发现,如本文所描述,用于产生SiCN、SiCO和SiCON膜的反应工艺不可以利用时域工艺完成。用于净化所述处理腔室的时间量导致材料从基板表面的剥离。由于在气幕下的时间较短,描述的空间ALD工艺不发生所述剥离。
由此,本公开的实施方式涉及处理方法,所述处理方法包含处理腔室100,所述处理腔室100具有多个处理区域250a至250h,每个处理区域由气幕150与相邻区域分离。例如,图5所示的处理腔室。在处理腔室中的气幕和处理区域的数量可以根据气流的布置为任何适宜数量。图5所示的实施方式具有八个气幕150和八个处理区域250a至250h。气幕的数量一般等于或大于处理区域的数量。例如,若区域250a不具有反应气流,而仅充当装载区域,所述处理腔室将具有七个处理区域和八个气幕。
多个基板60定位在基板支撑件(例如,图1和图2所示的基座组件140)上。多个基板60围绕用于处理的处理区域旋转。一般来说,在整个处理期间(包括没有反应气体流入腔室的时期)气幕150被接合(气体流动和真空开启)。
第一反应气体A流入一或多个处理区域250,同时惰性气体流入不具有流入其中的第一反应气体A的任何处理区域250。例如,若第一反应气体流入处理区域250b一直到处理区域250h,那么惰性气体将流入处理区域250a。所述惰性气体可以流经第一反应气体端口125或第二反应气体端口135。
在处理区域中的惰性气流可以是固定或变化的。在一些实施方式中,反应气体与惰性气体共同流动。所述惰性气体将充当载体或稀释剂。由于相比于载气,反应气体的量是小的,共同流动可以通过降低在相邻区域间的压力的差异而较为容易地平衡在处理区域间的气压。
本公开的一些实施方式涉及注入器模块。尽管关于空间ALD处理腔室描述注入器模块,本领域的技术人员将会了解,所述模块并不限于空间ALD腔室且可以应用至任何注入器位置(其中增加气流均匀性是有用的)。
本公开的一些实施方式有利地提供模块化等离子体源组件,即,可以易于插入处理系统并从处理系统移除的来源。此来源可使其全部或大部分硬件在与原子层沉积处理相同的压力等级(通常1至50Torr)下操作。本公开的一些实施方式提供具有跨晶片表面的改进的离子流的等离子体源。在一些实施方式中,等离子体源包括在三个板间的电容源,所述三个板基本上垂直于晶片表面对齐。在一些实施方式中,外板接地且内板通电。等离子体可以在所述板间产生,同时气体物种在所述板间流向晶片表面。等离子体基本上受限于来源并最小化到达晶片表面的来自通电板的溅射材料。本公开的一些实施方式有利地提供等离子体源,所述等离子体源最小化或消除由从热电极溅射的材料对基板的污染。一些实施方式亦有利地提供软等离子体,所述软等离子体基本上不改变基板表面。一或多个实施方式提供一种装置,所述装置可以产生等离子体而不允许电气返回路径穿过所述基板。
在RF热电极(通电电极)和接地板(称为返回电极)间的间隙可变化。在一些实施方式中,所述间隙是在约4mm至约15mm的范围内并是可调节的。RF热电极的宽度可以是变化的。例如,所述板可以是锥形的以加速离子。在使用中,在RF热电极和返回电极间的间隙中流动的气体物种变得离子化。所述离子化的物种随后可以接触基板表面。由各种实施方式形成的等离子体是软等离子体,所述软等离子体基本上不改变基板表面。
参看图6至图15,本公开的一或多个实施方式涉及模块电容耦合等离子体源300。如本说明书和随附权利要求书中所用,术语“模块化”表示等离子体源300可以附接至处理腔室或从处理腔室移除。模块化源一般可以由单人移动、移除或附接。
图6示出根据本公开的一或多个实施方式的等离子体源组件300的剖面图。图6中所示的等离子体源组件包括壳体310,所述壳体310具有气体入口315和正面312。所述气体入口315允许气流沿着流动路径318移动,经过壳体310并离开在正面312中的开口313。出于描述的目的,所示实施方式具有偏心示出的气体入口315,但是本领域的技术人员将会理解气体入口315可在壳体310的中心处。另外,一些实施方式包括气室316,所述气室316用以增加经过流动路径318的气流的均匀性。
等离子体源组件300包括RF热电极320和至少一个返回电极330。返回电极330是形成具有RF热电极320的闭合电路的任何导电材料。本领域的技术人员将会理解,返回电极330可以提供用于电子流动的路径。以此方式使用的术语“返回”表示所述电极是等离子体部件的电路径的一部分,且并不暗示电流或电子的方向。
参看图6至图8,RF热电极320具有第一表面322和与第一表面322相对的第二表面324。图6示出等离子体源组件300的剖面,而图7和图8示出电极的部分立体图。如在此方面中所使用,第一表面322和第二表面324是在厚度为T的RF热电极320的相对侧上。RF热电极320一般定型为具有高度H、厚度T和长度L的矩形棱柱。RF热电极320具有基本上平行于流动路径318定向的第一表面322。如在此方面中所使用,术语“基本上平行”表示所述表面在平行(定义为0°)的±10°内。
返回电极330类似于RF热电极320一样地定型。返回电极具有基本上平行于流动路径318定向的第一表面332。返回电极330的第一表面332与RF热电极320的第一表面322相隔开以形成间隙340。
返回电极330、330b可以是任何适宜材料,所述任何适宜材料包括但不限于铝、不锈钢和铜。返回电极330、330b可以具有任何适宜电气特性。在一些实施方式中,返回电极330、330b是接地电极。接地电极是与电气接地电接触的任何导电材料。
在一些实施方式中,返回电极330、330b是不同于RF热电极320的通电电极。如在此方面中所使用,术语“不同于RF热电极”表示电气性质或电位是不同于RF热电极的。例如,可使用移相器从单一源以推拉方式调节产生的等离子体的驱动功率,从而最小化与晶片的相互作用。在此类实施方式中,RF热电极320可以是例如与返回电极330具有180°异相。
如图7所示,所述等离子体源组件的一些实施方式进一步包含第二返回电极330b。所述第二返回电极330b具有基本上平行于流动路径318定向的第一表面332b。第二返回电极330b的第一表面332b与RF热电极320的第二表面324相隔开以形成间隙340b。间隙340和间隙340b可以具有相同或不同尺寸。在一些实施方式中,在RF热电极320和返回电极330、330b间的间隙340、340b是在约4mm至约15mm的范围内、或在约5mm至约14mm的范围内、或在约7mm至约13mm的范围内、或在约9mm至约11mm的范围内、或约11mm。
参看图9,在一些实施方式中,在RF热电极320和返回电极330、330b间的间隙340、340b沿着电极的高度H改变。在所示的实施方式中,与在正面312附近相比,在气体入口315附近的厚度T是较大的。换句话说,与在正面312附近相比,在气体入口315附近的间隙340、340b的尺寸是较小的。在不受操作的任何特殊理论限制的情况下,据信RF热电极320的锥形厚度可导致离子向晶片加速。
RF热电极320的厚度T可以是取决于例如电极材料的任何适宜厚度。在一些实施方式中,RF热电极具有在约3mm至约11mm的范围内、或在约4mm至约10mm的范围内、或约6mm至约9mm或约8mm的范围内的厚度。
RF热电极320的高度H可以是变化的。在一些实施方式中,RF热电极320的高度H是在约8mm至约40mm的范围内、或在约9mm至约35mm的范围内、或在约10mm至约30mm的范围内、或在约11mm至约25mm的范围内、或在约12mm至约20mm的范围内、或在约13mm至约15mm或约14mm的范围内。
在一些实施方式中,等离子体源组件300的壳体310是楔形的。图10A和10B示出结合楔形壳体310的两个实施方式。在图10A中,RF热电极320和返回电极330沿着壳体310的主轴308延伸。如在此方面中所使用,主轴308指在壳体310的内周边缘123和外周边缘124中间之间的轴。在图10B中,RF热电极320和返回电极330垂直于壳体310的主轴308延伸。
在RF热电极320和返回电极330之间的间隔在整个等离子体源组件上可以是基本上相同的或可以变化。例如,在一些实施方式中,与在内周边缘123附近相比,RF热电极和返回电极在楔形壳体310的外周边缘124处更远地间隔开。
图11示出本公开的另一实施方式,其中RF热电极320在壳体310中具有蛇形形状。如在此方面中所使用,术语“蛇形形状”表示所述电极具有缠绕形状。所述形状可以与壳体310的形相符相。例如,图11的壳体310是楔形的且RF热电极320具有蛇形形状,与内周边缘123附近相比,所述蛇形在外周边缘124附近较大。返回电极330具有与RF热电极互补的形状以沿着蛇形形状的长度基本上维持相同的间隙340。如在此方面中所使用,术语“基本上相同的间隙”表示沿着全长的间隙不变化超过平均间隙的10%。端部电介质350可以定位在RF热电极320和返回电极330之间。端部电介质350可以是任何适宜材料,所述任何适宜材料可以最小化在RF热电极320和返回电极330之间的电气连接。
图12示出本公开的另一实施方式,其中RF热电极320具有多个指状件328,所述指状件328垂直于壳体310的主轴308延伸。尽管所示实施方式具有四个指状件328,本领域的技术人员将会了解,RF热电极320可以根据例如壳体310尺寸具有任何适宜数量的指状件328。返回电极330具有与RF热电极320互补的形状,使得在返回电极330上存在多个指状件338。在一些实施方式中,返回电极330被定型以在RF热电极320和返回电极330之间基本上维持相同的间隙。图12中所示的楔形壳体310具有在最内层指状件328和最外层指状件328附近的间隙,所述间隙大于中间指状件附近的间隙。此变化可以是由于壳体310的形状或由于控制于此等区域的等离子体密度。
一些实施方式包括与RF热电极320的下边缘329相邻的间隔件360。参看图13,示出的RF热电极320在两个返回电极330之间。间隔件360从基板60和基座组件140分离RF热电极320的下边缘329。在一些实施方式中,间隔件360的存在有助于防止或最小化RF热电极320的溅射污染基板60。间隔件360可以由任何适宜材料制得,所述材料包括但不限于电介质(例如,陶瓷材料)。可以调节间隔件360的尺寸以从基板60附近移动RF热电极320的下边缘329。在一些实施方式中,间隔件360具有在约10mm至约25mm的范围内、或在约13mm至约20mm的范围内或约17mm的长度Ls。
图14示出本公开的另一实施方式。RF热电极320具有与下边缘329相邻的间隔件360。返回电极331(例如,接地或通电)与间隔件360相邻,所述返回电极331从基板60和基座组件分离间隔件。在不受到操作的任何特殊理论限制的情况下,据信间隔件360和返回电极331的组合最小化RF热电极320与基板的直接相互作用。尽管在图14中示出两个RF热电极320和两个返回电极330,本领域的技术人员将会了解可以存在任何适宜数量的RF热电极320和返回电极330。
参看图1、图2、图8和图15,本公开的一些实施方式涉及处理腔室100,所述处理腔室100包括基座组件140和气体分配组件120。图15示出根据本公开的一或多个实施方式的处理腔室100的剖面图。基座组件140具有顶表面141,所述顶表面141用于支承多个基板60并围绕中心轴161旋转多个基板60。
气体分配组件120具有前表面121,所述前表面121面对基座组件140的顶表面141以将气流导向基座组件140的顶表面141。一些实施方式的气体分配组件120包括等离子体源组件300,所述等离子体源组件300具有楔形壳体310。楔形壳体具有界定壳体310的主轴308的内周边缘123和外周边缘124。壳体310具有第一侧面371、第二侧面372、气体入口315和正面312。流动路径被界定为由从气体入口315流经壳体310并离开正面312的气体所遵循的路径。
等离子体源组件300具有至少一个RF热电极320,所述RF热电极320具有基本上平行于流动路径定向的第一表面322。在所示的实施方式中,存在三个RF热电极320。至少一个返回电极330是在壳体310中并具有第一表面332,所述第一表面332平行于流动路径定向并与RF热电极320的第一表面322相隔开以形成间隙340。等离子体源组件300的楔形壳体310的正面312定位在距基座组件140的顶表面141且在约1mm至约5mm的范围内、或在约1.5mm至约4mm的范围内或约2mm的距离处。图15所示的实施方式仅是一个具有等离子体源组件的处理腔室的代表性的可能配置,并且不应视为限制本公开的范围。
返回参考图6,一些实施方式包括同轴RF馈送管线380,所述同轴RF馈送管线380穿过壳体310并提供用于RF热电极320的功率以在间隙340中产生等离子体。同轴RF馈送管线380包括由绝缘体386分离的外部导体382和内部导体384。内部导体384与RF热电极320电气连通,且外部导体382与电气接地或与和RF热电极不同的相电源电气连通。如本说明书和随附权利要求书中所用,术语“电气连通”表示部件直接或通过中间部件连接,使得几乎不存在电阻。
可构建同轴RF馈送管线380,以使得外部导体382在返回电极330上终止。内部导体384可以在RF热电极320上终止。在一些实施方式中,气体入口325馈给围绕同轴馈送外周的壳体。所述RF馈送可以呈同轴输送线的形式。外部导体可以在返回电极中连接/终止,且内部导体连接至RF热电极。返回电极330可以通过任何适宜方法连接至金属壳体,所述任何适宜方法包括但不限于金属衬垫。此举有助于确保返回电流的对称几何形状。全部返回电流流向馈送的外部导体,最小化RF噪声。在一些实施方式中,RF馈送可被设计以将对称RF馈送电流提供至RF热电极,并提供对称返回电流。全部返回电流流向外部导体,最小化RF噪音,并最小化来源安装对操作的影响。
本公开的附加实施方式涉及处理基板的方法。关于图15的实施方式来描述通用方法,但是将会了解,所述等离子体源组件可以是任何实施方式或所述实施方式的组合。基板60定位在在气体分配组件120附近的基座组件140上。气体分配组件120包括根据本公开的一或多个实施方式的等离子体源组件。气体流经楔形壳体310的气体入口315,进入在RF热电极320和返回电极330之间的间隙340。将RF热电极320通电以在间隙340中形成等离子体。等离子体流出壳体310的正面312以将基板60暴露至等离子体。
本公开的一些实施方式涉及处理腔室,所述处理腔室包括至少一个电容耦合的楔形等离子体源100,所述电容耦合的楔形等离子体源100沿着在处理腔室中的弓形路径定位。如本说明书和随附权利要求书中所用,术语“弓形路径”表示穿过圆形或椭圆形路径的至少一部分的任何路径。弓形路径可包括基板沿着部分路径移动至少约5°、10°、15°、20°。
本公开的附加实施方式涉及处理多个基板的方法。所述多个基板装载到处理腔室中的基板支撑件上。基板支撑件旋转以使所述多个基板的每个基板跨气体分配组件传递以在基板上沉积膜。基板支撑件旋转以将基板移动至在电容耦合的楔形等离子体源附近的等离子体区域,所述电容耦合的楔形等离子体源在等离子体区域中产生基本上均匀的等离子体。重复此举直至形成预定厚度的膜。
转盘的旋转可以是连续或不连续的。在连续处理中,晶片不断地旋转使得其等继而暴露至每个注入器。在不连续的处理中,可以将晶片移动至注入器区域并停止,随后移动至在注入器之间的区域并停止。例如,转盘可以旋转以使得晶片从注入器内区域跨注入器移动(或在注入器附近停止)并至下一注入器内区域(其中所述转盘可以再次暂停)上。在注入器之间的暂停可提供用于在每个层沉积间的额外处理(例如,暴露至等离子体)的时间。
可以根据正在使用的具体反应物种来调节等离子体的频率。适宜频率包括但不限于400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz和100MHz
根据一或多个实施方式,在形成层之前和/或之后所述基板经受处理。此处理可以在相同腔室中或在一或多个分离的处理腔室中执行。在一些实施方式中,将基板从第一腔室移动至分离的第二腔室以供进一步处理。所述基板可以直接从第一腔室移动至分离的处理腔室,或所述基板可从第一腔室移动至一或多个传送腔室,并随后移动至分离的处理腔室。另外,处理装置可以包含与传送站连通的多个腔室。此类装置可被称为“群集工具”或“群集系统”、和类似者。
一般来说,群集工具是模块化系统,所述模块化系统包含多个腔室,所述腔室执行包括基板中心查找和定向、除气、退火、沉积和/或蚀刻的各种功能。根据一或多个实施方式,群集工具包括至少第一腔室和中心传送腔室。中心传送腔室可以容纳机器人,所述机器人可以在处理腔室与装载锁定腔室之间和在处理腔室与装载锁定腔室之中穿梭移动基板。传送腔室通常维持于真空状态下并提供用于从一个腔室至另一个腔室和/或至定位在群集工具前端的装载锁定腔室穿梭移动基板的中间平台。可以适用于本公开的两种熟知群集工具是
Figure BDA0001156545210000151
Figure BDA0001156545210000152
两者均可以购自加利福尼亚州圣克拉拉市的应用材料有限公司。然而,出于执行如本文描述的具体处理步骤的目的,腔室的准确布置和组合可以更改。可以使用的其他处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、诸如RTP的热处理、等离子体氮化、除气、定向、羟基化和其他基板处理。通过在群集工具上的腔室中进行处理,可以在沉积后续膜之前未氧化的情况下避免由大气杂质污染基板表面。
根据一或多个实施方式,在从一个腔室被移动至下一个腔室时,所述基板继续在真空或“装载锁定”条件下,并不暴露至环境空气。所述传送腔室由此在真空下且在真空压力下“抽空”。惰性气体可以存在于处理腔室或传送腔室中。在一些实施方式中,惰性气体用作净化气体,用以在基板表面上形成层之后移除一些或全部反应剂。根据一或多个实施方式,在沉积腔室出口注入净化气体,用以防止反应剂从沉积腔室移动至传送腔室和/或附加的处理腔室。由此,惰性气体的流动在腔室的出口形成气幕。
在处理期间,可以加热或冷却所述基板。此加热或冷却可以通过任何适宜方式实现,所述任何适宜方式包括但不限于改变基板支撑件(例如,基座)的温度并使加热或冷却的气体流至基板表面。在一些实施方式中,所述基板支撑件包括加热器/冷却器,所述加热器/冷却器可以被控制以传导性地改变基板温度。在一或多个实施方式中,加热或冷却正在使用的气体(反应气体或惰性气体)以使基板温度局部改变。在一些实施方式中,加热器/冷却器定位在基板表面附近的腔室中以传导性地改变基板温度。
基板在处理期间还可以是固定或旋转的。旋转的基板可以连续地或在非连续步骤中旋转。例如,基板可以在整个处理期间旋转,或所述基板可以在暴露至不同反应或净化气体之间旋转一个较小的量。通过最小化例如在气流几何形状中的局部变化性的影响,在处理期间旋转所述基板(连续或分步)可以有助于产生更均匀的沉积或蚀刻。
虽然前文涉及本公开的实施方式,但可在不脱离本公开的基本范围之情况下设计本公开的其他和进一步实施方式,且本公开的范围由所附权利说明书确定。

Claims (19)

1.一种等离子体源组件,所述等离子体源组件包括:
楔形的壳体,所述壳体具有界定所述壳体的主轴的内周端部和外周端部、第一侧面、第二侧面、界定流动路径的气体入口和正面,所述气体入口允许气流沿着所述流动路径移动以穿过所述壳体并离开所述正面;
在所述壳体中的RF热电极,所述RF热电极具有基本上平行于所述流动路径定向的第一表面;以及
在所述壳体中的返回电极,所述返回电极具有第一表面,所述第一表面基本上平行于所述流动路径定向并与所述RF热电极的所述第一表面相隔开以在所述RF热电极和所述返回电极之间界定间隙,其中所述间隙界定所述流动路径。
2.如权利要求1所述的等离子体源组件,进一步包括第二返回电极,所述第二返回电极具有第一表面,所述第一表面基本上平行于所述流动路径定向并与所述RF热电极的第二表面相隔开以形成第二间隙,所述RF热电极的所述第二表面与所述RF热电极的所述第一表面相对。
3.如权利要求1所述的等离子体源组件,其中所述返回电极是接地电极。
4.如权利要求1所述的等离子体源组件,其中所述返回电极是不同于所述RF热电极的通电电极。
5.如权利要求1所述的等离子体源组件,其中在所述RF热电极和所述返回电极间的间隙是在4mm至15mm的范围内。
6.如权利要求1所述的等离子体源组件,其中在所述RF热电极和所述返回电极间的间隙从在所述气体入口附近的较窄间隙变化为在所述正面附近的较宽间隙。
7.如权利要求6所述的等离子体源组件,其中与在所述正面附近相比,在所述气体入口附近的所述RF热电极的厚度是较大的。
8.如权利要求1所述的等离子体源组件,其中所述RF热电极具有在3mm至11mm的范围内的厚度。
9.如权利要求1所述的等离子体源组件,其中所述RF热电极具有在8mm至40mm的范围内的高度。
10.如权利要求1所述的等离子体源组件,其中所述RF热电极和返回电极沿着所述壳体的主轴延伸。
11.如权利要求1所述的等离子体源组件,其中所述RF热电极和返回电极垂直于所述壳体的主轴延伸。
12.如权利要求1所述的等离子体源组件,其中所述RF热电极具有蛇形形状且所述返回电极具有互补形状以沿着所述蛇形形状的长度基本上维持相同的间隙。
13.如权利要求1所述的等离子体源组件,其中所述RF热电极具有垂直于所述壳体的主轴延伸的多个指状件。
14.如权利要求13所述的等离子体源组件,其中所述返回电极具有与所述RF热电极互补的形状以在所述RF热电极和所述返回电极间基本上维持相同的间隙。
15.如权利要求1所述的等离子体源组件,进一步包括在所述RF热电极的下边缘附近的间隔件。
16.一种处理腔室,所述处理腔室包括:
在所述处理腔室中的基座组件,所述基座组件具有顶表面以用于支承多个基板并围绕中心轴旋转所述多个基板;以及
气体分配组件,所述气体分配组件具有面对所述基座组件的所述顶表面的前表面以用于将气流导向所述基座组件的所述顶表面,所述气体分配组件包括等离子体源组件,所述等离子体源组件包括:
楔形壳体,所述楔形壳体具有界定所述壳体的主轴的内周端部和外周端部、第一侧面、第二侧面、气体入口和正面,所述气体入口和正面界定流动路径,所述气体入口允许气流沿着所述流动路径移动以穿过所述壳体并离开所述正面;
在所述壳体中的至少一个RF热电极,所述RF热电极具有基本上平行于所述流动路径定向的第一表面;以及
在所述壳体中的至少一个返回电极,所述返回电极具有第一表面,所述第一表面基本上平行于所述流动路径定向并与所述RF热电极的第一表面相隔开以在所述RF热电极和所述返回电极之间界定间隙,其中所述间隙界定所述流动路径,其中所述等离子体源组件的所述楔形壳体的所述正面定位在距所述基座组件的所述顶表面1mm至5mm范围内的距离处。
17.如权利要求16所述的处理腔室,其中所述至少一个RF热电极和至少一个返回电极沿着所述壳体的所述主轴延伸。
18.如权利要求16所述的处理腔室,其中所述至少一个RF热电极和至少一个返回电极垂直于所述壳体的所述主轴延伸。
19.一种处理基板的方法,所述方法包括:
将基板定位在气体分配组件附近的基座组件上,所述气体分配组件包括等离子体源组件,所述等离子体源组件包括
楔形壳体,所述楔形壳体具有界定所述壳体的主轴的内周端部和外周端部、第一侧面、第二侧面、气体入口和正面,所述气体入口和正面界定流动路径,所述气体入口允许气流沿着所述流动路径移动以穿过所述壳体并离开所述正面;
在所述壳体中的至少一个RF热电极,所述RF热电极具有基本上平行于所述流动路径定向的第一表面;以及
在所述壳体中的至少一个返回电极,所述返回电极具有第一表面,所述第一表面基本上平行于所述流动路径定向并与所述RF热电极的第一表面相隔开以形成间隙;
使气体流经所述楔形壳体的所述气体入口进入在所述RF热电极和所述返回电极间的间隙中;
将所述RF热电极通电以在所述间隙中形成等离子体;以及
将所述基板暴露至所述等离子体。
CN201611028706.4A 2015-11-20 2016-11-18 横向等离子体/自由基源 Active CN106783499B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710545902.7A CN107338423B (zh) 2015-11-20 2016-11-18 等离子体源组件

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562258386P 2015-11-20 2015-11-20
US62/258,386 2015-11-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710545902.7A Division CN107338423B (zh) 2015-11-20 2016-11-18 等离子体源组件

Publications (2)

Publication Number Publication Date
CN106783499A CN106783499A (zh) 2017-05-31
CN106783499B true CN106783499B (zh) 2021-01-22

Family

ID=58719808

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710545902.7A Active CN107338423B (zh) 2015-11-20 2016-11-18 等离子体源组件
CN201611028706.4A Active CN106783499B (zh) 2015-11-20 2016-11-18 横向等离子体/自由基源

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710545902.7A Active CN107338423B (zh) 2015-11-20 2016-11-18 等离子体源组件

Country Status (4)

Country Link
US (1) US10121655B2 (zh)
JP (2) JP6951068B2 (zh)
KR (2) KR102656575B1 (zh)
CN (2) CN107338423B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220025146A (ko) * 2014-05-21 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
TWI733021B (zh) 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
KR102456063B1 (ko) * 2017-12-15 2022-10-19 어플라이드 머티어리얼스, 인코포레이티드 수직 플라즈마 소스로부터의 개선된 플라즈마 노출을 위한 성형된 전극들
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
KR102652116B1 (ko) * 2018-06-18 2024-03-29 어플라이드 머티어리얼스, 인코포레이티드 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
WO1996025760A1 (fr) 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US6300720B1 (en) * 1997-04-28 2001-10-09 Daniel Birx Plasma gun and methods for the use thereof
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP2002504744A (ja) 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP2000299367A (ja) 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
JP3722733B2 (ja) * 2001-09-27 2005-11-30 積水化学工業株式会社 放電プラズマ処理装置
US20030113188A1 (en) 2001-12-17 2003-06-19 Applied Materials, Inc. Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6824343B2 (en) 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
JP3962280B2 (ja) 2002-05-21 2007-08-22 積水化学工業株式会社 放電プラズマ処理装置
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
AU2003289764A1 (en) 2002-12-09 2004-06-30 Asm America Inc. Method for forming a dielectric stack
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
JP3709413B1 (ja) * 2003-06-25 2005-10-26 積水化学工業株式会社 表面処理装置及び方法
WO2005017963A2 (en) 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7479454B2 (en) 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US8460945B2 (en) 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US20070264106A1 (en) 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
US7737051B2 (en) 2004-03-10 2010-06-15 Tokyo Electron Limited Silicon germanium surface layer for high-k dielectric integration
US20050211264A1 (en) 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US7419702B2 (en) 2004-03-31 2008-09-02 Tokyo Electron Limited Method for processing a substrate
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
US7144813B2 (en) 2004-11-12 2006-12-05 Semitool, Inc. Method and apparatus for thermally processing microelectronic workpieces
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
TW200715448A (en) 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070082130A1 (en) 2005-10-07 2007-04-12 Asm Japan K.K. Method for foming metal wiring structure
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8580034B2 (en) 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
JP4974585B2 (ja) 2006-05-17 2012-07-11 東京エレクトロン株式会社 窒素濃度の測定方法、シリコン酸窒化膜の形成方法および半導体装置の製造方法
JP4245012B2 (ja) 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
US8168548B2 (en) 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP5008957B2 (ja) 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
WO2008081723A1 (ja) 2006-12-28 2008-07-10 Tokyo Electron Limited 絶縁膜の形成方法および半導体装置の製造方法
JP5168907B2 (ja) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
US8372739B2 (en) 2007-03-26 2013-02-12 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7494937B2 (en) 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2009016782A (ja) 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7935640B2 (en) 2007-08-10 2011-05-03 Tokyo Electron Limited Method for forming a damascene structure
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
TWI440405B (zh) 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
JP5202372B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US8119540B2 (en) 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20100062592A1 (en) 2008-09-09 2010-03-11 Tokyo Electron Limited Method for forming gate spacers for semiconductor devices
US8058728B2 (en) 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
JP5025614B2 (ja) * 2008-10-21 2012-09-12 三菱電機株式会社 大気圧プラズマ処理方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100162955A1 (en) 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
JP5223804B2 (ja) 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2011040561A (ja) 2009-08-11 2011-02-24 Tokyo Electron Ltd 半導体装置の製造方法。
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
JP5250600B2 (ja) 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US8576755B2 (en) 2010-01-11 2013-11-05 Qualcomm Incorporated Apparatus and method for relay transition time
US8673725B2 (en) 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5675331B2 (ja) 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
KR101246170B1 (ko) 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012174976A (ja) 2011-02-23 2012-09-10 Tokyo Electron Ltd パターンの形成方法
US20120255678A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
KR101243742B1 (ko) * 2011-06-24 2013-03-13 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치
CN102921674A (zh) * 2011-08-10 2013-02-13 中国科学院微电子研究所 一种新型的水冷常压等离子体自由基清洗喷枪
JP6000665B2 (ja) 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP2015502654A (ja) 2011-10-26 2015-01-22 ブルックス オートメーション インコーポレイテッド 半導体ウェハのハンドリングおよび搬送
US9117636B2 (en) * 2013-02-11 2015-08-25 Colorado State University Research Foundation Plasma catalyst chemical reaction apparatus
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9505503B2 (en) * 2013-03-27 2016-11-29 Lockheed Martin Corporation Reactants sprayed into plasma flow for rocket propulsion
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털

Also Published As

Publication number Publication date
JP6518725B2 (ja) 2019-05-22
JP2017135359A (ja) 2017-08-03
CN107338423A (zh) 2017-11-10
US10121655B2 (en) 2018-11-06
JP2017224824A (ja) 2017-12-21
KR20170106250A (ko) 2017-09-20
KR20170066229A (ko) 2017-06-14
CN107338423B (zh) 2020-06-16
US20170148626A1 (en) 2017-05-25
JP6951068B2 (ja) 2021-10-20
KR102656575B1 (ko) 2024-04-09
CN106783499A (zh) 2017-05-31

Similar Documents

Publication Publication Date Title
CN106783499B (zh) 横向等离子体/自由基源
US20210210312A1 (en) Symmetric Plasma Source to Generate Pie-Shaped Treatment
CN111492459B (zh) 用于来自竖直等离子体源的改进等离子体暴露的成形电极
KR102302006B1 (ko) 서셉터를 회전시키기 위한 플라즈마 소스
JP6892439B2 (ja) スロット付きグランドプレートを有するプラズマモジュール
TWI793218B (zh) 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US20230307213A1 (en) Vertically adjustable plasma source

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant