KR102652116B1 - 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들 - Google Patents

쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들 Download PDF

Info

Publication number
KR102652116B1
KR102652116B1 KR1020227042772A KR20227042772A KR102652116B1 KR 102652116 B1 KR102652116 B1 KR 102652116B1 KR 1020227042772 A KR1020227042772 A KR 1020227042772A KR 20227042772 A KR20227042772 A KR 20227042772A KR 102652116 B1 KR102652116 B1 KR 102652116B1
Authority
KR
South Korea
Prior art keywords
heater
processing
path
gas
electrode
Prior art date
Application number
KR1020227042772A
Other languages
English (en)
Other versions
KR20230004878A (ko
Inventor
하리 포네칸티
즈또무 다나까
만디암 스리람
드미트리 드질노
산지브 발루자
마리오 디. 실베티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230004878A publication Critical patent/KR20230004878A/ko
Application granted granted Critical
Publication of KR102652116B1 publication Critical patent/KR102652116B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

복수의 처리 스테이션들 및 개별 웨이퍼 지지 표면들을 갖는 처리 챔버들이 설명된다. 처리 스테이션들 및 웨이퍼 지지 표면들은 동일한 개수의 처리 스테이션들 및 가열기들이 존재하도록 배열된다. RF 생성기는 제1 스테이션의 제1 전극 및 제2 스테이션의 제2 전극에 연결된다. 바닥 RF 경로는 제1 지지 표면과 제2 지지 표면 사이의 연결에 의해 형성된다.

Description

쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들{PAIRED DYNAMIC PARALLEL PLATE CAPACITIVELY COUPLED PLASMAS}
본 개시내용의 실시예들은 일반적으로, 반도체 웨이퍼 처리를 위한 장치에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 평행판 용량성 결합된 플라즈마를 갖는 처리 챔버들 및 플라즈마들을 생성하는 방법들에 관한 것이다.
원자 층 증착(ALD) 및 플라즈마 강화 ALD(PEALD)는 고 종횡비 구조들에서 막 두께 및 형상추종성의 제어를 제공하는 증착 기법들이다. 반도체 산업에서 디바이스 치수들이 지속적으로 감소하는 것으로 인해, ALD/PEALD를 사용하는 응용들 및 관심이 증가하고 있다. 일부 경우들에서, PEALD만이 원하는 막 두께 및 형상추종성에 대한 사양들을 충족시킬 수 있다.
반도체 디바이스 형성은 통상적으로, 다수의 챔버들을 포함하는 기판 처리 플랫폼들에서 수행된다. 일부 경우들에서, 다중-챔버 처리 플랫폼 또는 클러스터 툴의 목적은, 제어된 환경에서 기판에 대해 2개 이상의 프로세스들을 순차적으로 수행하는 것이다. 그러나, 다른 경우들에서, 다중-챔버 처리 플랫폼은 기판들에 대해 단일 처리 단계만을 수행할 수 있으며; 추가적인 챔버들은 플랫폼에 의해 기판들이 처리되는 속도를 최대화하도록 의도된다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로 배치 프로세스이며, 여기서, 비교적 많은 개수, 예를 들어, 25개 또는 50개의 기판들이, 주어진 챔버에서 동시에 처리된다. 배치 처리는, 경제적으로 실행가능한 방식으로 개별 기판들에 대해 수행되기에는 너무 시간 소모적인 프로세스들, 예컨대, 원자 층 증착(ALD) 프로세스들 및 일부 화학 기상 증착(CVD) 프로세스들에 특히 유익하다.
용량성 결합된 플라즈마(CCP)는 균일한 플라즈마를 생성하기 위한 잘 입증된 방법이고 반도체 제조를 위한 많은 플라즈마 처리 응용들에 이상적이다. 통상적으로 규소 웨이퍼가 배치되는, CCP의 전극들 중 하나가 처리 바로 전 및 후에 또는 처리 동안에 물리적으로 이동할 필요가 있을 때, 종래의 배열은 접지 경로가 인-시튜로 연결해제되기 위한 전기적 연결을 필요로 하고 이는 구현을 거의 불가능하게 한다.
그러므로, 관련 기술분야에서는 배치 처리를 위해 평행판 용량성 결합된 플라즈마들에 균일한 플라즈마를 제공하기 위한 장치가 필요하다.
본 개시내용의 하나 이상의 실시예는 적어도 2개의 플라즈마 스테이션들 및 처리를 위해 개별 웨이퍼들을 지지하기 위한 복수의 지지 표면들을 갖는 웨이퍼 페디스털을 포함하는 처리 챔버들에 관한 것이다. RF 생성기는 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결된다. 바닥 RF 경로를 형성하기 위해 웨이퍼 페디스털의 적어도 2개의 지지 표면들 사이에 연결이 존재한다.
본 개시내용의 하나 이상의 실시예는 처리 챔버들에 관한 것이고, 처리 챔버들은: 처리 챔버의 내부 주위에 배열된 복수의 처리 스테이션들 ― 복수의 처리 스테이션들은 적어도 2개의 플라즈마 스테이션들을 포함함 ―; 처리를 위해 개별 웨이퍼들을 지지하기 위한, 복수의 가열기들을 갖는 웨이퍼 페디스털 ― 가열기들의 개수는 처리 스테이션들의 개수와 동일함 ―; 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결되는 RF 생성기; 및 바닥 RF 경로를 형성하기 위한, 웨이퍼 페디스털의 제1 가열기와 제2 가열기 사이의 연결을 포함한다.
본 개시내용의 추가의 실시예들은 복수의 기판들을 처리하는 방법들에 관한 것이다. 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결되는 RF 생성기가 전력을 공급받는다. 제1 플라즈마 처리 스테이션은 제1 지지 표면을 포함하고, 제2 플라즈마 처리 스테이션은 제2 지지 표면을 포함한다. 바닥 RF 경로를 형성하기 위해 웨이퍼 페디스털의 제1 지지 표면과 제2 지지 표면 사이에 연결이 존재한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 단면도를 도시하고;
도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 부분 사시도를 도시하고;
도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 4는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버에서 사용하기 위한 쐐기 형상 가스 분배 조립체의 일부의 개략도를 도시하고;
도 5는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 6은 본 개시내용의 하나 이상의 실시예에 따른 가스 주입기 삽입부들을 위한 개구부들을 갖는 가스 분배 조립체를 도시하고;
도 7은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 8은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 9는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 10은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 11은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 12는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 13은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시한다.
본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 이하의 설명에서 열거되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것을 이해해야 한다. 본 개시내용은 다른 실시예들이 가능하고, 다양한 방식들로 실시되거나 수행될 수 있다.
본원에서 사용되는 바와 같은 "기판", "기판 표면" 등은, 그 상에서 처리가 수행되는, 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 물질들, 예컨대, 규소, 산화규소, 변형된 규소, 절연체상 규소(SOI), 탄소 도핑된 산화규소들, 질화규소, 도핑된 규소, 게르마늄, 비화갈륨, 유리, 사파이어, 및 임의의 다른 물질들, 예컨대, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함하지만, 이에 제한되지 않는다. 기판들은, 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 식각, 환원, 산화, 히드록실화(또는, 화학적 작용성을 부여하기 위해 목표 화학적 모이어티들을 다른 방식으로 생성하거나 그라프팅함), 어닐링 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면에 대한 직접적인 처리에 추가하여, 개시된 막 처리 단계들 중 임의의 단계는 또한, 아래에 더 상세히 개시되는 바와 같이 기판 상에 형성되는 하부 층에 대해 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 나타내는 바와 같이 그러한 하부 층을 포함하도록 의도된다. 따라서, 예를 들어, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다. 주어진 기판 표면이 포함하는 것은, 사용되는 특정 화학물질뿐만 아니라, 어떤 물질들이 증착될 것인지에도 따를 것이다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 화합물", "반응성 가스", "반응성 종들", "전구체", "프로세스 가스" 등의 용어들은 표면 반응(예를 들어, 화학흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 물질과 반응할 수 있는 종들을 갖는 물질을 의미하기 위해 상호교환가능하게 사용된다. 예를 들어, 제1 "반응성 가스"는 기판의 표면 상에 단순히 흡착될 수 있고, 제2 반응성 가스와의 추가의 화학 반응을 위해 사용가능할 수 있다.
본원에서 사용되는 바와 같은 "원자 층 증착" 또는 "주기적 증착"은 기판 표면 상에 물질의 층을 증착시키기 위한, 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 기판, 또는 기판의 일부는, 처리 챔버의 반응 구역 내에 도입되는 2개 이상의 반응성 화합물들에 개별적으로 노출된다. 시간 도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은, 각각의 화합물이 기판 표면 상에 부착되고/거나 그와 반응하고 이어서 처리 챔버로부터 퍼징되는 것을 허용하기 위해, 시간 지연에 의해 분리된다. 이 반응성 화합물들은 기판에 순차적으로 노출된다고 한다. 공간적 ALD 프로세스에서, 기판 표면의 상이한 부분들, 또는 기판 표면 상의 물질은, 기판 상의 임의의 주어진 지점이 실질적으로, 하나 초과의 반응성 화합물에 동시에 노출되지 않도록, 2개 이상의 반응성 화합물들에 동시에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로"라는 용어는, 관련 기술분야의 통상의 기술자에 의해 이해될 바와 같이, 기판의 작은 부분이, 확산으로 인해 동시에 다수의 반응성 가스들에 노출될 수 있는 가능성이 있고, 동시 노출이 의도되지 않음을 의미한다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "파이 형상" 및 "쐐기 형상"이라는 용어들은 원의 섹터인 몸체를 설명하기 위해 상호교환가능하게 사용된다. 예를 들어, 쐐기 형상 세그먼트는 원 또는 디스크 형상 구조의 일부일 수 있고, 다수의 쐐기 형상 세그먼트들은 원형 몸체를 형성하기 위해 연결될 수 있다. 섹터는, 원의 2개의 반경들 및 교차하는 원호로 둘러싸인, 원의 일부로서 정의될 수 있다. 파이 형상 세그먼트의 내측 에지는 한 지점에 도달할 수 있거나, 평평한 에지로 절단되거나 둥글게 될 수 있다. 일부 실시예들에서, 섹터는 링 또는 환상체의 부분으로서 정의될 수 있다.
기판들의 경로는 가스 포트들에 수직일 수 있다. 일부 실시예들에서, 가스 주입기 조립체들 각각은, 기판에 의해 횡단되는 경로에 실질적으로 수직인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함하고, 가스 분배 조립체의 전면은 플래튼에 실질적으로 평행하다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직"이라는 용어는, 기판들의 일반적인 이동 방향이, 가스 포트들의 축에 대략적으로 수직인(예를 들어, 약 45° 내지 90°) 평면을 따른다는 것을 의미한다. 쐐기 형상 가스 포트의 경우, 가스 포트의 축은 포트의 길이를 따라 연장되는 포트의 폭의 중간 지점으로서 정의되는 라인인 것으로 간주될 수 있다.
도 1은, 주입기들 또는 주입기 조립체로 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 처리 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는, 처리 챔버에서 사용되는 임의의 유형의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 가스들의 유동을 서셉터 조립체(140) 쪽으로 전달하기 위해 임의의 개수의 또는 다양한 개구부들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 도시된 실시예들에서 실질적으로 둥근 외측 둘레 에지(124)를 포함한다.
사용되는 가스 분배 조립체(120)의 특정 유형은 사용되는 특정 프로세스에 따라 변할 수 있다. 본 개시내용의 실시예들은, 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 유형의 처리 시스템과 함께 사용될 수 있다. 다양한 유형들의 가스 분배 조립체들이 채용될 수 있지만(예를 들어, 샤워헤드들), 본 개시내용의 실시예들은, 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 ALD 가스 분배 조립체들에 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는, 가스 채널들의 세장 축이, 동일한 일반 방향으로 연장되는 것을 의미한다. 가스 채널들의 병렬성에는 약간의 결함들이 있을 수 있다. 복수의 실질적으로 평행한 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들) 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 그리고 퍼지 가스 P 채널(들)을 통해 처리 영역 밖으로 이동한다. 가스 분배 조립체의 일 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 노출되어, 차례로, 기판 표면 상에 층을 형성할 것이다.
일부 실시예들에서, 가스 분배 조립체(120)는 단일 주입기 유닛으로 이루어진 강성 고정 몸체이다. 하나 이상의 실시예에서, 가스 분배 조립체(120)는 도 2에 도시된 바와 같이, 복수의 개별 섹터들(예를 들어, 주입기 유닛들(122))로 구성된다. 단일편 몸체 또는 다중 섹터 몸체 중 어느 하나가, 설명된 본 개시내용의 다양한 실시예들과 함께 사용될 수 있다.
서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 위치된다. 서셉터 조립체(140)는 최상부 표면(141) 및 최상부 표면(141)의 적어도 하나의 함몰부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 함몰부(142)는 처리되고 있는 기판들(60)의 형상 및 크기에 따라 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시된 실시예에서, 함몰부(142)는 웨이퍼의 바닥을 지지하기 위한 평평한 바닥을 갖지만; 함몰부의 바닥은 변할 수 있다. 일부 실시예들에서, 함몰부는 함몰부의 외측 둘레 에지 주위에 단차 영역들을 갖고, 단차 영역들은 웨이퍼의 외측 둘레 에지를 지지하도록 크기가 정해진다. 단차들에 의해 지지되는 웨이퍼의 외측 둘레 에지의 양은, 예를 들어, 웨이퍼의 두께 및 웨이퍼의 후면 상에 이미 존재하는 피쳐들의 존재에 따라 변할 수 있다.
일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(140)의 최상부 표면(141)의 함몰부(142)는 함몰부(142)에 지지된 기판(60)이 서셉터(140)의 최상부 표면(141)과 실질적으로 동일 평면 상에 있는 최상부 표면(61)을 갖도록 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면"이라는 용어는, 웨이퍼의 최상부 표면 및 서셉터 조립체의 최상부 표면이 ± 0.2 mm 내의 동일 평면이라는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은 ± 0.15 mm, ± 0.10 mm 또는 ± 0.05 mm 내의 동일 평면이다. 일부 실시예들의 함몰부(142)는 웨이퍼의 내경(ID)이 서셉터의 중심(회전 축)으로부터 약 170 mm 내지 약 185 mm의 범위 내에 위치되도록 웨이퍼를 지지한다. 일부 실시예들에서, 함몰부(142)는 웨이퍼의 외경(OD)이 서셉터의 중심(회전 축)으로부터 약 470 mm 내지 약 485 mm의 범위에 위치되도록 웨이퍼를 지지한다.
도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 상승, 하강 및 회전시킬 수 있는 지지 기둥(160)을 포함한다. 서셉터 조립체는 지지 기둥(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 구성요소들을 포함할 수 있다. 지지 기둥(160)은 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 감소시켜 서셉터 조립체(140)를 적절한 위치로 이동시키는 주요 수단일 수 있다. 서셉터 조립체(140)는 또한, 미리 결정된 갭(170)을 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 생성하기 위해 서셉터 조립체(140)에 미세 조정들을 행할 수 있는 미세 조정 액추에이터들(162)을 포함할 수 있다. 일부 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm 범위, 또는 약 0.1 mm 내지 약 3.0 mm 범위, 또는 약 0.1 mm 내지 약 2.0 mm 범위, 또는 약 0.2 mm 내지 약 1.8 mm 범위, 또는 약 0.3 mm 내지 약 1.7 mm 범위, 또는 약 0.4 mm 내지 약 1.6 mm 범위, 또는 약 0.5 mm 내지 약 1.5 mm 범위, 또는 약 0.6 mm 내지 약 1.4 mm 범위, 또는 약 0.7 mm 내지 약 1.3 mm 범위, 또는 약 0.8 mm 내지 약 1.2 mm 범위, 또는 약 0.9 mm 내지 약 1.1 mm 범위, 또는 약 1 mm이다.
도면들에 도시된 처리 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 유지할 수 있는 캐러셀형 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은 웨이퍼가 주입기 유닛 아래에서 이동될 때 웨이퍼 상에 막을 증착시킬 수 있다. 서셉터 조립체(140) 위에 그리고 서셉터 조립체(140)의 대략 대향하는 측들 상에 위치된 2개의 파이 형상 주입기 유닛들(122)이 도시된다. 이러한 개수의 주입기 유닛들(122)은 단지 예시의 목적들만을 위해 도시된다. 더 많거나 더 적은 주입기 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상을 따르는 형상을 형성하기에 충분한 개수의 파이 형상 주입기 유닛들(122)이 있다. 일부 실시예들에서, 개별적인 파이 형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 임의의 것에 영향을 미치지 않고서 독립적으로 이동, 제거 및/또는 대체될 수 있다. 예를 들어, 기판들(60)을 로딩/언로딩하기 위해 로봇이 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 영역에 접근하는 것을 허용하도록 하나의 세그먼트가 상승될 수 있다.
다수의 가스 주입기들을 갖는 처리 챔버들은 웨이퍼들이 동일한 프로세스 흐름을 경험하도록 다수의 웨이퍼들을 동시에 처리하는 데에 사용될 수 있다. 예를 들어, 도 3에 도시된 바와 같이, 처리 챔버(100)는 4개의 가스 주입기 조립체들 및 4개의 기판들(60)을 갖는다. 처리의 시작에서, 기판들(60)은 주입기 조립체들(30) 사이에 위치될 수 있다. 서셉터 조립체(140)를 45°만큼 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래의 점선 원으로 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이 막 증착을 위해 가스 분배 조립체(120)로 이동되게 할 것이다. 추가적인 45° 회전은 기판들(60)을 주입기 조립체들(30)로부터 벗어나게 이동시킬 것이다. 공간적 ALD 주입기들을 이용하여, 주입기 조립체에 대한 웨이퍼의 이동 동안 웨이퍼 상에 막이 증착된다. 일부 실시예들에서, 서셉터 조립체(140)는 기판들(60)이 가스 분배 조립체들(120) 아래에서 정지하는 것을 방지하는 증분들로 회전된다. 기판들(60) 및 가스 분배 조립체들(120)의 개수는 동일하거나 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들과 동일한 개수의 처리 중인 웨이퍼들이 존재한다. 하나 이상의 실시예에서, 처리 중인 웨이퍼들의 개수는 가스 분배 조립체들의 개수의 분수 또는 정수 배수이다. 예를 들어, 4개의 가스 분배 조립체들이 존재하는 경우, 처리 중인 웨이퍼는 4x개가 존재하고, 여기서 x는 1 이상의 정수 값이다.
도 3에 도시된 처리 챔버(100)는 단지 하나의 가능한 구성을 나타내며, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다. 여기서, 처리 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 처리 챔버(100) 주위에 균일하게 이격된 4개의 가스 분배 조립체들(또한, 주입기 조립체들(30)로 불리움)이 존재한다. 도시된 처리 챔버(100)는 팔각형이지만; 관련 기술분야의 통상의 기술자들은 이는 하나의 가능한 형상이고 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 것을 이해할 것이다. 도시된 가스 분배 조립체들(120)은 사다리꼴이지만, 도 2에 도시된 것과 같이, 단일 원형 구성요소일 수 있거나 복수의 파이 형상 세그먼트들로 구성될 수 있다.
도 3에 도시된 실시예는 로드 록 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예를 들어, 기판들(또한, 기판들(60)로 지칭됨)이 처리 챔버(100)로부터 로딩/언로딩되는 것을 허용하기 위해, 처리 챔버(100)의 측부에 연결된다. 웨이퍼 로봇은 기판을 서셉터 상으로 이동시키기 위해 챔버(180)에 위치될 수 있다.
캐러셀(예를 들어, 서셉터 조립체(140))의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 처리에서, 웨이퍼들은 웨이퍼들이 주입기들 각각에 차례로 노출되도록 연속적으로 회전한다. 불연속적인 처리에서, 웨이퍼들은 주입기 영역으로 이동되고 정지되고, 그 다음, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기 간 영역으로부터 주입기를 가로질러(또는 주입기에 인접하여 정지하고) 다음 주입기 간 영역(여기서 캐러셀이 다시 일시정지할 수 있음) 상으로 이동하도록 회전할 수 있다. 주입기들 사이에서의 일시정지는 각각의 층 증착 사이의 추가적인 처리 단계들(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
도 4는 주입기 유닛(122)으로 지칭될 수 있는 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 조합하여 사용될 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛들(122) 중 4개가, 단일 가스 분배 조립체(220)를 형성하기 위해 조합된다. (4개의 주입기 유닛들을 분리시키는 라인들은 명료성을 위해 도시되지 않는다.) 도 4의 주입기 유닛(122)은 퍼지 가스 포트들(155) 및 진공 포트들(145)에 추가적으로 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135) 양쪽 모두를 갖지만, 주입기 유닛(122)은 이러한 구성요소들 전부를 필요로 하지는 않는다.
도 4 및 5 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는 각각의 섹터가 동일하거나 상이한 복수의 섹터들(또는 주입기 유닛들(122))을 포함할 수 있다. 가스 분배 조립체(220)는 처리 챔버 내에 위치되고, 가스 분배 조립체(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145) 및 진공 포트들(155)은 가스 분배 조립체(220)의 내측 둘레 에지(123)에 인접한 영역으로부터 외측 둘레 에지(124)에 인접한 영역을 향해 연장된다. 도시된 복수의 가스 포트들은, 제1 반응성 가스 포트(125), 제2 반응성 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145) 및 퍼지 가스 포트(155)를 포함한다.
도 4 또는 5에 도시된 실시예들에 관하여, 포트들이 적어도 내측 둘레 영역 주위로부터 적어도 외측 둘레 영역 주위로 연장되는 것을 언급할 때, 그러나, 포트들은 단지 방사상으로 내측으로부터 외측 영역들까지보다 그 이상으로 연장될 수 있다. 포트들은 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌀 때 접선 방향으로 연장될 수 있다. 도 4 및 5에 도시된 실시예에서, 쐐기 형상 반응성 가스 포트들(125, 135)은, 내측 둘레 영역 및 외측 둘레 영역에 인접한 것을 포함하여, 모든 에지들 상에서 진공 포트(145)에 의해 둘러싸인다.
도 4를 참조하면, 기판이 경로(127)를 따라 이동할 때, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 반응성 가스 포트(135) 및 진공 포트(145)에 노출되거나 이들을 "만나게" 될 것이다. 따라서, 도 4에 도시된 경로(127)의 끝에서, 기판은 층을 형성하기 위해 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135)로부터의 가스 스트림들에 노출되었다. 도시된 주입기 유닛(122)은 사분원을 만들지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는, 직렬로 연결된, 도 4의 주입기 유닛들(122) 중 4개의 주입기 유닛들의 조합으로 간주될 수 있다.
도 4의 주입기 유닛(122)은 반응성 가스들을 분리시키는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 반응성 가스들을 혼합으로부터 분리시키는 가스 유동들 또는 진공의 임의의 조합을 설명하는 데에 사용된다. 도 4에 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155) 및 제2 반응성 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스 및 제2 반응성 가스의 가스 상 반응들을 방지하거나 최소화하는 데에 사용될 수 있다.
도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들 및 진공의 조합은 복수의 처리 영역들(250)로의 분리를 형성한다. 처리 영역들은, 250 사이의 가스 커튼(150)으로 개별 반응성 가스 포트들(125, 135) 주위에 대략적으로 한정된다. 도 5에 도시된 실시예는, 8개의 개별 처리 영역들(250)과 그 사이의 8개의 개별 가스 커튼들(150)을 구성한다. 처리 챔버는 적어도 2개의 처리 영역을 가질 수 있다. 일부 실시예들에서, 적어도 3, 4, 5, 6, 7, 8, 9, 10, 11 또는 12개의 처리 영역들이 존재한다.
처리 동안, 기판은 임의의 주어진 시간에 하나 초과의 처리 영역(250)에 노출될 수 있다. 그러나, 상이한 처리 영역들에 노출되는 부분들은 그 둘을 분리시키는 가스 커튼을 가질 것이다. 예를 들어, 기판의 선단 에지가, 제2 반응성 가스 포트(135)를 포함하는 처리 영역에 진입하면, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고 기판의 후단 에지는, 제1 반응성 가스 포트(125)를 포함하는 처리 영역에 있을 것이다.
예를 들어, 로드 록 챔버일 수 있는 팩토리 인터페이스(280)는 처리 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 기준 프레임을 제공하기 위해 가스 분배 조립체(220) 위에 중첩되는 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)(또한, 가스 분배 판으로 지칭됨)의 전방 표면(121) 근처에 유지되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 처리 챔버(100) 내에 기판 지지부 또는 서셉터 조립체 상에 로딩된다(도 3 참고). 기판(60)은 처리 영역 내에 위치된 것으로 도시될 수 있는데 이는 기판이 제1 반응성 가스 포트(125)에 인접하여 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문이다. 기판(60)을 경로(127)를 따라 회전시키는 것은 기판을 처리 챔버(100) 주위에서 반시계 방향으로 이동시킬 것이다. 따라서, 기판(60)은 제1 처리 영역(250a)으로부터 제8 처리 영역(250h)에까지, 그 사이의 모든 처리 영역들을 포함하여, 노출될 것이다. 처리 챔버 주위의 각각의 주기의 경우, 도시된 가스 분배 조립체를 사용하여, 기판(60)은 제1 반응성 가스 및 제2 반응성 가스의 4회의 ALD 주기들에 노출될 것이다.
도 5의 것과 마찬가지로, 배치 프로세서에서의 종래의 ALD 순서는, 사이에 펌프/퍼지 섹션을 갖는 공간적으로 분리된 주입기들 각각으로부터의 화학물질(A 및 B) 유동을 유지한다. 종래의 ALD 순서는 증착된 막의 불균일성을 초래할 수 있는 시작 및 종료 패턴을 갖는다. 본 발명자들은 놀랍게도, 공간적 ALD 배치 처리 챔버에서 수행되는 시간 기반 ALD 프로세스가, 더 높은 균일성을 갖는 막을 제공한다는 것을 발견하였다. 가스(A)에 노출되고, 반응성 가스에 노출되지 않고, 가스(B)에 노출되고, 반응성 가스에 노출되지 않는 기본 프로세스는, 막에 시작 및 종료 패턴 형태를 갖는 것을 회피하기 위해 표면을 화학물질(A 및 B)로 각각 포화시키기 위해서 주입기들 아래의 기판을 스위핑할 것이다. 본 발명자들은 놀랍게도, 시작 및 종료 패턴이 웨이퍼 내 균일성 성능에 상당한 영향을 미치는, 목표 막 두께가 얇을 때(예를 들어, 20회 ALD 주기들 미만), 시간 기반 접근법이 특히 유리하다는 것을 발견하였다. 본 발명자들은 또한, SiCN, SiCO 및 SiCON 막들을 생성하기 위한 반응 프로세스가, 본원에서 설명되는 바와 같이, 시간 도메인 프로세스로는 달성될 수 없다는 것을 발견하였다. 처리 챔버를 퍼징하기 위해 사용되는 시간의 양은 기판 표면으로부터 물질이 벗겨지게 한다. 벗겨짐은 설명된 공간적 ALD 프로세스로는 발생하지 않는데, 이는 가스 커튼 아래에서의 시간이 짧기 때문이다.
이에 따라, 본 개시내용의 실시예들은, 각각의 처리 영역이 가스 커튼(150)에 의해 인접 영역으로부터 분리되는, 복수의 처리 영역들(250a-250h)을 갖는 처리 챔버(100)를 포함하는 처리 방법들에 관한 것이다. 예를 들어, 도 5에 도시된 처리 챔버. 처리 챔버 내의 가스 커튼들 및 처리 영역들의 개수는 가스 유동들의 배열에 따라 임의의 적합한 개수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 처리 영역들(250a-250h)을 갖는다. 가스 커튼들의 개수는 일반적으로, 처리 영역들의 개수 이상이다. 예를 들어, 영역(250a)이 반응성 가스 유동을 갖지 않고 단지 로딩 영역으로서만 역할하는 경우, 처리 챔버는 7개의 처리 영역들 및 8개의 가스 커튼들을 가질 것이다.
복수의 기판들(60)이 기판 지지부, 예를 들어, 도 1 및 2에 도시된 서셉터 조립체(140) 상에 위치된다. 복수의 기판들(60)은 처리를 위해 처리 영역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여 처리 전반에 걸쳐 관여된다(가스가 유동하고 진공이 활성화됨).
제1 반응성 가스(A)는 처리 영역들(250) 중 하나 이상 내로 유동되는 한편, 불활성 가스는, 제1 반응성 가스(A)가 내부로 유동되지 않는 임의의 처리 영역(250) 내로 유동된다. 예를 들어, 제1 반응성 가스가 처리 영역들(250b) 내지 처리 영역(250h) 내로 유동하는 경우, 불활성 가스는 처리 영역(250a) 내로 유동할 것이다. 불활성 가스는 제1 반응성 가스 포트(125) 또는 제2 반응성 가스 포트(135)를 통해 유동될 수 있다.
처리 영역들 내에서의 불활성 가스 유동은 일정하거나 변할 수 있다. 일부 실시예들에서, 반응성 가스는 불활성 가스와 공동 유동된다. 불활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비해 반응성 가스의 양이 적으므로, 공동 유동은 인접 영역들 간의 압력 차이들을 감소시킴으로써 처리 영역들 간의 가스 압력들을 평형화하는 것을 더 용이하게 할 수 있다.
도 6은 4개의 주입기 유닛들(122) 및 4개의 개구부들(610)을 갖는 가스 분배 조립체(120)의 다른 실시예를 예시한다. 개구부들(610)은 균일한 구성요소를 형성할 주입기 삽입부(도시되지 않음)에 의해 점유될 수 있다. 일부 실시예들에서, 가스 분배 조립체(120)는 온도 제어된 몸체를 갖는다. 예를 들어, 4개의 개구부들(610) 및 4개의 주입기 유닛들(122)을 갖는 크게 예시된 구성요소는 유체 채널들 또는 통상의 기술자에게 알려진 다른 냉각/가열 구성들을 사용하여 냉각되고/거나 가열될 수 있다. 예시된 개구부들(610)은 주입기 삽입부를 지지하도록 크기가 정해진 레지들(612)을 포함하지만; 이는 단지 하나의 가능한 구성을 나타낼 뿐이며, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다.
종래의 방법들 및 장치는 RF 전류를 위한 양호한 접지 경로를 요구한다. 이는 다수의 CCP 전극들 하에서 물리적으로 이동하고 있는 스테이지를 사용하는 것을 배제한다. 그 경우, 대향 전극이 제공되고, 웨이퍼가 배치되는, 스테이지의 일 측에 있는 복귀 경로는 RF 복귀 경로의 일부일 필요는 없다. 이는 전체 웨이퍼에 걸쳐 균일한 플라즈마(전위 및 이온 밀도)를 생성하는 데에 어려움을 초래할 수 있다.
본 개시내용의 일부 실시예들은 유리하게, 직렬로 전기적으로 연결된 한 쌍의 용량성 결합된 플라즈마(CCP) 공급원들을 갖는 장치를 제공한다. 일부 실시예들은 유리하게, 하나의 플라즈마 공급원 상의 RF 전류가 다른 플라즈마 공급원을 통해 복귀되는 CCP들을 제공한다. 일부 실시예들은 유리하게, RF 전류가 복귀하기 위한 외부 접지 경로들을 최소화하거나 제거하여, 이를, 양호한 접지 경로를 고정하는 것이 어려운 기계적으로 동적인 플라즈마 챔버에 적합하게 하기 위한 장치 및 방법들을 제공한다.
본 개시내용의 일부 실시예들은 유리하게, 함께 이동하는 2개의 CCP 스테이지들을 쌍형성하고 하나의 CCP 공급원을 다른 공급원에 대한 복귀부로서 사용하는 장치 및 방법들을 제공한다. 일부 실시예들은 RF 복귀 경로의 결합 및 해제의 복잡한 배열 없는 장치 및 방법들을 제공한다.
일부 실시예들에서, 2개의 CCP 공급원들은 병렬로 연결된다. 바닥 RF 경로는 서로 연결된다. RF 전력은 서로 180도 위상차로 최상부 측에 인가되고, RF 전류를 푸시-풀 방식으로 앞뒤로 구동한다. RF 전류는 하나의 공급원에 진입하고, 바닥으로부터 공급원을 빠져나가고, 바닥으로부터 다른 공급원으로 되돌아가고, 다른 공급원의 최상부 전극으로부터 빠져나간다.
공급원은 180° 비동기로 작동하는 2개의 RF 생성기들에 의해, 또는 발룬(동축 변압기, 종래의 변압기 등)을 통해 2개의 측들에 급전할 하나의 생성기에 의해 구동될 수 있다. 2개의 공급원들을 통한 RF 전류의 연속성은 2개의 공급원들의 개선된 동시 작동을 허용할 것이다. 단일 생성기의 사용은 제2 생성기 및 정합 회로의 비용을 절감할 수 있다.
도 2 및 3에 예시된 실시예들에서, 서셉터는 단일 전도성 몸체이다. 일부 실시예들에서, 도 7에 예시된 바와 같이, 개별 기판 지지부들(710)이 사용되고 단일 웨이퍼 페디스털(700)처럼 작용하도록 구성된다. 4개의 개별 기판 지지부들(710)이 십자형 페디스털 베이스(720)에 연결된다. 페디스털 베이스(720)는 z 축 이동 또는 세타 이동(z 축을 중심으로 한 회전) 중 하나 이상을 제공할 수 있는 모터(730)에 연결된다. 예시된 웨이퍼 페디스털(700)은 4개의 개별 기판 지지부들(710) 및 적절하게 성형된 페디스털 베이스(720)를 갖지만; 통상의 기술자는 적절하게 성형된 페디스털 베이스(720)를 갖는 임의의 적합한 개수(예를 들어, 2개, 3개, 4개, 5개, 6개 등)의 기판 지지부들(710)이 있을 수 있다는 것을 인식할 것이다.
기판 지지부들(710) 각각은 다른 기판 지지부들(710)과 독립적으로 가열/냉각될 수 있다. 이는, 각각의 페디스털 상의 웨이퍼가, z 축 주위의 임의의 주어진 위치에서 발생하는 특정 프로세스/반응에 따라 개별적으로 온도 제어되는 것을 허용한다. 예를 들어, 처리 툴은 각각의 웨이퍼가 다수의 반응들 및 프로세스들을 위해 페디스털 상에서 처리 영역들 중 일부 또는 전부로 이동되도록 4개의 개별 처리 영역들을 가질 수 있다.
도 8-13을 참조하면, 본 개시내용의 하나 이상의 실시예는 처리 챔버들(800) 및 균일한 플라즈마들을 제공하는 방법들에 관한 것이다. 도 8에서, 한 쌍의 고정 전극들(820a, 820b) 및 한 쌍의 이동 전극들(이동 가열기들(810a, 810b)로서 도시됨)이 직렬 회로에 도시된 바와 같이 사용될 수 있다. 예시된 실시예가 이동 가열기들을 사용하지만, 본 개시내용은 그러한 장치로 제한되지 않는다. 일반적으로, 본 개시내용은 물리적 접지가 필요하지 않도록 접지 경로들을 연결시키고 위상들을 조정함으로써 2개 이상의 공급원들에 걸쳐 플라즈마를 생성하는 방법들에 관한 것이다. 도 6 및 7에 예시된 실시예에서, 본원에 설명된 위상 조정을 사용하여 2개가 동시에 점화될 수 있는 2개 또는 4개의 플라즈마 주입기들(샤워헤드들)이 존재할 수 있다. 플라즈마(815a)는 전극(820a)과 가열기(810a) 사이에 점등될 수 있고, 다른 플라즈마(815b)는 전극(820b)과 가열기(810b) 사이에 동시에 점등된다. 그 다음, 가열기들(810a, 810b)은 전극(820a)과 가열기(810b)가 쌍을 이루도록 움직일 수 있고, 그 반대의 경우도 마찬가지이다. 2개의 플라즈마들이 다시 점등될 수 있다. 동일한 생성기(830) 및 정합 세트(835)가 플라즈마들 양쪽 모두에 직렬로 전력을 공급하기 위해 사용된다. 임의의 특정 작동 이론에 얽매이지 않고, 2개의 플라즈마들을 직렬로 갖는 것은, 양쪽 플라즈마들 모두가 동시에 점화되고 동일한 전류가 2개의 쌍들의 전극들을 통과하며, 그러므로, 2개의 웨이퍼들이, 유사한 노출들을 갖는 것을 보장하는 것으로 여겨진다. 전극들 사이를 교번하는 것에 의해, 2개의 웨이퍼들에 대해 평균화 효과가 보장된다. 동축 케이블(840)은, 물리적 RF 연결들에 상대 운동이 없도록, 가열기들과 함께 이동하는 2개의 가열기(810a, 810b) 전극들을 연결한다. 하나의 생성기(830) 및 하나의 정합 세트(835)로의 RF 구성요소들의 감소는 웨이퍼 대 웨이퍼 정합을 보장하면서 비용 및 복잡성을 감소시킨다.
도 8에 예시된 실시예에서, 동축 케이블(840)은 페디스털 베이스(720) 내에 내장된다. 동축 케이블(840)은 가열기들에 연결되고 통상의 기술자에게 알려진 임의의 적합한 방식으로 라우팅될 수 있다. 동축 케이블(840)은 내측 도체(842) 및 외측 도체(846) 그리고 그 사이에 절연체(844)를 포함한다.
도 9는 기판 지지부들(910a, 910b) 및 전극들(920a, 920b)을 갖는 처리 챔버(900)의 개략도를 도시한다. 적합한 정합 회로(935)를 갖는 RF 공급원(930)은 전극(920a) 및 전극(920b)에 결합된다. 기판 지지부들(910a, 910b)(일부 실시예들에서는 가열기들임) 및 동축 케이블(940)은, 전류(950)가 한 방향으로 RF 공급원(930)으로부터 다시 RF 공급원(930)으로 흐르도록 회로의 바닥 부분을 형성한다. 전류(950)를 나타내는 화살표들은 전류(950)와 이미지 전류(960)를 구별하기 위해 가는 라인을 갖는다. 통상의 기술자가 인식할 바와 같이, 화살표들의 방향은 RF 전류의 진동들에 따라 변할 것이다.
예시된 실시예에서, 최상부 RF 경로(RF 생성기(930)를 통해 920a를 920b에 연결함)는 개방 연결이다. 바닥 RF 경로(지지부(910a)를 지지부(910b)에 연결함)는 이미지 전류(960) 흐름에 대한 전체 경로를 형성하기 위해 동축 케이블(940) 및 연결부(970)로 완성된다. RF 공급원(930)은 변압기 결합된 발룬을 통해 전극들에 결합된다. 발룬은 생성기의 출력을 평형 출력으로 변환하는 데 사용될 수 있다.
도 10은 처리 챔버(1000)의 다른 실시예를 도시한다. 여기서, 동축 라인(1040)은 바닥(1002) 구성요소들(지지부들(1010a, 1010b))을 연결하고 동축 라인(1041)은 RF 생성기(1030)를 통해 전극들(1020a, 1020b)을 연결한다. 하나의 플라즈마 공급원(전극(1020a, 1020b))에 공급된 RF 전류는 다른 공급원을 통해 복귀할 것이다. 이는 프로세스 영역 내부의 RF 필드들을 편향시킬 수 있는 이미지 전류(1060)를 생성할 것이다. 전체 원을 완성하기 위해 동축 경로를 이용하는 것은 대칭 전류 분포를 형성하는 것을 도울 수 있지만, 벽을 따라 그리고 처리 챔버(1000)의 최상부(1001)와 바닥(1002) 사이의 갭(1005)에 걸친 전류 흐름(1050)(변위 전류 또는 무효 전류일 수 있음)을 갖는다. 일부 실시예들의 갭은 약 0.1 mm 내지 약 5 mm의 범위, 또는 약 0.5 mm 내지 약 2 mm의 범위, 또는 약 1 mm이다.
도 11은 처리 챔버(1100)의 다른 실시예를 도시한다. 도 9와 유사하게, 도 11에 예시된 실시예는 바닥 구성요소들(기판 지지부들(1110a, 1110b))을 연결하는 동축 라인(1140)을 갖는 한편, 개방 라인(1141)은 최상부 구성요소들(전극(1120a, 1120b) 및 RF 생성기(1130))을 연결한다. 동축 라인이 또한, 최상부 구성요소들을 연결하는 데 사용될 수 있다. 여기서, 가상 접지를 전극에 가져오기 위해 조정 요소(1147a, 1147b)가 추가된다. 이는 전극들과 주변 금속 구조(1108) 사이의 전압을 감소시키고 그 영역들에서 방전을 개시할 기회를 최소화할 것으로 여겨진다. 조정 요소들(1147a, 1147b)은 기생 플라즈마를 감소시키기 위해 페디스털(기판 지지부들(1110a, 1110b))과 주변 금속 구조(1108) 사이의 전압을 감소시킨다.
도 12는 유전체 브레이크(1270)를 갖는 처리 챔버(1200)의 다른 실시예를 도시한다. 챔버의 최상부 부분은 도 11에 예시된 것과 유사한 방식으로 연결된다. 유전체 브레이크(1270)의 포함으로 인해 챔버의 바닥 부분이 상이하다. 기판 지지부(1210a)는 발룬(1247a, 1247b)을 갖는 동축 라인(1240)을 통해 기판 지지부(1210b)에 연결된다. 유전체 스페이서(1270)는 기판 지지부들(1210a, 1210b)을 분리시키고, 챔버의 바닥 부분에서의 이미지 전류를 감소시킨다. 임의의 특정 작동 이론에 얽매이지 않고, 유전체 브레이크를 제공하는 것은 챔버의 벽을 따르는 경로에 대한 필요성을 제거할 것으로 여겨진다. 이는 구성요소들의 표면들 사이의 전압차의 생성을 초래할 수 있다.
유전체 브레이크(1270)는 통상의 기술자에게 알려진 임의의 적합한 물질일 수 있다. 적합한 유전체 물질들은 석영, 세라믹 및 테프론®(폴리테트라플루오로에틸렌)을 포함하지만 이에 제한되지 않는다.
도 13은 비동축 바닥 경로를 갖는 처리 챔버(1300)의 다른 실시예를 도시한다. RF 생성기(1330)는 전극(1320a)을 전극(1320b)과 연결한다. 바닥 경로는 기판 지지부(1310a)를 기판 지지부(1310b)와 연결하는 동축 라인 없이 형성된다. 조정 요소들(1347a, 1347b)은 동축 라인 없이 이미지 전류를 위한 복귀 경로를 제공하는 외측 도체에 결합된다.
본 개시내용의 추가적인 실시예들은 적어도 2개의 플라즈마 스테이션들을 갖는 처리 챔버들에 관한 것이다. 이러한 방식으로 사용될 때, 플라즈마 스테이션은 플라즈마를 생성하는 데 사용될 수 있는 전극, 샤워헤드 또는 가스 분배 시스템을 갖는다. 플라즈마 스테이션은 도 7-8의 실시예에서와 같이 분리된 영역일 수 있거나, 도 1-6에 예시된 처리 챔버와 같은 배치 처리 챔버들의 개별 부분들일 수 있다.
도 8-13을 다시 참조하면, 처리 챔버(800)는 처리를 위해 개별 웨이퍼들을 지지하기 위해 복수의 지지 표면들을 갖는 웨이퍼 페디스털을 포함한다. 도 8에서, 지지 표면들은 한 쌍의 이동 전극들로서 예시된다(이동 가열기들(810a, 810b)로서 도시됨).
플라즈마(815a)는 제1 전극(820a)과 제1 지지 표면(가열기(810a)) 사이에 점등될 수 있고, 플라즈마(815b)는 제2 전극(820b)과 제2 지지 표면(가열기(810b)) 사이에 동시에 점등된다. 그 다음, 지지 표면들(가열기들(810a, 810b))은 제1 전극(820a)과 제2 지지 표면(가열기(810b))이 쌍을 이루도록 움직일 수 있고, 그 반대의 경우도 마찬가지이다. 2개의 플라즈마들이 다시 점등될 수 있다. 동일한 RF 생성기(830) 및 정합 세트(835)가 플라즈마들 양쪽 모두에 직렬로 전력을 공급하기 위해 사용된다. RF 생성기(830)는 최상부 RF 경로를 형성하기 위해 제1 플라즈마 스테이션의 제1 전극(820a) 및 제2 플라즈마 스테이션의 제2 전극(820b)에 연결된다. 임의의 특정 작동 이론에 얽매이지 않고, 2개의 플라즈마들을 직렬로 갖는 것은, 양쪽 플라즈마들 모두가 동시에 점화되고 동일한 전류가 2개의 쌍들의 전극들을 통과하며, 그러므로, 2개의 웨이퍼들이, 유사한 노출들을 갖는 것을 보장하는 것으로 여겨진다. 전극들 사이를 교번하는 것에 의해, 2개의 웨이퍼들에 대해 평균화 효과가 보장된다. 동축 케이블(840)은, 물리적 RF 연결들에 상대 운동이 없도록, 가열기들과 함께 이동하는 2개의 가열기(810a, 810b) 전극들을 연결한다. 하나의 생성기(830) 및 하나의 정합 세트(835)로의 RF 구성요소들의 감소는 웨이퍼 대 웨이퍼 정합을 보장하면서 비용 및 복잡성을 감소시킨다.
도 8에 예시된 실시예에서, 동축 케이블(840)은 페디스털 베이스(720) 내에 내장된다. 동축 케이블(840)은 바닥 RF 경로를 형성하기 위해 웨이퍼 페디스털의 적어도 2개의 지지 표면들(예를 들어, 가열기들(810a, 810b))에 연결될 수 있다. 공동축(동축) 케이블들을 포함하지만 이에 제한되지 않는 연결들이, 통상의 기술자에게 알려진 임의의 적합한 방식으로 라우팅될 수 있다. 동축 케이블(840)은 내측 도체(842) 및 외측 도체(846) 그리고 그 사이에 절연체(844)를 포함한다.
도 9-13에 예시된 실시예들 각각은, 적어도 2개의 지지 표면들 및 적어도 2개의 플라즈마 스테이션들을 갖는 처리 챔버에 적용가능하다. 이 예시들에서의 구성요소들의 배열은 이동가능한 가열기가 더 일반적인 지지 표면으로 대체되는 구성요소들의 배열과 유사하다. 지지 표면은 이동가능한 가열기를 포함할 수 있지만, 이러한 방식으로 제한되지 않는다.
본 개시내용의 추가적인 실시예들은 복수의 기판들을 처리하는 방법들에 관한 것이다. 방법은, 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결되는 RF 생성기에 전력을 공급하는 단계를 포함한다. 전극들의 전력 공급 동안, 제1 지지 표면은 제1 플라즈마 처리 스테이션 내에 위치되고 제2 지지 표면은 제2 플라즈마 처리 스테이션 내에 위치된다. 제1 지지 표면 및 제2 지지 표면은 바닥 RF 경로를 형성하도록 연결된다. 지지 표면들은 이동가능한 가열기들 또는 통상의 기술자에게 알려진 임의의 다른 서셉터 유형의 구성요소일 수 있다. 일부 실시예들에서, 방법은 도 9-13과 관련하여 위에서 설명된 바와 같이, 제1 전극과 제2 전극 사이의 연결 및/또는 제1 지지 표면과 제2 지지 표면 사이의 연결을 제공하는 단계를 더 포함한다.
전술한 명세서에서, 본 개시내용의 실시예들은 그의 특정한 예시적인 실시예들을 참조하여 설명되었다. 다음의 청구항들에 열거된 바와 같이 본 개시내용의 실시예들의 더 넓은 사상 및 범위로부터 벗어나지 않고 그에 대해 다양한 수정들이 이루어질 수 있다는 것이 명백할 것이다. 이에 따라, 본 명세서 및 도면들은 제한적인 의미보다는 예시적인 의미로 간주되어야 한다.

Claims (17)

  1. 복수의 기판들을 처리하는 방법으로서,
    최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결된 RF 생성기에 전력을 공급하는 단계를 포함하고, 상기 제1 플라즈마 처리 스테이션은 제1 지지 표면을 포함하고, 상기 제2 플라즈마 처리 스테이션은 제2 지지 표면을 포함하고, 웨이퍼 페디스털의 적어도 2개의 지지 표면들 사이의 연결은 바닥 RF 경로를 형성하고 - 상기 바닥 RF 경로는 동축 케이블 없이 형성됨 -, 상기 제1 전극 및 상기 적어도 2개의 지지 표면들 사이의 연결은 RF 전류 흐름을 위한 경로를 형성하는, 방법.
  2. 제1항에 있어서, 상기 지지 표면들 사이의 상기 연결은 웨이퍼 페디스털 내에 있는, 방법.
  3. 제1항에 있어서, 상기 지지 표면들 사이의 상기 연결은 동축 연결을 포함하는, 방법.
  4. 제3항에 있어서, 상기 지지 표면들을 연결하는 제2 바닥 RF 경로를 더 포함하는 방법.
  5. 제3항에 있어서, 상기 최상부 RF 경로는 동축 케이블을 이용하여 형성되는, 방법.
  6. 제3항에 있어서, 상기 지지 표면들 각각에 연결된 조정 요소를 조정하는 단계를 더 포함하는, 방법.
  7. 제6항에 있어서, 상기 조정 요소는 발룬을 포함하는, 방법.
  8. 제6항에 있어서, 상기 조정 요소를 조정하는 단계는 상기 지지 표면들과 처리 챔버를 형성하는 주변 금속 구조 사이의 전압차를 감소시키는, 방법.
  9. 제3항에 있어서, 상기 지지 표면들을 분리시키는 유전체 스페이서를 더 포함하는, 방법.
  10. 제9항에 있어서, 상기 유전체 스페이서는 석영, 세라믹 또는 폴리테트라플루오로에틸렌 중 하나 이상을 포함하는, 방법.
  11. 삭제
  12. 복수의 기판들을 처리하는 방법으로서,
    최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결된 RF 생성기에 전력을 공급하는 단계를 포함하고, 상기 제1 플라즈마 처리 스테이션 및 상기 제2 플라즈마 처리 스테이션은 처리 챔버의 내부 주위에 배열되고, 상기 처리 챔버는 복수의 처리 스테이션들, 처리를 위해 개별 웨이퍼들을 지지하기 위한, 복수의 가열기들을 갖는 웨이퍼 페디스털 - 상기 가열기들의 개수는 상기 처리 스테이션들의 개수와 동일함 -, 및 바닥 RF 경로를 형성하기 위한, 상기 웨이퍼 페디스털의 제1 가열기와 제2 가열기 사이의 연결을 포함하고 - 상기 제1 가열기와 상기 제2 가열기 사이의 상기 연결은 동축 연결을 포함함 -, 상기 제1 전극, 상기 웨이퍼 페디스털의 상기 제1 가열기와 상기 제2 가열기 표면들 사이의 상기 연결 및 상기 제2 전극은 RF 전류 흐름을 위한 경로를 형성하는, 방법.
  13. 제12항에 있어서, 상기 제1 가열기와 상기 제2 가열기 사이의 상기 연결은 상기 웨이퍼 페디스털 내에 있는, 방법.
  14. 삭제
  15. 제12항에 있어서, 상기 제1 가열기를 상기 제2 가열기에 연결하는 제2 바닥 RF 경로를 더 포함하는, 방법.
  16. 제12항에 있어서, 상기 제1 가열기 및 상기 제2 가열기 각각에 연결된 조정 요소를 조정하는 단계를 더 포함하는, 방법.
  17. 제12항에 있어서, 상기 제1 가열기를 상기 제2 가열기로부터 분리시키는 유전체 스페이서를 더 포함하는, 방법.
KR1020227042772A 2018-06-18 2019-06-18 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들 KR102652116B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862686643P 2018-06-18 2018-06-18
US62/686,643 2018-06-18
KR1020217001084A KR102476114B1 (ko) 2018-06-18 2019-06-18 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들
PCT/US2019/037655 WO2019246041A1 (en) 2018-06-18 2019-06-18 Paired dynamic parallel plate capacitively coupled plasmas

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217001084A Division KR102476114B1 (ko) 2018-06-18 2019-06-18 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들

Publications (2)

Publication Number Publication Date
KR20230004878A KR20230004878A (ko) 2023-01-06
KR102652116B1 true KR102652116B1 (ko) 2024-03-29

Family

ID=68840307

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227042772A KR102652116B1 (ko) 2018-06-18 2019-06-18 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들
KR1020217001084A KR102476114B1 (ko) 2018-06-18 2019-06-18 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217001084A KR102476114B1 (ko) 2018-06-18 2019-06-18 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들

Country Status (6)

Country Link
US (2) US11282676B2 (ko)
JP (2) JP7078762B2 (ko)
KR (2) KR102652116B1 (ko)
CN (1) CN112292755A (ko)
TW (2) TW202302909A (ko)
WO (1) WO2019246041A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114023620B (zh) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 一种用于处理基片的处理站

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000030894A (ja) 1998-07-07 2000-01-28 Kokusai Electric Co Ltd プラズマ処理方法および装置
US20170191159A1 (en) 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666298B2 (ja) * 1983-02-03 1994-08-24 日電アネルバ株式会社 ドライエッチング装置
US4439261A (en) * 1983-08-26 1984-03-27 International Business Machines Corporation Composite pallet
JP2963973B2 (ja) * 1993-09-17 1999-10-18 東京エレクトロン株式会社 バッチ式コールドウォール処理装置及びそのクリーニング方法
JP2978974B2 (ja) * 1996-02-01 1999-11-15 キヤノン販売株式会社 プラズマ処理装置
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
JP2003201565A (ja) 2002-01-08 2003-07-18 Canon Inc 堆積膜形成装置および堆積膜形成方法
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
FR2872826B1 (fr) 2004-07-07 2006-09-15 Commissariat Energie Atomique Croissance a basse temperature de nanotubes de carbone orientes
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
KR20090013286A (ko) * 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
JP5390230B2 (ja) 2008-03-31 2014-01-15 日本碍子株式会社 シリコン系薄膜成膜装置及びその方法
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5295095B2 (ja) * 2008-12-29 2013-09-18 ケー.シー.テック カンパニー リミテッド 原子層蒸着装置
KR20110137775A (ko) * 2009-03-26 2011-12-23 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
JP2013030392A (ja) 2011-07-29 2013-02-07 Tokyo Electron Ltd プラズマ処理装置
JP2013055129A (ja) 2011-09-01 2013-03-21 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
WO2013136656A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP2015180768A (ja) * 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6697067B2 (ja) 2016-02-23 2020-05-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびコンピュータ読み取り可能な記録媒体

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000030894A (ja) 1998-07-07 2000-01-28 Kokusai Electric Co Ltd プラズマ処理方法および装置
US20170191159A1 (en) 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System

Also Published As

Publication number Publication date
TW202302909A (zh) 2023-01-16
US11282676B2 (en) 2022-03-22
WO2019246041A1 (en) 2019-12-26
US20190385819A1 (en) 2019-12-19
CN112292755A (zh) 2021-01-29
US20220165540A1 (en) 2022-05-26
US11923172B2 (en) 2024-03-05
TW202000984A (zh) 2020-01-01
JP2021528849A (ja) 2021-10-21
KR20210008564A (ko) 2021-01-22
TWI780337B (zh) 2022-10-11
JP7078762B2 (ja) 2022-05-31
JP2022122897A (ja) 2022-08-23
KR102476114B1 (ko) 2022-12-12
JP7422807B2 (ja) 2024-01-26
KR20230004878A (ko) 2023-01-06

Similar Documents

Publication Publication Date Title
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
WO2015142820A1 (en) Rf multi-feed structure to improve plasma uniformity
KR102405729B1 (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
JP6892439B2 (ja) スロット付きグランドプレートを有するプラズマモジュール
US11315769B2 (en) Plasma source for rotating susceptor
US11315763B2 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
US20230307213A1 (en) Vertically adjustable plasma source
JP7422807B2 (ja) 対にされた動的平行板の容量結合プラズマ
US11887818B2 (en) Methods and systems to modulate film stress
US11823871B2 (en) Microwave plasma source for spatial plasma enhanced atomic layer deposition (PE-ALD) processing tool
US20210327686A1 (en) Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool
WO2022232502A1 (en) Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant