JP2021528849A - 対にされた動的平行板の容量結合プラズマ - Google Patents

対にされた動的平行板の容量結合プラズマ Download PDF

Info

Publication number
JP2021528849A
JP2021528849A JP2020570180A JP2020570180A JP2021528849A JP 2021528849 A JP2021528849 A JP 2021528849A JP 2020570180 A JP2020570180 A JP 2020570180A JP 2020570180 A JP2020570180 A JP 2020570180A JP 2021528849 A JP2021528849 A JP 2021528849A
Authority
JP
Japan
Prior art keywords
processing chamber
processing
gas
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020570180A
Other languages
English (en)
Other versions
JP7078762B2 (ja
Inventor
ハリ ポッネカンティ,
田中 努
マンディアム スリイラム,
ドミトリー ジルノ,
サンジーヴ バルジャ,
マリオ ディー. シルベッティー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021528849A publication Critical patent/JP2021528849A/ja
Priority to JP2022082260A priority Critical patent/JP7422807B2/ja
Application granted granted Critical
Publication of JP7078762B2 publication Critical patent/JP7078762B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

複数の処理ステーション及び個々のウエハ支持面を有する処理チャンバが記載される。処理ステーション及びウエハ支持面は、等しい数の処理ステーションとヒータが存在するように配置される。RF発生器は、第1のステーション内の第1の電極と、第2のステーション内の第2の電極とに接続される。底部RF経路は、第1の支持面と第2の支持面との間の接続によって形成される。
【選択図】図7

Description

[0001]本開示の実施形態は、概して、半導体ウエハ処理のための装置に関する。より詳細には、本開示の実施形態は、平行板の容量結合プラズマを伴う処理チャンバ、及びプラズマを生成する方法に関する。
[0002]原子層堆積(ALD)とプラズマ強化ALD(PEALD)は、高アスペクト比構造における膜の厚さと共形性の制御を提供する堆積技術である。半導体産業においてデバイス寸法が連続的に減少しているため、ALD/PEALDを使用する関心及び用途が増加している。場合によっては、PEALDのみが、所望の膜の厚さ及び共形性に対する仕様を満たすことができる。
[0003]半導体デバイスの形成は、一般に、複数のチャンバを含む基板処理プラットフォーム内で行われる。いくつかの例においては、マルチチャンバ処理プラットフォーム又はクラスタツールの目的は、制御された環境において、基板上で2つ以上のプロセスを連続して実行することである。しかし、他の例では、複数チャンバ処理プラットフォームは、基板上で単一の処理ステップを実行するだけでよく、追加のチャンバは、基板がプラットフォームによって処理される速度を最大化することを意図している。後者の場合、基板上で実行されるプロセスは、通常、バッチプロセスであり、比較的多数の基板(例えば、25又は50の基板)が、所与のチャンバ内で同時に処理される。バッチ処理は、原子層堆積(ALD)プロセス及びいくつかの化学気相堆積(CVD)プロセスなどの、経済的に実行可能な方法で個々の基板上で実行するには時間がかかりすぎるプロセスにとって、特に有益である。
[0004]容量結合プラズマ(CCP)は、均一なプラズマを生成するための十分に証明された方法であり、半導体製造のための多くのプラズマ処理応用に理想的である。通常、シリコンウエハが配置されるCCPの電極のうちの1つが、処理の直前及び直後又は処理中に物理的に移動する必要がある場合、従来の構成では、接地経路の電気接続をインシトゥ(その場)で切断する必要があり、実装がほぼ不可能となる。
[0005]したがって、当技術分野では、バッチ処理のために平行板の容量結合プラズマ中に均一なプラズマを提供するための装置が必要とされている。
[0006]本開示の1つ又は複数の実施形態は、少なくとも2つのプラズマステーションと、処理のために個々のウエハを支持するための複数の支持面を有するウエハペデスタルとを備える処理チャンバを対象とする。RF発生器は、上部RF経路を形成するために、第1のプラズマ処理ステーション内の第1の電極、及び第2のプラズマ処理ステーション内の第2の電極に接続される。底部RF経路を形成するために、ウエハペデスタルの少なくとも2つの支持面の間に接続がある。
[0007]本開示の1つ又は複数の実施形態は、処理チャンバの内部の周囲に配置された複数の処理ステーションであって、少なくとも2つのプラズマステーションを備える、複数の処理ステーションと、処理のために個々のウエハを支持するための複数のヒータを有するウエハペデスタルであって、ヒータの数が処理ステーションの数に等しい、ウエハペデスタルと、上部RF経路を形成するために、第1のプラズマ処理ステーション内の第1の電極、及び第2のプラズマ処理ステーション内の第2の電極に接続されたRF発生器と、底部RF経路を形成するための、ウエハペデスタルの第1のヒータと第2のヒータとの間の接続とを備える処理チャンバを対象とする。
[0008]本開示の更なる実施形態は、複数の基板を処理する方法を対象とする。上部RF経路を形成するために、第1のプラズマ処理ステーション内の第1の電極、及び第2のプラズマ処理ステーション内の第2の電極に接続されたRF発生器には、電力が供給される。第1のプラズマ処理ステーションは、第1の支持面を備え、第2のプラズマ処理ステーションは、第2の支持面を備える。底部RF経路を形成するために、ウエハペデスタルの第1の支持面と第2の支持面との間に接続がある。
[0009]本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、それらの実施形態の一部が添付図面に示される。しかし、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面が本開示の典型的な実施形態を例示しているにすぎず、よって本開示の範囲を限定すると見なされるべきではないことに留意されたい。
[0010]本開示の1つ又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 [0011]本開示の1つ又は複数の実施形態によるバッチ処理チャンバの部分斜視図を示す。 [0012]本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 [0013]本開示の1つ又は複数の実施形態による、バッチ処理チャンバ内で使用するための、くさび形状のガス分配アセンブリの一部の概略図を示す。 [0014]本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 [0015]本開示の1つ又は複数の実施形態による、ガスインジェクタインサートのための開口部を備えたガス分配アセンブリを示す。 [0016]本開示の1つ又は複数の実施形態による処理チャンバの概略図を示す。 [0017]本開示の1つ又は複数の実施形態による処理チャンバの概略図を示す。 [0018]本開示の1つ又は複数の実施形態による処理チャンバの概略図を示す。 [0019]本開示の1つ又は複数の実施形態による処理チャンバの概略図を示す。 [0020]本開示の1つ又は複数の実施形態による処理チャンバの概略図を示す。 [0021]本開示の1つ又は複数の実施形態による処理チャンバの概略図を示す。 [0022]本開示の1つ又は複数の実施形態による処理チャンバの概略図を示す。
[0023]本開示のいくつかの例示的な実施形態を説明する前に、本開示は、以下の説明に記載される構成又はプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行可能である。
[0024]本明細書で使用される「基板」、「基板表面」などは、任意の基板、又は処理が実行される基板上に形成された任意の材料表面を指す。例えば、その上で処理が実行されうる基板表面は、限定されないが、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電性材料などの任意の他の材料を含む。基板は、限定しないが、半導体ウエハを含む。基板は、基板表面を、研磨し、エッチングし、還元し、酸化させ、ヒドロキシル化し(又は化学官能性を付与するためにターゲット化学部分を生成又はグラフトし)、アニールし、及び/又はベークするための前処理プロセスに曝されうる。基板自体の表面上で直接処理することに加えて、本開示では、開示される膜処理ステップのいずれも、以下でより詳細に開示されるように、基板上に形成される下層の上で実行されてもよく、「基板表面」という用語は、文脈が示すように、そのような下層を含むことが意図される。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合に、新たに堆積された膜/層の露出した表面が基板表面になる。所与の基板表面が何を含むかは、何の材料が堆積されるか、並びに使用される特定の化学物質に依存するだろう。
[0025]本明細書及び添付の特許請求の範囲で使用されるように、「反応性化合物」、「反応性ガス」、「反応性種」、「前駆体」、「プロセスガス」などの用語は、表面反応(例えば、化学吸着、酸化、還元)において基板表面又は基板表面上の材料と反応することができる種を有する物質を意味するために交換可能に使用される。例えば、第1の「反応性ガス」は、基板の表面上に単に吸着し、第2の反応性ガスとの更なる化学反応に利用可能でありうる。
[0026]本明細書で使用される「原子層堆積」又は「周期的堆積」は、基板表面上に材料の層を堆積させるために2つ以上の反応性化合物を連続的に曝露することを指す。基板又は基板の一部は、処理チャンバの反応ゾーンに導入される2つ以上の反応性化合物に別々に曝露される。時間領域ALDプロセスでは、各反応性化合物への曝露は、各化合物が基板表面上に付着及び/又は反応し、次いで処理チャンバからパージされることができるように、時間遅延によって分離される。これらの反応性化合物は、基板に連続的に曝露されると言われている。空間的ALDプロセスでは、基板表面の異なる部分、又は基板表面上の材料は、基板上の任意の所与の点が2つ以上の反応性化合物に実質的に同時に曝露されないように、2つ以上の反応性化合物に同時に曝露される。本明細書及び添付の特許請求の範囲で使用されるように、この点で使用される「実質的に」という用語は、当業者によって理解されるように、基板の小さな部分が拡散によって同時に複数の反応性ガスに曝露される可能性があり、同時の曝露が意図されないものであることを意味する。
[0027]本明細書及び添付の特許請求の範囲で使用されているように、「パイ形状」及び「くさび形状」という用語は、円の扇形部分(sector)である本体を説明するために互換的に使用される。例えば、くさび形状のセグメントは、円形又は円盤形状の構造の断片であり、複数のくさび形状のセグメントを連結して円形本体を形成することができる。扇形部分は、円の2つの半径及び交差する円弧によって囲まれた円の一部として定義することができる。パイ形状のセグメントの内側エッジは、尖っていてもよく、又は平坦なエッジとなるよう切断されたり、丸みを帯びるように加工されたりしてもよい。いくつかの実施形態では、扇形部分は、リング又は環帯の一部として定義することができる。
[0028]基板の経路は、ガスポートに対して直角でありうる。いくつかの実施形態では、ガスインジェクタアセンブリの各々は、基板が横切る経路に実質的に直角な方向に延びる複数の細長いガスポートを備え、ガス分配アセンブリの前面は、プラテンに実質的に平行である。本明細書及び添付の特許請求の範囲において使用される際に、「実質的に直角な」という用語は、基板の移動の概略方向が、ガスポートの軸に対してほぼ直角(例えば、約45°から90°)な平面に沿ったものであることを意味する。くさび形状のガスポートでは、ガスポートの軸は、ポートの長さに沿って延びるポートの幅の中点として定義される線であると考えることができる。
[0029]図1は、インジェクタ又はインジェクタアセンブリとも呼ばれるガス分配アセンブリ120と、サセプタアセンブリ140とを含む処理チャンバ100の断面を示す。ガス分配アセンブリ120は、処理チャンバ内で使用されるあらゆる種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に対向する前面121を含む。前面121は、サセプタアセンブリ140に向かってガス流を供給するための、任意の数又は様々な開口部を有しうる。ガス分配アセンブリ120はまた、図示された実施形態では実質的に円形である外周エッジ124を含む。
[0030]使用される特定の種類のガス分配アセンブリ120は、使用されている特定のプロセスに応じて変化しうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される任意の種類の処理システムと共に使用することができる。様々な種類のガス分配アセンブリ(例えば、シャワーヘッド)が用いられうるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ALDガス分配アセンブリに特に有用でありうる。本明細書及び添付の特許請求の範囲において使用される場合、「実質的に平行な」という用語は、ガスチャネルの長手方向軸が同じ概略方向に延びることを意味する。ガスチャネルの平行度にはわずかな不完全さが存在しうる。複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAチャネル、少なくとも1つの第2の反応性ガスBチャネル、少なくとも1つのパージガスPチャネル及び/又は少なくとも1つの真空Vチャネルを含みうる。第1の反応性ガスAチャネル(複数可)、第2の反応性ガスBチャネル(複数可)、及びパージガスPチャネル(複数可)から流れるガスは、ウエハの上面に向かって方向付けられる。ガス流の一部は、ウエハの表面にわたって水平に移動し、パージガスPチャネル(複数可)を通って処理領域から出る。ガス分配アセンブリの一端から他の端に移動する基板は、順にプロセスガスの各々に曝露されることになり、基板表面に層を形成する。
[0031]いくつかの実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作られた剛性の静止体である。1つ又は複数の実施形態では、ガス分配アセンブリ120は、図2に示すように、複数の個々の扇形部分(例えば、インジェクタユニット122)から構成される。単片本体又は複数の扇形部分の本体のいずれかが、記載される本開示の様々な実施形態とともに使用されうる。
[0032]サセプタアセンブリ140は、ガス分配アセンブリ120の下に位置付けられる。サセプタアセンブリ140は、上面141と、上面141内の少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143とエッジ144も有する。凹部142は、処理されている基板60の形状及びサイズに応じて、任意の適切な形状及びサイズとすることができる。図1に示す実施形態では、凹部142は、ウエハの底部を支持するための平坦な底部を有するが、凹部の底部は変化しうる。いくつかの実施態様において、凹部は、ウエハの外周エッジを支持するようにサイズ決定された凹部の外周エッジの周りに段差領域を有する。ステップによって支持されるウエハの外周エッジの量は、例えば、ウエハの厚さ及びウエハの裏側に既に存在する特徴の存在に応じて変化しうる。
[0033]いくつかの実施形態では、図1に示すように、凹部142で支持された基板60がサセプタ140の上面141と実質的に同一平面上にある上面61を有するように、サセプタアセンブリ140の上面141の凹部142は、サイズ決定される。本明細書及び添付の特許請求の範囲で使用されるように、「実質的に同一平面上の」という用語は、ウエハの上面及びサセプタアセンブリの上面が±0.2mm以内の同一平面上にあることを意味する。いくつかの実施形態では、上面は、±0.15mm、±0.10mm、又は±0.05mm以内で同一平面上にある。いくつかの実施態様の凹部142は、ウエハの内径(ID)が、サセプタの中心(回転軸)から約170mmから約185mmの範囲内に位置するように、ウエハを支持する。いくつかの実施態様では、凹部142は、ウエハの外径(OD)がサセプタの中心(回転軸)から約470mmから約485mmの範囲に位置するように、ウエハを支持する。
[0034]図1のサセプタアセンブリ140は、サセプタアセンブリ140を持ち上げ、下げ、回転させることができる支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中心内にヒータ、又はガスライン、又は電気部品を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を増減し、サセプタアセンブリ140を適切な位置に移動させる主要な手段でありうる。サセプタアセンブリ140はまた、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を形成するために、サセプタアセンブリ140に微調整を行うことができる微調整アクチュエータ162を含みうる。いくつかの実施形態では、間隙170の距離は、約0.1mmから約5.0mmの範囲、又は約0.1mmから約3.0mmの範囲、又は約0.1mmから約2.0mmの範囲、又は約0.2mmから約1.8mmの範囲、又は約0.3mmから約1.7mmの範囲、又は約0.4mmから約1.6mmの範囲、又は約0.5mmから約1.5mmの範囲、又は約0.6mmから約1.4mmの範囲、又は約0.7mmから約1.3mmの範囲、又は約0.8mmから約1.2mmの範囲、又は約0.9mmから約1.1mmの範囲、又は約1.1mmである。
[0035]図に示されている処理チャンバ100は、サセプタアセンブリ140が複数の基板60を保持できるカルーセル型チャンバである。図2に示すように、ガス分配アセンブリ120は、複数の別個のインジェクタユニット122を含んでもよく、各インジェクタユニット122は、ウエハがインジェクタユニットの下に移動する際に、ウエハ上に膜を堆積することができる。サセプタアセンブリ140のほぼ反対側及び上方に配置された2つのパイ形状のインジェクタユニット122が示されている。この数のインジェクタユニット122は、単に例示目的で示されている。より多くの又はより少ないインジェクタユニット122が含まれうることが理解されるだろう。いくつかの実施形態では、サセプタアセンブリ140の形状に適合する形状を形成するのに十分な数のパイ形状のインジェクタユニット122が存在する。いくつかの実施形態では、個々のパイ形状のインジェクタユニット122の各々は、他のインジェクタユニット122のいずれにも影響を及ぼすことなく、独立して移動、取り外し、及び/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして基板60をロード/アンロードできるようにするために、1つのセグメントを上昇させてもよい。
[0036]ウエハが同じプロセスの流れを経るよう、複数のウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバを使用することができる。例えば、図3に示すように、処理チャンバ100は、4つのガスインジェクタアセンブリと4つの基板60とを有する。処理開始時に、基板60をインジェクタアセンブリ30の間に配置することができる。サセプタアセンブリ140を45o回転させる17と、ガス分配アセンブリ120の間にある各基板60が、ガス分配アセンブリ120の下方の点線円で示されているように、膜堆積のために、ガス分配アセンブリ120の方に移動することになる。更に45o回転させると、基板60はインジェクタアセンブリ30から離れるように移動するだろう。空間的ALDインジェクタでは、インジェクタアセンブリに対するウエハの移動中に、ウエハ上に膜が堆積される。いくつかの実施形態では、サセプタアセンブリ140は、基板60がガス分配アセンブリ120の下で停止しないような増分で回転する。基板60及びガス分配アセンブリ120の数は、同じであっても異なっていてもよい。いくつかの実施形態では、ガス分配アセンブリが存在するのと同じ数の、処理されているウエハが存在する。1つ又は複数の実施形態では、処理されているウエハの数は、ガス分配アセンブリの数の分数又は整数倍である。例えば、4つのガス分配アセンブリがある場合、処理されているウエハの数は4xであり、xは1以上の整数値である。
[0037]図3に示される処理チャンバ100は、1つの可能な構成を単に表しているものであり、本開示の範囲を限定するものと見なされるべきではない。ここで、処理チャンバ100は、複数のガス分配アセンブリ120を含む。図示された実施形態では、処理チャンバ100の周囲に均等に離間した4つのガス分配アセンブリ(インジェクタアセンブリ30とも呼ばれる)が存在する。図示された処理チャンバ100は八角形であるが、当業者は、これが1つの可能な形状であり、本開示の範囲を限定するものと見なすべきではないことを理解するだろう。図示されたガス分配アセンブリ120は台形であるが、図2に示されているように、単一の円形構成要素であっても、複数のパイ形状のセグメントで構成されてもよい。
[0038]図3に示す実施形態は、ロードロックチャンバ180、又はバッファステーションのような補助チャンバを含む。このチャンバ180は、処理チャンバ100の側面に接続されており、例えば、基板(基板60とも呼ばれる)を処理チャンバ100にロード/アンロードすることができるようになる。基板をサセプタ上に移動させるために、ウエハロボットがチャンバ180内に配置されてもよい。
[0039]カルーセル(例えば、サセプタアセンブリ140)の回転は、連続的であっても不連続的であってもよい。連続処理において、ウエハは、インジェクタの各々に順に曝露されるように、常に回転している。不連続処理においては、ウエハは、インジェクタ領域に移動して停止し、次いで、インジェクタ間の領域84に移動して停止しうる。例えば、ウエハがインジェクタ間領域からインジェクタを越えて動き(又は、インジェクタに隣接して停止し)、カルーセルが再度止まりうる次のインジェクタ間領域へと続いて動くように、カルーセルが回転しうる。インジェクタ間で止まることで、各層の堆積と堆積との間の追加の処理ステップ(例えばプラズマへの曝露)のための時間が提供されうる。
[0040]図4は、ガス分配アセンブリ220の扇形部分又は一部を示し、これは、インジェクタユニット122と呼ばれうる。インジェクタユニット122は、個々に、又は他のインジェクタユニットと組み合わせて使用することができる。例えば、図5に示すように、図4のインジェクタユニット122のうちの4つを組み合わせて、単一のガス分配アセンブリ220を形成する。(4つのインジェクタユニットを分離する線は、分かりやすくするために図示しない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1の反応性ガスポート125及び第2の反応性ガスポート135の両方を有するが、インジェクタユニット122は、これらの構成要素のすべてを必要としない。
[0041]図4及び図5の両方を参照すると、1つ又は複数の実施形態によるガス分配アセンブリ220は、各扇形部分が同一である又は異なっている複数の扇形部分(又はインジェクタユニット122)を備えうる。ガス分配アセンブリ220は、処理チャンバ内に配置され、ガス分配アセンブリ220の前面121に複数の細長いガスポート125、135、145を備える。複数の細長いガスポート125、135、145及び真空ポート155は、内周エッジ123に隣接するエリアからガス分配アセンブリ220の外周エッジ124に隣接するエリアに向かって延びる。図示した複数のガスポートは、第1の反応性ガスポート125、第2の反応性ガスポート135、第1の反応性ガスポートと第2の反応性ガスポートの各々を取り囲む真空ポート145、及びパージガスポート155を含む。
[0042]図4又は図5に示される実施形態を参照すると、ポートが少なくとも内周領域の周りから少なくとも外周領域の周りに延びると述べても、ポートは、内側領域から外側領域まで単に半径方向に延びるだけではない可能性がある。真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲んでいるので、ポートは、接線方向に延びうる。図4及び図5に示す実施形態では、くさび形状の反応性ガスポート125、135は、真空ポート145によって、内周領域及び外周領域に隣接することを含めて、すべてのエッジで取り囲まれている。
[0043]図4を参照すると、基板が経路127に沿って移動すると、基板表面の各部分が種々の反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1の反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2の反応性ガスポート135、及び真空ポート145に曝露されるか、又はそれらに「遭遇」する。したがって、図4に示す経路127の端では、基板は、第1の反応性ガスポート125及び第2の反応性ガスポート135からのガス流に曝露されて、層が形成される。図示されたインジェクタユニット122は、四分円をなしているが、より大きくてもより小さくてもよいだろう。図5に示すガス分配アセンブリ220は、連続して接続された図4のインジェクタユニット122の4つの組み合わせと見なすことができる。
[0044]図4のインジェクタユニット122は、反応性ガスを分離するガスカーテン150を示す。「ガスカーテン」という用語は、反応性ガスを混合しないように分離するための、ガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、第1の反応性ガスポート125と隣り合った真空ポート145の部分と、中央のパージガスポート155と、第2の反応性ガスポート135と隣り合った真空ポート145の部分とを含む。第1の反応性ガスと第2の反応性ガスとの気相反応を防止又は最小限に抑えるために、このガス流と真空との組み合わせを使用することができる。
[0045]図5を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせが、複数の処理領域250への分離を形成する。処理領域は、250間にあるガスカーテン150を用いて、個々の反応性ガスポート125、135の周りに大まかに画定される。図5に示される実施形態は、8個の別個のガスカーテン150を間に有する8個の別個の処理領域250を構成する。処理チャンバは、少なくとも2つの処理領域を有しうる。いくつかの実施形態では、少なくとも3個、4個、5個、6個、7個、8個、9個、10個、11個、又は12個の処理領域が存在する。
[0046]処理中、基板は、任意の所与の時間に2つ以上の処理領域250に曝露されうる。しかしながら、異なる処理領域に曝露される部分は、2つを分離するガスカーテンを有することになる。例えば、基板の前方エッジが第2の反応性ガスポート135を含む処理領域に進入する場合、基板の中央部分は、ガスカーテン150の下にあり、基板の後方エッジは、第1の反応性ガスポート125を含む処理領域に留まることになる。
[0047]ファクトリインターフェース280(例えば、ロードロックチャンバでありうる)が、処理チャンバ100に接続されて示されている。基板60は、基準フレームを提供するために、ガス分配アセンブリ220の上に重ねられて示されている。基板60は、しばしば、ガス分配アセンブリ120(ガス分配板とも呼ばれる)の前面121の近くに保持されるサセプタアセンブリ上に位置しうる。基板60は、ファクトリインターフェース280を介して処理チャンバ100内の基板支持体又はサセプタアセンブリ上にロードされる(図3参照)。基板60は、処理領域内に配置された状態で図示されうるが、これは、基板が、第1の反応性ガスポート125に隣接し、2つのガスカーテン150aと150bとの間に位置するためである。経路127に沿って基板60を回転させると、処理チャンバ100の周囲で基板が反時計回りに移動することになる。したがって、基板60は、第1の処理領域250aから第8の処理領域250h(その間にすべての処理領域が含まれる)に曝露されることになる。図示されたガス分配アセンブリを使用して、処理チャンバの周りの各サイクルについて、基板60は、第1の反応性ガス及び第2の反応性ガスの4つのALDサイクルに曝露されることになる。
[0048]バッチプロセッサにおける従来のALDシーケンスは、図5のものと同様に、空間的に分離されたインジェクタからの化学物質A及びBの流れをそれぞれ維持し、それらの間にポンプ/パージセクションがある。従来のALDシーケンスには、開始及び終了パターンがあり、堆積された膜に不均一性が生じうる。驚くべきことに、本発明者らは、空間的ALDバッチ処理チャンバ内で実行される時間ベースのALDプロセスが、より高い均一性を有する膜を提供することを発見した。ガスA、反応性ガスがない状態、ガスB、反応性ガスがない状態に曝露していくという基本プロセスは、膜に開始及び終了パターンが形成されることを避けるために、インジェクタの下で基板を掃引して、化学物質Aと化学物質Bのそれぞれで基板を飽和させるためのものである。驚くべきことに、本発明者らは、時間ベースのアプローチが、ターゲット膜の厚さが薄い(例えば、20ALDサイクル未満)場合に特に有益であり、開始及び終了パターンが、ウエハ内の均一性性能に著しい影響を及ぼすことを発見した。本発明者らはまた、本明細書に記載されるようなSiCN、SiCO及びSiCONの膜を生成するための反応プロセスが、時間領域プロセスでは達成され得ないことを発見した。処理チャンバをパージするために使用される時間量は、基板表面からの材料の剥離をもたらす。記載された空間的ALDプロセスでは、ガスカーテンの下にある時間が短いため、この剥離は発生しない。
[0049]したがって、本開示の実施形態は、複数の処理領域250a−250hを有し、各処理領域がガスカーテン150によって隣接領域から分離されている処理チャンバ100を含む処理方法を対象としている。例えば、図5に処理チャンバが示される。処理チャンバ内のガスカーテン及び処理領域の数は、ガス流の配置に応じて任意の適切な数とすることができる。図5に示す実施形態は、8つのガスカーテン150と8つの処理領域250a−250hを有している。ガスカーテンの数は、概して、処理領域の数以上である。例えば、領域250aが反応性ガス流を有しておらず、単にローディングエリアとしての役割を果たしているにすぎない場合、処理チャンバは、7つの処理領域と8つのガスカーテンを有することになろう。
[0050]複数の基板60は、例えば、図1及び図2に示すサセプタアセンブリ140のように、基板支持体上に位置決めされる。複数の基板60は、処理のために処理領域の周りを回転する。概して、ガスカーテン150は、反応性ガスがチャンバに流れ込んでいない期間を含む処理の間ずっと稼働している(ガスが流れて真空がオンになっている)。
[0051]第1の反応性ガスAは、処理領域250の1つ又は複数に流入し、一方、不活性ガスは、第1の反応性ガスAが流入していない任意の処理領域250に流入する。例えば、第1の反応性ガスが、処理領域250hを通って処理領域250bに流入している場合、不活性ガスが処理領域250aに流入することになろう。不活性ガスは、第1の反応性ガスポート125又は第2の反応性ガスポート135を通って流されうる。
[0052]処理領域内の不活性ガス流は、一定であっても変動してもよい。いくつかの実施形態では、反応性ガスは、不活性ガスと共流する。不活性ガスは、キャリア及び希釈剤として作用することになる。キャリアガスに対する反応性ガスの量が少ないので、共流は、隣接する領域間の圧力差を減少させることによって、処理領域間のガス圧力の平衡をより容易にすることができる。
[0053]図6は、4つのインジェクタユニット122と4つの開口部610を有するガス分配アセンブリ120の別の実施形態を示す。開口部610は、インジェクタインサート(図示せず)によって占有され、均一な構成要素を形成することになる。いくつかの実施形態では、ガス分配アセンブリ120は、温度制御された本体を有する。例えば、4つの開口部610及び4つのインジェクタユニット122を有する大型の図示された構成要素は、流体チャネル又は当業者に知られている他の冷却/加熱構成を使用して冷却及び/又は加熱することができる。図示された開口部610は、インジェクタインサートを支持するようにサイズ決めされたレッジ612を含むが、これは、単に代表的な1つの可能な構成であり、本開示の範囲を限定するものと解釈されるべきではない。
[0054]従来の方法及び装置は、RF電流に対して良好な接地経路を必要とする。これにより、複数のCCP電極の下を物理的に移動しているステージが使用できなくなる。その場合、対向電極が設けられ、ウエハが配置されるステージの一方の側のリターン経路は、RFリターン経路の一部である必要はない。これにより、ウエハ全体にわたって均一なプラズマ(電位及びイオン密度)を生成することが困難になる可能性がある。
[0055]本開示のいくつかの実施形態は、有利には、電気的に直列に接続された一対の容量結合プラズマ(CCP)源を有する装置を提供する。いくつかの実施形態は、有利には、1つのプラズマ源上のRF電流が別のプラズマ源を通過して戻るCCPを提供する。いくつかの実施形態は、有利には、RF電流が通過して戻るための外部接地経路を最小化又は排除する装置及び方法を提供し、良好な接地経路の固定が困難な機械的に動的なプラズマチャンバに適するようになる。
[0056]本開示のいくつかの実施形態は、有利には、共に移動する2つのCCPステージをペアリングし、一方のCCP源を他方の源のリターンとして使用する装置及び方法を提供する。いくつかの実施形態は、RFリターン経路の係合及び係合解除の複雑な配置を伴わない装置及び方法を提供する。
[0057]いくつかの実施形態では、2つのCCP源が並列に接続される。底部RF経路は、互いに接続される。RF電力は、位相が互いに180度ずれた上側に印加され、プッシュアンドプル方式でRF電流を前後に駆動する。RF電流は、一方の源に進入し、底部から源を出て、底部から他方の源に戻り、他方の源の上部電極から出る。
[0058]電源は、180o同期外れで動作する2つの高周波発生器によって、又はバラン(同軸変圧器、従来の変圧器など)を介して2つの側に給電する1つの発生器によって、駆動することができる。2つの源を通るRF電流の連続性は、2つの源の改善された同時動作を可能にするだろう。単一の発生器を使用することにより、第2の発生器及び整合回路のコストを節約することができる。
[0059]図2及び図3に示す実施形態では、サセプタは単一の導電体である。いくつかの実施形態では、図7に示すように、別個の基板支持体710が使用され、単一のウエハペデスタル700のように機能するよう構成される。4つの別個の基板支持体710は、十字型のペデスタルベース720に接続される。ペデスタルベース720は、z軸移動又はシータ移動(z軸を中心とした回転)の1つ又は複数を提供することができるモータ730に接続される。図示されたウエハペデスタル700は、4つの別個の基板支持体710と適切に成形されたペデスタルベース720を有するが、当業者は、適切に成形されたペデスタルベース720を有する任意の適切な数の基板支持体710(例えば、2つ、3つ、4つ、5つ、6つなど)が存在しうることを認識するだろう。
[0060]基板支持体710の各々は、他の基板支持体710とは独立して加熱/冷却することができる。これにより、各ペデスタル上のウエハが、z軸の周りの任意の所与の位置で生じる特定のプロセス/反応に応じて別々に温度制御可能となる。例えば、処理ツールは、4つの別個の処理領域を有することができ、その結果、各ウエハは、ペデスタル上で、複数の反応及びプロセスのための処理領域のいくつか又はすべてに移動される。
[0061]図8から図13を参照すると、本開示の1つ又は複数の実施形態は、処理チャンバ800及び均一なプラズマを提供する方法を対象とする。図8では、一対の固定電極820a、820b及び一対の移動電極(移動ヒータ810a、810bとして示す)を、直列回路に示すように使用することができる。図示した実施形態は移動ヒータを使用するが、本開示は、このような装置に限定されない。概して、本開示は、接地経路を接続し、物理的接地が必要とされないように位相を調整することによって、2つ以上の源にわたってプラズマを生成する方法を対象とする。図6及び図7に示す実施形態では、2つ又は4つのプラズマインジェクタ(シャワーヘッド)を設けることができ、ここで、本明細書に記載の位相調整を使用して2つを同時に点火することができる。プラズマ815aは、電極820aとヒータ810aとの間で点灯させることができ、別のプラズマ815bは、電極820bとヒータ810bとの間で同時に点灯する。次いで、ヒータ810a、810bは、電極820aとヒータ810bが対になるように移動することができ、その逆もありうる。2つのプラズマを再び点灯させることができる。同じ発生器830及び整合セット835が、両方のプラズマに直列に電力を供給するために使用される。任意の特定の動作理論に束縛されることなく、直列の2つのプラズマを有することは、両方のプラズマが同時に点火し、同じ電流が2対の電極を通過することを保証し、したがって、2つのウエハの露光は類似していると考えられる。電極間を交互にすることにより、2つのウエハに対して平均化効果が保証される。同軸ケーブル840は、ヒータと共に移動する2つのヒータ810a、810b電極を接続し、物理的なRF接続に相対運動がないようにする。1つの発生器830及び1つの整合セット835に対するRF構成要素を縮小することで、ウエハ対ウエハの整合を確実にしつつ、コスト及び複雑さが低減される。
[0062]図8に示される実施形態では、同軸ケーブル840は、ペデスタルベース720内に埋め込まれる。同軸ケーブル840は、ヒータに接続され、当業者に知られた任意の適切な方法で配線することができる。同軸ケーブル840は、内部導体842と、間に絶縁体844を備えた外部導体846とを備える。
[0063]図9は、基板支持体910a、910b及び電極920a、920bを有する処理チャンバ900の概略図を示す。適切な整合回路935を有するRF源930は、電極920a及び電極920bに接続される。電流950がRF源930から一方向に流れ、RF源930に戻るように、基板支持体910a、910b(いくつかの実施形態では、ヒータである)及び同軸ケーブル940は、回路の底部の部分を形成する。電流950を示す矢印は、電流950と画像電流960とを区別するために細線を有する。当業者が認識するように、矢印の方向は、RF電流の振動と共に変化することになる。
[0064]図示した実施形態では、上部RF経路(RF発生器930を通して920aを920bに接続する)は、開放接続である。底部RF経路(支持体910aを支持体910bに接続する)は、同軸ケーブル940及び接続970で完成され、画像電流960が流れるための完全な経路を形成する。RF源930は、変圧器接続バランを介して電極に接続される。バランは、発電機の出力を平衡出力に変換するために使用することができる。
[0065]図10は、処理チャンバ1000の別の実施形態を示す。ここで、同軸線1040は、底部1002の構成要素(支持体1010a、1010b)を接続し、同軸線1041は、RF発生器1030を通して電極1020a及び1020bを接続する。一方のプラズマ源(電極1020a、1020b)に供給されたRF電流は、他方の源を介して戻ることになる。これにより、処理エリア内のRF場を歪ませうる画像電流1060が生成される。完全な円を完成させるために同軸経路を使用することは、対称的な電流分布を形成するのに役立ちうるが、壁に沿って、処理チャンバ1000の上部1001と底部1002との間の間隙1005を横切る電流の流れ1050(変位電流又は無効電流でありうる)を有することになる。いくつかの実施形態の間隙は、約0.1mmから約5mmの範囲、又は約0.5mmから約2mmの範囲、又は約1mmである。
[0066]図11は、処理チャンバ1100の別の実施形態を示す。図9と同様に、図11に示される実施形態は、底部構成要素(基板支持体1110a、1110b)を接続する同軸線1140を有し、一方、開放線1141は、上部構成要素(電極1120a、1120b及びRF発生器1130)を接続する。上部構成要素を接続するために、同軸線を使用することもできるだろう。ここで、同調素子1147a、1147bは、電極に仮想接地をもたらすために追加される。これは、電極と周囲の金属構造1108との間の電圧を低下させ、それらの領域で放電が開始される機会を最小化することになると考えられる。同調素子1147a、1147bは、寄生プラズマを低減するために、ペデスタル(基板支持体1110a、1110b)と周囲の金属構造1108との間の電圧を低減する。
[0067]図12は、誘電体遮断部1270を備える処理チャンバ1200の別の実施形態を示す。チャンバの上部の部分は、図11に示すものと類似の方法で接続される。チャンバの底部の部分は、誘電体遮断部1270を含むことで異なっている。基板支持体1210aは、バラン1247a、1247bを有する同軸線1240を通して基板支持体1210bに接続される。誘電性スペーサ1270は、基板支持体1210a、1210bを分離し、チャンバの底部における画像電流を低減する。任意の特定の動作理論に束縛されることなく、誘電体遮断シルを設けることによって、チャンバの壁に沿った経路が不要になると考えられる。これにより、構成要素の表面間に電圧差が発生する可能性がある。
[0068]誘電体遮断部1270は、当業者に知られている任意の適切な材料とすることができる。適切な誘電体材料は、限定されないが、石英、セラミック、及びテフロン(登録商標)(ポリテトラフルオロエチレン)を含む。
[0069]図13は、非同軸底部経路を有する処理チャンバ1300の別の実施形態を示す。RF発生器1330は、電極1320aを電極1320bと接続する。底部経路は、基板支持体1310aを基板支持体1310bと接続する同軸線なしに形成される。同調素子1347a、1347bは、同軸線なしで画像電流のためのリターン経路を提供する外部導体に結合される。
[0070]本開示の更なる実施形態は、少なくとも2つのプラズマステーションを有する処理チャンバを対象とする。このようにして使用されるように、プラズマステーションは、プラズマを生成するために使用することができる電極、シャワーヘッド又はガス分配システムを有する。プラズマステーションは、図7から図8の実施形態のように分離された領域であってもよく、図1から図6に示す処理チャンバなどのバッチ処理チャンバの別個の部分であってもよい。
[0071]再び図8から図13を参照すると、処理チャンバ800は、処理のために個々のウエハを支持する複数の支持面を有するウエハペデスタルを含む。図8では、支持面は、一対の移動電極として示されている(移動ヒータ810a、810bとして示されている)。
[0072]プラズマ815aは、第1の電極820aと第1の支持面(ヒータ810a)との間で点灯可能であり、プラズマ815bは、第2の電極820bと第2の支持面(ヒータ810b)との間で同時に点灯される。次いで、支持面(ヒータ810a、810b)は、第1の電極820aと第2の支持面(ヒータ810b)とが対になるように移動することができ、その逆もありうる。2つのプラズマを再び点灯させることができる。同じRF発生器830及び整合セット835が、両方のプラズマに直列に電力を供給するために使用される。RF発生器830は、第1のプラズマステーション内の第1の電極820aと、第2のプラズマステーション内の第2の電極820bとに接続され、上部RF経路を形成する。任意の特定の動作理論に束縛されることなく、直列の2つのプラズマを有することは、両方のプラズマが同時に点火し、同じ電流が2対の電極を通過することを保証し、したがって、2つのウエハの露光は類似していると考えられる。電極間を交互にすることにより、2つのウエハに対して平均化効果が保証される。同軸ケーブル840は、ヒータと共に移動する2つのヒータ810a、810b電極を接続し、物理的なRF接続に相対運動がないようにする。1つの発生器830及び1つの整合セット835に対するRF構成要素を縮小することで、ウエハ対ウエハの整合を確実にしつつ、コスト及び複雑さが低減される。
[0073]図8に示される実施形態では、同軸ケーブル840は、ペデスタルベース720内に埋め込まれる。同軸ケーブル840は、底部RF経路を形成するために、ウエハペデスタルの少なくとも2つの支持面(例えば、ヒータ810a、810b)に接続することができる。接続は、同軸ケーブル(coaxial(coax)cables)を含むがこれに限定されない、当業者に知られている任意の適切な方法で経路決定することができる。同軸ケーブル840は、内部導体842と、間に絶縁体844を備えた外部導体846とを備える。
[0074]図9から図13に示す実施形態の各々は、少なくとも2つの支持面と少なくとも2つのプラズマステーションとを有する処理チャンバに適用可能である。これらの図における構成要素の配置は、可動ヒータがより一般的な支持面と置き換えられる構成要素の配置に類似している。支持面は、可動ヒータを含みうるが、このように限定されるものではない。
[0075]本開示の更なる実施形態は、複数の基板を処理する方法を対象とする。本方法は、第1のプラズマ処理ステーション内の第1の電極及び第2のプラズマ処理ステーション内の第2の電極に接続されたRF発生器に電力を供給して、上部RF経路を形成することを含む。電極に電力を供給する間、第1の支持面が第1のプラズマ処理ステーション内に位置し、第2の支持面が第2のプラズマ処理ステーション内に位置する。第1の支持面及び第2の支持面は、底部RF経路を形成するように接続されている。支持面は、可動ヒータ又は当業者に知られた任意の他のサセプタ型構成要素とすることができる。いくつかの実施形態では、この方法は、図9−13に関して上述したように、第1の電極と第2の電極との間の接続、及び/又は第1の支持面と第2の支持面との間の接続を提供することを更に含む。
[0076]前述の明細書では、本開示の実施形態は、その特定の例示的な実施形態を参照して説明されてきた。以下の特許請求の範囲に記載されている本開示の実施形態のより広い主旨及び範囲から逸脱することなく、様々な修正を行うことができることが明らかだろう。したがって、本明細書及び図面は、限定を意味するのではなく、例示を意味すると見なされるべきである。

Claims (15)

  1. 少なくとも2つのプラズマステーションと、
    処理のために個々のウエハを支持するための複数の支持面を有するウエハペデスタルと、
    上部RF経路を形成するために、第1のプラズマ処理ステーション内の第1の電極、及び第2のプラズマ処理ステーション内の第2の電極に接続されたRF発生器と、
    底部RF経路を形成するための、前記ウエハペデスタルの少なくとも2つの支持面の間の接続と
    を備える処理チャンバ。
  2. 前記支持面の間の前記接続が、前記ウエハペデスタル内にある、請求項1に記載の処理チャンバ。
  3. 前記支持面の間の前記接続が、同軸接続を含む、請求項1に記載の処理チャンバ。
  4. 前記支持面を接続する第2の底部RF経路を更に備える、請求項3に記載の処理チャンバ。
  5. 前記上部RF経路が、同軸ケーブルで形成される、請求項3に記載の処理チャンバ。
  6. 前記支持面の各々に接続された同調素子を更に備える、請求項3に記載の処理チャンバ。
  7. 前記同調素子がバランを含む、請求項6に記載の処理チャンバ。
  8. 前記同調素子が、前記支持面と前記処理チャンバを形成する周囲の金属構造との間の電圧差を低減する、請求項6に記載の処理チャンバ。
  9. 前記支持面を分離する誘電体スペーサを更に備える、請求項3に記載の処理チャンバ。
  10. 前記誘電体スペーサが、石英、セラミック、又はポリテトラフルオロエチレンのうちの1つ又は複数を含む、請求項9に記載の処理チャンバ。
  11. 前記支持面の各々に接続された同調素子を更に備える、請求項1に記載の処理チャンバ。
  12. 前記同調素子がバランを含む、請求項11に記載の処理チャンバ。
  13. 前記底部RF経路が、同軸ケーブルなしで形成される、請求項11に記載の処理チャンバ。
  14. 処理チャンバの内部の周囲に配置された複数の処理ステーションであって、少なくとも2つのプラズマステーションを備える、複数の処理ステーションと、
    処理のために個々のウエハを支持するための複数のヒータを有するウエハペデスタルであって、前記ヒータの数が前記処理ステーションの数に等しい、ウエハペデスタルと、
    上部RF経路を形成するために、第1のプラズマ処理ステーション内の第1の電極、及び第2のプラズマ処理ステーション内の第2の電極に接続されたRF発生器と、
    底部RF経路を形成するための、前記ウエハペデスタルの第1のヒータと第2のヒータとの間の接続と
    を備える処理チャンバ。
  15. 前記第1のヒータと前記第2のヒータとの間の前記接続が、前記ウエハペデスタル内にある、請求項14に記載の処理チャンバ。
JP2020570180A 2018-06-18 2019-06-18 対にされた動的平行板の容量結合プラズマ Active JP7078762B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022082260A JP7422807B2 (ja) 2018-06-18 2022-05-19 対にされた動的平行板の容量結合プラズマ

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862686643P 2018-06-18 2018-06-18
US62/686,643 2018-06-18
PCT/US2019/037655 WO2019246041A1 (en) 2018-06-18 2019-06-18 Paired dynamic parallel plate capacitively coupled plasmas

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022082260A Division JP7422807B2 (ja) 2018-06-18 2022-05-19 対にされた動的平行板の容量結合プラズマ

Publications (2)

Publication Number Publication Date
JP2021528849A true JP2021528849A (ja) 2021-10-21
JP7078762B2 JP7078762B2 (ja) 2022-05-31

Family

ID=68840307

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020570180A Active JP7078762B2 (ja) 2018-06-18 2019-06-18 対にされた動的平行板の容量結合プラズマ
JP2022082260A Active JP7422807B2 (ja) 2018-06-18 2022-05-19 対にされた動的平行板の容量結合プラズマ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022082260A Active JP7422807B2 (ja) 2018-06-18 2022-05-19 対にされた動的平行板の容量結合プラズマ

Country Status (6)

Country Link
US (2) US11282676B2 (ja)
JP (2) JP7078762B2 (ja)
KR (2) KR102652116B1 (ja)
CN (1) CN112292755A (ja)
TW (1) TWI780337B (ja)
WO (1) WO2019246041A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114023620B (zh) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 一种用于处理基片的处理站

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6049628A (ja) * 1983-08-26 1985-03-18 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 反応性イオン・エツチング装置
JPH09213689A (ja) * 1996-02-01 1997-08-15 Canon Sales Co Inc プラズマ処理装置
JP2000030894A (ja) * 1998-07-07 2000-01-28 Kokusai Electric Co Ltd プラズマ処理方法および装置
JP2003201565A (ja) * 2002-01-08 2003-07-18 Canon Inc 堆積膜形成装置および堆積膜形成方法
JP2013030392A (ja) * 2011-07-29 2013-02-07 Tokyo Electron Ltd プラズマ処理装置
WO2013136656A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜装置
JP2014120564A (ja) * 2012-12-14 2014-06-30 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666298B2 (ja) * 1983-02-03 1994-08-24 日電アネルバ株式会社 ドライエッチング装置
JP2963973B2 (ja) * 1993-09-17 1999-10-18 東京エレクトロン株式会社 バッチ式コールドウォール処理装置及びそのクリーニング方法
JP2000353710A (ja) * 1999-06-14 2000-12-19 Toshiba Corp ペレットピックアップ装置および半導体装置の製造方法
TW488010B (en) * 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
FR2872826B1 (fr) * 2004-07-07 2006-09-15 Commissariat Energie Atomique Croissance a basse temperature de nanotubes de carbone orientes
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
KR20090013286A (ko) * 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
JP5390230B2 (ja) * 2008-03-31 2014-01-15 日本碍子株式会社 シリコン系薄膜成膜装置及びその方法
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
CN101768731B (zh) * 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
KR20110137775A (ko) 2009-03-26 2011-12-23 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
WO2011062940A2 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Large area plasma processing chamber with at-electrode rf matching
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
JP2013055129A (ja) 2011-09-01 2013-03-21 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP2015180768A (ja) * 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10415137B2 (en) * 2016-01-01 2019-09-17 Applied Materials, Inc. Non-metallic thermal CVD/ALD Gas Injector and Purge Systems
JP6697067B2 (ja) 2016-02-23 2020-05-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびコンピュータ読み取り可能な記録媒体

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6049628A (ja) * 1983-08-26 1985-03-18 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 反応性イオン・エツチング装置
JPH09213689A (ja) * 1996-02-01 1997-08-15 Canon Sales Co Inc プラズマ処理装置
JP2000030894A (ja) * 1998-07-07 2000-01-28 Kokusai Electric Co Ltd プラズマ処理方法および装置
JP2003201565A (ja) * 2002-01-08 2003-07-18 Canon Inc 堆積膜形成装置および堆積膜形成方法
JP2013030392A (ja) * 2011-07-29 2013-02-07 Tokyo Electron Ltd プラズマ処理装置
WO2013136656A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜装置
JP2014120564A (ja) * 2012-12-14 2014-06-30 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法

Also Published As

Publication number Publication date
TW202302909A (zh) 2023-01-16
WO2019246041A1 (en) 2019-12-26
KR20210008564A (ko) 2021-01-22
US20190385819A1 (en) 2019-12-19
JP7422807B2 (ja) 2024-01-26
TW202000984A (zh) 2020-01-01
KR102476114B1 (ko) 2022-12-12
US11282676B2 (en) 2022-03-22
JP2022122897A (ja) 2022-08-23
KR102652116B1 (ko) 2024-03-29
US20220165540A1 (en) 2022-05-26
US11923172B2 (en) 2024-03-05
KR20230004878A (ko) 2023-01-06
TWI780337B (zh) 2022-10-11
CN112292755A (zh) 2021-01-29
JP7078762B2 (ja) 2022-05-31

Similar Documents

Publication Publication Date Title
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
WO2015142820A1 (en) Rf multi-feed structure to improve plasma uniformity
TWI733021B (zh) 電漿源組件、處理腔室與處理基板的方法
US10763085B2 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
KR102405729B1 (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
JP6892439B2 (ja) スロット付きグランドプレートを有するプラズマモジュール
US20230307213A1 (en) Vertically adjustable plasma source
JP7422807B2 (ja) 対にされた動的平行板の容量結合プラズマ
US11158489B2 (en) Methods and systems to modulate film stress
TWI854301B (zh) 用於成對的動態平行板電容耦合電漿的處理腔室

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220405

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220419

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220519

R150 Certificate of patent or registration of utility model

Ref document number: 7078762

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150