KR102656575B1 - 측방향 플라즈마/라디칼 소스 - Google Patents

측방향 플라즈마/라디칼 소스 Download PDF

Info

Publication number
KR102656575B1
KR102656575B1 KR1020160154266A KR20160154266A KR102656575B1 KR 102656575 B1 KR102656575 B1 KR 102656575B1 KR 1020160154266 A KR1020160154266 A KR 1020160154266A KR 20160154266 A KR20160154266 A KR 20160154266A KR 102656575 B1 KR102656575 B1 KR 102656575B1
Authority
KR
South Korea
Prior art keywords
electrode
plasma source
gas
source assembly
hot electrode
Prior art date
Application number
KR1020160154266A
Other languages
English (en)
Other versions
KR20170066229A (ko
Inventor
아난타 케이. 수브라마니
카우살 강가케즈카르
아브히셱 초우드후리
아브히™r 초우드후리
존 씨. 포스터
나타원 눈타워란우츠
칼롤 베라
필립 에이. 크라우스
파자드 호우쉬만드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170066229A publication Critical patent/KR20170066229A/ko
Application granted granted Critical
Publication of KR102656575B1 publication Critical patent/KR102656575B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/5005Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells
    • G01N33/5008Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics
    • G01N33/5011Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics for testing antineoplastic activity
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/335Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin
    • A61K31/34Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide
    • A61K31/343Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide condensed with a carbocyclic ring, e.g. coumaran, bufuralol, befunolol, clobenfurol, amiodarone
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P35/00Antineoplastic agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2510/00Detection of programmed cell death, i.e. apoptosis

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Animal Behavior & Ethology (AREA)
  • Public Health (AREA)
  • Veterinary Medicine (AREA)
  • Pharmacology & Pharmacy (AREA)
  • Electromagnetism (AREA)
  • Immunology (AREA)
  • Biomedical Technology (AREA)
  • Hematology (AREA)
  • Epidemiology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Urology & Nephrology (AREA)
  • Molecular Biology (AREA)
  • Microbiology (AREA)
  • Cell Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Biotechnology (AREA)
  • Tropical Medicine & Parasitology (AREA)
  • Food Science & Technology (AREA)
  • Biochemistry (AREA)

Abstract

RF 핫 전극(hot electrode) 및 리턴 전극(return electrode)을 갖는 하우징을 포함하는 플라즈마 소스 어셈블리들이 설명된다. 하우징은, 유동 경로를 정의하는, 가스 유입구 및 전방 면(front face)을 포함한다. RF 핫 전극은, 유동 경로에 대해 실질적으로 평행하게 배향되는 제 1 표면을 포함한다. 리턴 전극은, 유동 경로에 대해 실질적으로 평행하게 배향되고 그리고 갭을 형성하기 위해 RF 핫 전극의 제 1 표면으로부터 이격되는 제 1 표면을 포함한다. 플라즈마 소스 어셈블리들을 포함하는 프로세싱 챔버들 및 플라즈마 소스 어셈블리들을 사용하는 방법들이 또한 설명된다.

Description

측방향 플라즈마/라디칼 소스{LATERAL PLASMA/RADICAL SOURCE}
[0001] 본 개시내용의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 보다 특정하게는, 본 개시내용의 실시예들은, 배치 프로세서(batch processor)들과 같은 프로세싱 챔버들에 대해 사용하기 위한 모듈식 용량 결합 플라즈마 소스(modular capacitively coupled plasma source)들에 관한 것이다.
[0002] 반도체 디바이스 형성은 일반적으로, 다수의 챔버들을 포함하는 기판 프로세싱 플랫폼들에서 수행된다. 몇몇 경우들에서, 다중-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은, 제어되는 환경에서 순차적으로 기판에 대해 2개 또는 그 초과의 프로세스들을 수행하는 것이다. 하지만, 다른 경우들에서, 다중 챔버 프로세싱 플랫폼은 기판들에 대해 단일 프로세싱 단계 만을 수행할 수 있으며; 부가적인 챔버들은, 기판들이 플랫폼에 의해 프로세싱되는 레이트(rate)를 최대화하도록 의도된다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로, 배치(batch) 프로세스이고, 그러한 배치 프로세스에서, 예를 들어 25개 또는 50개와 같은 비교적 많은 수의 기판들이, 주어진 챔버에서, 동시에 프로세싱된다. 배치 프로세싱은, 경제적으로 실용적인 방식으로 개별적인 기판들에 대해 수행되기에 너무 시간-소모적인 프로세스들에 대해, 이를테면, 원자 층 증착(ALD) 프로세스들 및 몇몇 화학 기상 증착(CVD) 프로세스들에 대해, 특히 유익하다.
[0003] 몇몇 ALD 시스템들, 특히, 회전하는 기판 플래튼들을 갖는 공간적(spatial) ALD 시스템들은, 모듈식 플라즈마 소스, 즉 시스템 내로 용이하게 삽입될 수 있는 소스로부터 이득을 얻는다. 플라즈마 소스는, 플라즈마가 발생되는 볼륨(volume), 및 활성(active)의 화학 라디칼 종(chemical radical species) 및 대전된 입자들의 플럭스(flux)에 대해 워크피스를 노출시키는 경로(way)로 이루어진다.
[0004] 열적(thermal) ALD 및 CVD 프로세스들은 종종, 막 품질 향상들을 위한 처리들을 포함한다. 이러한 처리들은 전형적으로, 에너제틱(energetic) 또는 반응성(reactive)의 종을 포함한다. 플라즈마 소스들은 그러한 종을 위한 주된 소스이다. 플라즈마 소스들의 몇몇 관심사들은, 이온들을 통한 에너제틱 타격(energetic bombardment), 및 스퍼터링으로 인한 플라즈마 소스로부터의 재료들의 오염을 포함한다. 균일한 플라즈마 밀도를 유지하면서, 스퍼터링되는 재료에 의한 기판의 오염을 최소화하는 플라즈마 소스들이 필요하다.
[0005] 본 개시내용의 하나 또는 그 초과의 실시예들은, 하우징, RF 핫 전극(hot electrode) 및 리턴 전극(return electrode)을 포함하는 플라즈마 소스 어셈블리들에 관한 것이다. 하우징은, 유동 경로를 정의하는, 가스 유입구(gas inlet) 및 전방 면(front face)을 갖는다. 가스 유입구는, 가스의 유동이 하우징을 통해 전방 면 바깥으로 통과하도록 유동 경로를 따라 이동하는 것을 허용한다. RF 핫 전극은 하우징 내에 있으며, 그리고 유동 경로에 대해 실질적으로 평행하게 배향되는 제 1 표면을 갖는다. 리턴 전극은 하우징 내에 있으며, 그리고 유동 경로에 대해 실질적으로 평행하게 배향되고 그리고 갭을 형성하기 위해 RF 핫 전극의 제 1 표면으로부터 이격되는 제 1 표면을 갖는다.
[0006] 본 개시내용의 부가적인 실시예들은, 서셉터 어셈블리 및 가스 분배 어셈블리를 포함하는 프로세싱 챔버들에 관한 것이다. 서셉터 어셈블리는 프로세싱 챔버 내에 있으며, 그리고 복수의 기판들을 지지하고 이러한 복수의 기판들을 중심축을 중심으로 회전시키기 위한 상단 표면(top surface)을 갖는다. 가스 분배 어셈블리는, 서셉터 어셈블리의 상단 표면 쪽으로 가스들의 유동을 지향시키기 위해 서셉터 어셈블리의 상단 표면을 향하는 전방 표면(front surface)을 갖는다. 가스 분배 어셈블리는, 웨지-형상(wedge-shaped) 하우징, 적어도 하나의 RF 핫 전극 및 적어도 하나의 리턴 전극을 포함하는 플라즈마 소스 어셈블리를 포함한다. 웨지-형상 하우징은, 하우징의 장축(major axis)을 정의하는 외측 주변 단부 및 내측 주변 단부를 갖는다. 하우징은 또한, 제 1 측(side), 제 2 측, 가스 유입구 및 전방 면을 갖는다. 가스 유입구 및 전방 면은 유동 경로를 정의하며, 그리고 가스 유입구는 가스의 유동이 하우징을 통해 전방 면 바깥으로 통과하도록 유동 경로를 따라 이동하는 것을 허용한다. 적어도 하나의 RF 핫 전극은 하우징 내에 있으며, 그리고 유동 경로에 대해 실질적으로 평행하게 배향되는 제 1 표면을 갖는다. 적어도 하나의 리턴 전극은 하우징 내에 있으며, 그리고 유동 경로에 대해 실질적으로 평행하게 배향되고 그리고 갭을 형성하기 위해 RF 핫 전극으로부터 이격되는 제 1 표면을 갖는다. 플라즈마 소스 어셈블리의 웨지-형상 하우징의 전방 면은, 서셉터 어셈블리의 상단 표면으로부터 약 1 mm 내지 약 5 mm 범위의 거리에 포지셔닝된다(positioned).
[0007] 본 개시내용의 추가의 실시예들은 기판을 프로세싱하는 방법들에 관한 것이다. 기판이, 가스 분배 어셈블리 근처의 서셉터 어셈블리 상에 포지셔닝된다. 가스 분배 어셈블리는, 웨지-형상 하우징, 적어도 하나의 RF 핫 전극 및 적어도 하나의 리턴 전극을 포함하는 플라즈마 소스 어셈블리를 포함한다. 웨지-형상 하우징은, 하우징의 장축을 정의하는 외측 주변 단부 및 내측 주변 단부를 갖는다. 하우징은 제 1 측, 제 2 측, 가스 유입구 및 전방 면을 갖는다. 가스 유입구 및 전방 면은 유동 경로를 정의하며, 그리고 가스 유입구는 가스의 유동이 하우징을 통해 전방 면 바깥으로 통과하도록 유동 경로를 따라 이동하는 것을 허용한다. 적어도 하나의 RF 핫 전극은 하우징 내에 있으며, 그리고 유동 경로에 대해 실질적으로 평행하게 배향되는 제 1 표면을 갖는다. 적어도 하나의 리턴 전극은 하우징 내에 있으며, 그리고 유동 경로에 대해 실질적으로 평행하게 배향되고 그리고 갭을 형성하기 위해 RF 핫 전극의 제 1 표면으로부터 이격되는 제 1 표면을 갖는다. 가스는, 웨지-형상 하우징의 가스 유입구를 통해 RF 핫 전극과 리턴 전극 간의 갭 내로 유동된다. RF 핫 전극은, 갭 내에서 플라즈마를 형성하기 위해 에너자이징되며(energized), 기판은 플라즈마에 노출된다.
[0008] 본 개시내용의 실시예들의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간단히 요약된 본 개시내용의 실시예들의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 하지만, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 기판 프로세싱 시스템의 개략적인 단면도를 도시한다.
[0010] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 기판 프로세싱 시스템의 사시도를 도시한다.
[0011] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 기판 프로세싱 시스템의 개략도를 도시한다.
[0012] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 가스 분배 어셈블리의 정면(front)의 개략도를 도시한다.
[0013] 도 5는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 개략도를 도시한다.
[0014] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리의 개략적인 단면도를 도시한다.
[0015] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리의 부분적인 사시도를 도시한다.
[0016] 도 8은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리의 부분적인 사시도를 도시한다.
[0017] 도 9는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리의 부분적인 개략적 측면도를 도시한다.
[0018] 도 10a 및 도 10b는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리들의 개략적인 저면도들을 도시한다.
[0019] 도 11은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른, 서펜타인 전극(serpentine electrode)들을 갖는 플라즈마 소스 어셈블리의 개략적인 저면도를 도시한다.
[0020] 도 12는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리의 개략적인 저면도를 도시한다.
[0021] 도 13은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리 전극들의 부분적인 측단면 개략도를 도시한다.
[0022] 도 14는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리 전극들의 부분적인 측단면 개략도를 도시한다.
[0023] 도 15는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0024] 본 개시내용의 실시예들은, 처리량을 최대화하고 그리고 프로세싱 효율을 개선하기 위해, 연속적인 기판 증착을 위한 기판 프로세싱 시스템을 제공한다. 기판 프로세싱 시스템은 또한, 증착-전 및 증착-후 플라즈마 처리들에 대해 사용될 수 있다.
[0025] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 교환가능하게 사용되고, 이들 양자 모두는, 프로세스가 작용하는, 표면, 또는 표면의 일부를 지칭한다. 기판에 대한 언급이 또한, 문맥상 명확히 다르게 지시되지 않는 한, 기판의 일부만을 언급할 수 있다는 것이 당업자에 의해 또한 이해될 것이다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 또는 그 초과의 막(film)들 또는 피처(feature)들이 위에 증착 또는 형성된 기판, 및 베어(bare) 기판 양자 모두를 의미할 수 있다.
[0026] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물(reactant)" 등과 같은 용어들은, 기판 표면과 반응적인 종(species)을 포함하는 가스를 의미하기 위해, 교환가능하게 사용된다. 예를 들어, 제 1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제 2 반응성 가스와의 추가적인 화학 반응을 위해 이용가능할 수 있다.
[0027] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "감소된 압력(reduced pressure)"이라는 용어는 약 100 Torr 미만, 또는 약 75 Torr 미만, 또는 약 50 Torr 미만, 또는 약 25 Torr 미만의 압력을 의미한다. 예를 들어, 약 1 Torr 내지 약 25 Torr의 범위인 것으로서 정의되는 "중간 압력(medium pressure)"이 감소된 압력이다.
[0028] 플래튼 챔버들을 회전시키는 것이 많은 애플리케이션들에 대해 고려되고 있다. 그러한 챔버에서, 하나 또는 그 초과의 웨이퍼들이, 회전하는 홀더(rotating holder)("플래튼") 상에 배치된다. 플래튼이 회전함에 따라, 웨이퍼들은 다양한 프로세싱 구역들 사이에서 이동한다. 예를 들어, ALD에 있어서, 프로세싱 구역들은 웨이퍼를 전구체들 및 반응물들에 노출시킬 것이다. 또한, 플라즈마 노출은, 반응물로서, 또는 향상된 막 성장을 위해 막 또는 기판 표면을 처리하기 위해 또는 막 특성들을 변경시키기 위해 사용될 수 있다. 본 개시내용의 몇몇 실시예들은, 회전하는 플래튼 ALD 챔버를 사용할 때, ALD 막들의 균일한 증착 및 포스트-처리(post-treatment)(예를 들어, 치밀화(densification))를 제공한다.
[0029] 회전하는 플래튼 ALD 챔버들은, 전형적인 시간-도메인 프로세스들(여기에서는, 전체 웨이퍼가 제 1 가스에 노출되고, 퍼징된 다음, 제 2 가스에 노출됨)에 의해, 또는 공간적인 ALD(여기에서는, 웨이퍼의 일부분들이 제 1 가스에 노출되고, 일부분들이 제 2 가스에 노출되며, 그리고 이러한 가스 스트림들을 통한 웨이퍼의 이동이 층을 증착함)에 의해, 막들을 증착할 수 있다.
[0030] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "파이-형상(pie-shaped)" 및 "웨지-형상(wedge-shaped)"이라는 용어들은, 대체로 원형의 섹터인 바디(body)를 설명하기 위해 교환가능하게 사용된다. 예를 들어, 웨지-형상 세그먼트는, 원 또는 디스크-형상 구조의 부분(fraction)일 수 있다. 파이-형상 세그먼트의 내측 에지(inner edge)는 포인트가 될 수 있거나, 또는 편평한(flat) 에지로 절단(truncated)되거나 또는 라운딩될 수 있다. 기판의 경로는 가스 포트들에 대해 수직적일 수 있다. 몇몇 실시예들에서, 가스 주입기 어셈블리들 각각은, 기판에 의해 횡단되는 경로에 대해 실질적으로 수직적인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함하며, 여기서, 가스 포트들의 전방 에지(front edge)는 플래튼에 대해 실질적으로 평행하다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직적인"이라는 용어는, 기판들의 이동의 대략적인 방향이, 가스 포트들의 축에 대해 대략적으로 수직(예를 들어, 약 45o 내지 90o)인 평면을 따른다는 것을 의미한다. 웨지-형상 가스 포트의 경우에, 가스 포트의 축은, 포트의 폭의 중간-지점이 포트의 길이를 따라 연장되는 것으로서 정의되는 라인인 것으로 고려될 수 있다.
[0031] 도 1은, 주입기들 또는 주입기 어셈블리라고도 또한 지칭되는 가스 분배 어셈블리(120), 및 서셉터 어셈블리(140)를 포함하는 프로세싱 챔버(100)의 단면도를 도시한다. 가스 분배 어셈블리(120)는, 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 어셈블리(120)는, 서셉터 어셈블리(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은, 서셉터 어셈블리(140) 쪽으로 가스들의 유동을 전달하기 위한 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 어셈블리(120)는 또한, 외측 주변 에지(124)를 포함하며, 도시된 실시예들에서, 이러한 외측 주변 에지(124)는 실질적으로 원형(round)이다.
[0032] 사용되는 가스 분배 어셈블리(120)의 구체적인 타입은, 사용되고 있는 특정 프로세스에 의존하여 달라질 수 있다. 본 개시내용의 실시예들은, 서셉터와 가스 분배 어셈블리 간의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 대해 사용될 수 있다. 다양한 타입들의 가스 분배 어셈블리들(예를 들어, 샤워헤드들)이 이용될 수 있지만, 본 개시내용의 실시예들은, 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적인 ALD 가스 분배 어셈블리들에 대해 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는 가스 채널들의 장축(elongate axis)이, 동일한 대략적인 방향으로 연장함을 의미한다. 가스 채널들의 평행성(parallelism)에 있어서 약간의 불완전함들이 있을 수 있다. 복수의 실질적으로 평행한 가스 채널들은, 적어도 하나의 제 1 반응성 가스(A) 채널, 적어도 하나의 제 2 반응성 가스(B) 채널, 적어도 하나의 퍼지 가스(P) 채널들 및/또는 적어도 하나의 진공(V) 채널을 포함할 수 있다. 제 1 반응성 가스(A) 채널(들), 제 2 반응성 가스(B) 채널(들) 및 퍼지 가스(P) 채널(들)로부터 유동하는 가스들은 웨이퍼의 상단 표면 쪽으로 지향된다. 가스 유동 중 일부는, 웨이퍼의 표면을 가로질러 수평으로 이동하여, 퍼지 가스(P) 채널(들)을 통해 프로세싱 영역 바깥으로 이동한다. 가스 분배 어셈블리의 하나의 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 차례로 노출되어, 기판 표면 상에 층을 형성할 것이다.
[0033] 몇몇 실시예들에서, 가스 분배 어셈블리(120)는, 단일의 주입기 유닛으로 제조되는 강성(rigid)의 정지된 바디이다. 하나 또는 그 초과의 실시예들에서, 도 2에 도시된 바와 같이, 가스 분배 어셈블리(120)는 복수의 개별적인 섹터들(예를 들어, 주입기 유닛들(122))로 제조된다. 단일 피스(piece) 바디 또는 다중-섹터 바디가, 설명되는 본 개시내용의 다양한 실시예들에 대해 사용될 수 있다.
[0034] 서셉터 어셈블리(140)는 가스 분배 어셈블리(120) 아래에 포지셔닝된다. 서셉터 어셈블리(140)는, 상단 표면(141) 및 상단 표면(141) 내의 적어도 하나의 오목부(recess)(142)를 포함한다. 서셉터 어셈블리(140)는 또한 바닥 표면(143) 및 에지(144)를 갖는다. 오목부(142)는, 프로세싱되고 있는 기판들(60)의 형상 및 크기에 따라, 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시된 실시예에서, 오목부(142)는 웨이퍼의 바닥을 지지하기 위한 편평한 바닥을 갖지만; 오목부의 바닥은 달라질 수 있다. 몇몇 실시예들에서, 오목부는 오목부의 외측 주변 에지 둘레에 스텝 영역(step region)들을 가지며, 이러한 스텝 영역들은 웨이퍼의 외측 주변 에지를 지지하도록 크기가 정해진다(sized). 스텝들에 의해 지지되는, 웨이퍼의 외측 주변 에지의 정도(amount)는, 예를 들어, 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재, 및 웨이퍼의 두께에 따라, 달라질 수 있다.
[0035] 몇몇 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 어셈블리(140)의 상단 표면(141) 내의 오목부(142)는, 오목부(142) 내에 지지되는 기판(60)이 서셉터(140)의 상단 표면(141)과 실질적으로 동일 평면 상에 있는 상단 표면(61)을 갖도록, 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0036] 도 1의 서셉터 어셈블리(140)는, 서셉터 어셈블리(140)를 리프팅시킬 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 포스트(160)를 포함한다. 서셉터 어셈블리는, 지지 포스트(160)의 중심부 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 포스트(160)는, 서셉터 어셈블리(140)를 적절한 포지션으로 이동시켜서, 서셉터 어셈블리(140)와 가스 분배 어셈블리(120) 사이의 갭을 증가시키거나 또는 감소시키는 주된 수단일 수 있다. 서셉터 어셈블리(140)는 또한, 서셉터 어셈블리(140)와 가스 분배 어셈블리(120) 간에 미리 결정된 갭(170)을 생성하기 위해 서셉터 어셈블리(140)에 대한 마이크로-조정들을 행할 수 있는 미세 튜닝 액추에이터(fine tuning actuator)들(162)을 포함할 수 있다. 몇몇 실시예들에서, 갭(170) 거리는, 약 0.1 mm 내지 약 5.0 mm의 범위, 또는 약 0.1 mm 내지 약 3.0 mm의 범위, 또는 약 0.1 mm 내지 약 2.0 mm의 범위, 또는 약 0.2 mm 내지 약 1.8 mm의 범위, 또는 약 0.3 mm 내지 약 1.7 mm의 범위, 또는 약 0.4 mm 내지 약 1.6 mm의 범위, 또는 약 0.5 mm 내지 약 1.5 mm의 범위, 또는 약 0.6 mm 내지 약 1.4 mm의 범위, 또는 약 0.7 mm 내지 약 1.3 mm의 범위, 또는 약 0.8 mm 내지 약 1.2 mm의 범위, 또는 약 0.9 mm 내지 약 1.1 mm의 범위, 또는 약 1 mm 이다.
[0037] 도면들에 도시된 프로세싱 챔버(100)는, 서셉터 어셈블리(140)가 복수의 기판들(60)을 홀딩(hold)할 수 있는 캐러셀(carousel)-타입 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 어셈블리(120)는 복수의 별개의 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은, 웨이퍼가 주입기 유닛 아래로 이동됨에 따라, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 주입기 유닛들(122)이, 서셉터 어셈블리(140) 위에 그리고 서셉터 어셈블리(140)의 대략적으로 대향하는 측들 상에 포지셔닝된 것으로 도시된다. 이러한 수의 주입기 유닛들(122)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 또는 더 적은 주입기 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 몇몇 실시예들에서, 서셉터 어셈블리(140)의 형상과 일치하는 형상을 형성하기에 충분한 수의 파이-형상 주입기 유닛들(122)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서, 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예를 들어, 로봇이 기판들(60)을 로딩/언로딩하기 위해 서셉터 어셈블리(140)와 가스 분배 어셈블리(120) 사이의 영역을 액세스하게 허용하도록, 하나의 세그먼트가 상승될 수 있다.
[0038] 다수의 가스 주입기들을 갖는 프로세싱 챔버들은, 웨이퍼들이 동일한 프로세스 플로우를 겪도록, 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예를 들어, 도 3에 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 주입기 어셈블리들 및 4개의 기판들(60)을 갖는다. 프로세싱의 초기에, 기판들(60)은 주입기 어셈블리들(120) 사이에 포지셔닝될 수 있다. 서셉터 어셈블리(140)를 45°만큼 회전시키는 것(17)은, 가스 분배 어셈블리들(120) 아래의 점으로 된 원에 의해 예시된 바와 같이, 가스 분배 어셈블리들(120) 사이에 있는 각각의 기판(60)이, 막 증착을 위해 가스 분배 어셈블리(120)로 이동되도록 초래할 것이다. 부가적인 45°회전은 기판들(60)을 주입기 어셈블리들(120)로부터 벗어나게 이동시킬 것이다. 공간적인 ALD 주입기들의 경우, 주입기 어셈블리에 대한 웨이퍼의 이동 동안에, 웨이퍼 상에 막이 증착된다. 몇몇 실시예들에서, 서셉터 어셈블리(140)는, 기판들(60)이 가스 분배 어셈블리들(120) 아래에서 정지하는 것을 막는 증분(increment)들로 회전된다. 기판들(60) 및 가스 분배 어셈블리들(120)의 수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 어셈블리들의 수와 동일하다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는, 가스 분배 어셈블리들의 수의 프랙션(fraction)이거나 또는 가스 분배 어셈블리들의 수의 정수 배수이다. 예를 들어, 4개의 가스 분배 어셈블리들이 존재하는 경우에, 프로세싱되고 있는 4x개의 웨이퍼들이 존재하고, 여기에서, x는 1과 동등한 또는 그 초과의 정수 값이다.
[0039] 도 3에 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현하는 것일 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 어셈블리들(120)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 둘레에 균등하게 이격된 4개의 가스 분배 어셈블리들(주입기 어셈블리들(120)이라고도 또한 지칭됨)이 존재한다. 도시된 프로세싱 챔버(100)는 팔각형이지만, 당업자라면, 이는 하나의 가능한 형상이며 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 이해할 것이다. 도시된 가스 분배 어셈블리들(120)은 사다리꼴이지만, 도 2에 도시된 것과 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있거나 또는 단일의 원형 컴포넌트일 수 있다.
[0040] 도 3에 도시된 실시예는 로드 록 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예를 들어, 기판들(기판들(60)이라고도 또한 지칭됨)이 프로세싱 챔버(100) 내로 로딩되도록/프로세싱 챔버(100)로부터 언로딩되도록 허용하기 위해, 프로세싱 챔버(100)의 측면에 연결된다. 웨이퍼 로봇이, 기판을 서셉터 상으로 이동시키기 위해 챔버(180)에 포지셔닝될 수 있다.
[0041] 캐러셀(예를 들어, 서셉터 어셈블리(140))의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 연속적으로(constantly) 회전하고, 이로써, 웨이퍼들은 주입기들 각각에 차례로 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 주입기 영역으로 이동되고 정지될 수 있으며, 그런 다음에 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기-간(inter-injector) 영역으로부터 주입기를 가로질러 이동하고(또는 주입기에 인접하여 정지하고), 캐러셀이 다시 중단(pause)할 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이의 중단은 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0042] 도 4는, 주입기 유닛(122)이라고도 지칭될 수 있는 가스 분배 어셈블리(220)의 섹터 또는 일부를 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 결합하여 사용될 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛들(122) 중 4개가 결합하여, 단일의 가스 분배 어셈블리(220)를 형성한다. (4개의 주입기 유닛들을 분리하는 라인들은 명확성을 위해 도시되지 않았다.) 도 4의 주입기 유닛(122)이, 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여, 제 1 반응성 가스 포트(125) 및 제 2 반응성 가스 포트(135) 모두를 갖기는 하지만, 주입기 유닛(122)이 이들 컴포넌트들 모두를 필요로 하는 것은 아니다.
[0043] 도 4 및 도 5를 모두 참조하면, 하나 또는 그 초과의 실시예에 따른 가스 분배 어셈블리(220)는 복수의 섹터들(또는 주입기 유닛들(122))을 포함할 수 있고, 각각의 섹터는 동일하거나 상이하다. 가스 분배 어셈블리(220)는 프로세싱 챔버 내에 포지셔닝되며, 그리고 가스 분배 어셈블리(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145, 155)은, 가스 분배 어셈블리(220)의 내측 주변 에지(123) 근처의 구역으로부터 외측 주변 에지(124) 근처의 구역쪽으로 연장한다. 도시된 복수의 가스 포트들은 제 1 반응성 가스 포트(125), 제 2 반응성 가스 포트(135), 제 1 반응성 가스 포트들 및 제 2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
[0044] 도 4 또는 도 5에 도시된 실시예들과 관련하여, 포트들이 적어도 내측 주변 영역 주위로부터 적어도 외측 주변 영역 주위로 연장하는 것으로 설명하고 있기는 하지만, 포트들은 내측 영역으로부터 외측 영역으로 단지 방사상으로 연장하는 것 이상으로 연장할 수 있다. 포트들은, 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌀 때, 접선적으로(tangentially) 연장할 수 있다. 도 4 및 도 5에 도시된 실시예에서, 웨지 형상의 반응성 가스 포트들(125, 135)은, 인접하는 내측 주변 영역 및 외측 주변 영역을 포함하는 모든 에지들 상에서, 진공 포트(145)에 의해 둘러싸인다.
[0045] 도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은, 퍼지 가스 포트(155), 진공 포트(145), 제 1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제 2 반응성 가스 포트(135) 및 진공 포트(145)에 노출되거나 또는 이들을 "만날(see)" 것이다. 따라서, 도 4에 도시된 경로(127)의 끝에서, 기판은 제 1 반응성 가스 포트(125) 및 제 2 반응성 가스 포트(135)로부터의 가스 스트림들에 노출되었으며, 그에 의해, 층을 형성한다. 도시된 주입기 유닛(122)은 4분의 1 원(quarter circle)을 구성하지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 어셈블리(220)는, 직결로 연결된, 도 4의 주입기 유닛들(122) 중 4개의 주입기 유닛들의 결합인 것으로 고려될 수 있다.
[0046] 도 4의 주입기 유닛(122)은, 반응성 가스들을 분리하는 가스 커튼(gas curtain)(150)을 도시한다. "가스 커튼"이라는 용어는, 혼합(mixing)으로부터 반응성 가스들을 분리하는, 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에 도시된 가스 커튼(150)은, 제 1 반응성 가스 포트(125) 바로 옆의 진공 포트(145)의 일부, 중간의 퍼지 가스 포트(155), 및 제 2 반응성 가스 포트(135) 바로 옆의 진공 포트(145)의 일부를 포함한다. 가스 유동 및 진공의 이러한 조합은, 제 1 반응성 가스 및 제 2 반응성 가스의 기체상(gas phase) 반응들을 방지하거나 최소화하는 데에 사용될 수 있다.
[0047] 도 5를 참조하면, 가스 분배 어셈블리(220)로부터의 가스 유동들 및 진공의 조합은, 복수의 프로세싱 영역들(250)에 대한 분리(separation)를 형성한다. 프로세싱 영역들은 개별적인 반응성 가스 포트들(125, 135) 둘레에 개략적으로 정의되며, 250 사이에는 가스 커튼(150)이 있다. 도 5에 도시된 실시예는 8개의 별개의 프로세싱 영역들(250)을 구성하며, 이들 사이에는 8개의 별개의 가스 커튼들(150)이 있다. 프로세싱 챔버는 적어도 2개의 프로세싱 영역을 가질 수 있다. 몇몇 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개 또는 12개의 프로세싱 영역들이 있다.
[0048] 프로세싱 동안, 기판은 임의의 주어진 시간에 하나 초과의 프로세싱 영역(250)에 노출될 수 있다. 하지만, 상이한 프로세싱 영역들에 노출되는 부분들은 이 둘을 분리하는 가스 커튼을 가질 것이다. 예를 들어, 기판의 리딩 에지(leading edge)가, 제 2 반응성 가스 포트(135)를 포함하는 프로세싱 영역에 진입하는 경우, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이며, 기판의 트레일링 에지(trailing edge)는 제 1 반응성 가스 포트(125)를 포함하는 프로세싱 영역 내에 있을 것이다.
[0049] 예를 들어, 로드 록 챔버일 수 있는 팩토리 인터페이스(factory interface)(280)가 프로세싱 챔버(100)에 연결된 것으로 도시되어 있다. 기판(60)은, 레퍼런스 프레임(frame of reference)을 제공하기 위해 가스 분배 어셈블리(220) 위에 겹쳐져 있는 것으로 도시된다. 기판(60)은 종종, 가스 분배 어셈블리(120)(가스 분배 플레이트라고도 또한 지칭됨)의 전방 표면(121) 근방에 홀딩될 서셉터 어셈블리 상에 놓여질 수 있다. 기판(60)은, 팩토리 인터페이스(280)를 통해 프로세싱 챔버(100) 내로, 기판 지지부 또는 서셉터 어셈블리(도 3 참조) 상에 로딩된다. 기판(60)은 프로세싱 영역 내에 포지셔닝되는 것으로 도시될 수 있는데, 이는 기판이 제 1 반응성 가스 포트(125) 근처에 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문이다. 경로(127)를 따라 기판(60)을 회전시키게 되면, 기판을 프로세싱 챔버(100) 둘레로 반시계방향으로 이동시킬 것이다. 따라서, 기판(60)은 제 1 프로세싱 영역(250a) 내지 8번째 프로세싱 영역(250h)(이들 사이의 모든 프로세싱 영역들을 포함함)에 노출될 것이다. 프로세싱 챔버 둘레에서의 각각의 사이클에 대해, 도시된 가스 분배 어셈블리를 사용하게 되면, 기판(60)은 제 1 반응성 가스 및 제 2 반응성 가스의 4개의 ALD 사이클들에 노출될 것이다.
[0050] 도 5의 것과 같은 배치 프로세서에서의 통상의 ALD 시퀀스는, 공간적으로 분리된 주입기들(이들 사이에 펌프/퍼지 섹션을 가짐)로부터의 화학 물질(chemical) A 및 B 유동을 각각 유지한다. 통상의 ALD 시퀀스는 시작 및 끝 패턴을 가지며, 이는 증착되는 막의 불균일성을 야기할 것이다. 본 발명자들은 놀랍게도, 공간적인 ALD 배치 프로세싱 챔버에서 수행되는 시간 기반의 ALD 프로세스가 더 높은 균일성을 갖는 막을 제공한다는 것을 발견하였다. "가스(A)", "반응 가스 없음(no reactive gas)", "가스(B)", "반응 가스 없음"에 대한 노출의 기본 프로세스는, 막에서 시작 및 끝 패턴 형성을 갖는 것을 피하기 위해, 화학 물질 A 및 B 각각에 의해 표면을 포화시키도록 주입기들 아래에서 기판을 스윕(sweep)하기 위한 것일 것이다. 본 발명자들은 놀랍게도, 시간 기반의 접근법은, 목표 막 두께가 얇은 경우(예를 들어, 20번 미만의 ALD 사이클들의 경우)(여기에서, 시작 및 끝 패턴은 내부(within)의 웨이퍼 균일성 성능에 상당한 영향을 미침)에 특히 유익하다는 것을 발견하였다. 본 발명자들은 또한, 본원에서 설명되는 바와 같은, SiCN, SiCO 및 SiCON 막들을 생성하기 위한 반응 프로세스는, 시간-도메인 프로세스에 의해 달성될 수 없다는 것을 발견하였다. 프로세싱 챔버를 퍼징하기 위해 사용되는 시간량은 기판 표면으로부터의 재료의 스트리핑(stripping)을 초래한다. 이러한 스트리핑은 설명되는 공간적인 ALD 프로세스에 대해서는 일어나지 않는데, 이는 가스 커튼 아래에서의 시간이 짧기 때문이다.
[0051] 따라서, 본 개시내용의 실시예들은, 복수의 프로세싱 영역들(250a 내지 250h)을 갖는 프로세싱 챔버(100)를 포함하는 프로세싱 방법들에 관한 것이며, 각각의 프로세싱 영역은 가스 커튼(150)에 의해, 인접하는 영역으로부터 분리된다. 예를 들어, 프로세싱 챔버는 도 5에 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세싱 영역들의 수는, 가스 유동들의 배열에 따라 임의의 적합한 수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 프로세싱 영역들(250a 내지 250h)을 갖는다. 가스 커튼들의 수는 일반적으로, 프로세싱 영역들의 수와 같거나 또는 더 많다. 예를 들어, 영역(250a)이 어떠한 반응 가스 유동도 갖지 않고, 단지 로딩 구역으로서 기능하는 경우, 프로세싱 챔버는 7개의 프로세싱 영역들 및 8개의 가스 커튼들을 가질 것이다.
[0052] 복수의 기판들(60)은, 기판 지지부, 예를 들어, 도 1 및 도 2에 도시된 서셉터 어셈블리(140) 상에 포지셔닝된다. 복수의 기판들(60)은 프로세싱을 위해 프로세싱 영역들 둘레로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여, 프로세싱 전체에 걸쳐서 인게이징된다(engaged)(가스가 유동하고 진공이 온(on) 된다).
[0053] 프로세싱 영역들(250) 중 하나 또는 그 초과의 프로세싱 영역들 내로 제 1 반응성 가스(A)가 유동되는 동안, 제 1 반응성 가스(A)가 유동하고 있지 않은 임의의 프로세싱 영역(250) 내로 비활성 가스가 유동된다. 예를 들어, 제 1 반응성 가스가 프로세싱 영역들(250b 내지 250h) 내로 유동하고 있는 경우, 비활성 가스는 프로세싱 영역(250a) 내로 유동할 것이다. 비활성 가스는, 제 1 반응성 가스 포트(125) 또는 제 2 반응성 가스 포트(135)를 통해 유동될 수 있다.
[0054] 프로세싱 영역들 내에서의 비활성 가스 유동은 일정하거나 또는 변화될 수 있다. 몇몇 실시예들에서, 반응성 가스는 비활성 가스와 동시 유동된다(co-flowed). 비활성 가스는 캐리어 및 희석제(diluent)의 역할을 할 것이다. 캐리어 가스에 대하여 반응성 가스의 양이 작기 때문에, 동시 유동(co-flowing)은, 인접하는 영역들 간의 압력 차이들을 감소시킴으로써, 프로세싱 영역들 간의 가스 압력들을 더 용이하게 밸런싱(balancing)할 수 있다.
[0055] 본 개시내용의 몇몇 실시예들은 주입기 모듈들에 관한 것이다. 주입기 모듈들이, 공간적인 ALD 프로세싱 챔버와 관련하여 설명되지만, 당업자라면, 이러한 모듈들은 공간적인 ALD 챔버들로 제한되지 않으며, 그리고 증가하는 가스 유동 균일성이 유용한 임의의 주입기 상황에 대해 적용가능할 수 있음을 이해할 것이다.
[0056] 본 개시내용의 몇몇 실시예들은 유익하게는, 모듈식 플라즈마 소스 어셈블리들, 즉, 프로세싱 시스템 내로/프로세싱 시스템으로부터 용이하게 삽입/제거될 수 있는 소스를 제공한다. 그러한 소스의 하드웨어의 전부 또는 대부분은, 원자 층 증착 프로세스와 동일한 압력 레벨, 전형적으로 1-50 Torr에서 동작할 수 있다. 본 개시내용의 몇몇 실시예들은, 웨이퍼 표면에 걸쳐서 개선된 이온 플럭스를 갖는 플라즈마 소스들을 제공한다. 몇몇 실시예들에서, 플라즈마 소스들은, 웨이퍼 표면에 대해 실질적으로 수직으로 배열되는 3개의 플레이트들 사이에 용량성 소스(capacitive source)를 포함한다. 몇몇 실시예들에서, 외측 플레이트들은 접지되고, 내측 플레이트에는 전력이 공급된다. 가스 종이 플레이트들 사이에서 웨이퍼 표면 쪽으로 유동하면서, 플라즈마가 플레이트들 사이에서 생성될 수 있다. 플라즈마는 실질적으로 소스로 국한되며, 그리고 전력 공급형(powered) 플레이트로부터 스퍼터링되는 재료가 웨이퍼 표면에 도달하는 것을 최소화한다. 본 개시내용의 몇몇 실시예들은 유익하게는, 핫 전극으로부터 스퍼터링되는 재료에 의한 기판의 오염을 최소화하거나 없애는 플라즈마 소스를 제공한다. 몇몇 실시예들은 또한, 유익하게는, 기판 표면을 실질적으로 변화시키지 않는 소프트 플라즈마(soft plasma)를 제공한다. 하나 또는 그 초과의 실시예들은, 전기적인 리턴 경로가 기판을 통과하는 것을 허용하지 않으면서 플라즈마를 발생시킬 수 있는 장치를 제공한다.
[0057] RF 핫 전극(전력 공급형(powered) 전극)과 접지 플레이트(리턴 전극이라고 지칭됨) 간의 갭은 달라질 수 있다. 몇몇 실시예들에서, 갭은 약 4 mm 내지 약 15 mm의 범위이며, 조정가능할 수 있다. RF 핫 전극의 폭은 달라질 수 있다. 예를 들어, 플레이트들은 이온들을 가속시키도록 테이퍼링될(tapered) 수 있다. 사용시, RF 핫 전극과 리턴 전극 간의 갭에 유동하는 가스 종은 이온화된다. 이온화된 종은 이후, 기판 표면과 접촉할 수 있다. 다양한 실시예들에 의해 형성되는 플라즈마는 기판 표면을 실질적으로 변화시키지 않는 소프트 플라즈마이다.
[0058] 도 6 내지 도 15를 참조하면, 본 개시내용의 하나 또는 그 초과의 실시예들은 모듈식 용량 결합 플라즈마 소스들(300)에 관한 것이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "모듈식(modular)"이라는 용어는, 플라즈마 소스(300)가 프로세싱 챔버에 부착되거나 프로세싱 챔버로부터 제거될 수 있음을 의미한다. 모듈식 소스는 일반적으로, 단일의 사람(single person)에 의해 이동, 제거, 또는 부착될 수 있다.
[0059] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 플라즈마 소스 어셈블리(300)의 단면도를 도시한다. 도 6에 도시된 플라즈마 소스 어셈블리(300)는, 가스 유입구(315) 및 전방 면(312)을 갖는 하우징(310)을 포함한다. 가스 유입구(315)는, 가스의 유동이, 하우징(310)을 통해 그리고 전방 면(312)에서의 개구(313) 바깥으로 유동 경로(318)를 따라 이동하도록 허용한다. 도시된 실시예는, 설명적인 목적들을 위해, 중심을 벗어난(off-center) 것으로 예시된 가스 유입구(315)를 갖지만, 당업자라면, 가스 유입구(315)가 하우징(310) 내에 센터링될 수 있음을 이해할 것이다. 부가적으로, 몇몇 실시예들은 유동 경로(318)를 통한 가스 유동의 균일성을 증가시키기 위한 플리넘(plenum)(316)을 포함한다.
[0060] 플라즈마 소스 어셈블리(300)는 RF 핫 전극(320) 및 적어도 하나의 리턴 전극(330)을 포함한다. 리턴 전극(330)은, RF 핫 전극(320)과 완성 회로(complete circuit)를 형성하는 임의의 전도성 재료이다. 당업자라면, 리턴 전극(330)이 전자들이 유동하는 경로를 제공할 수 있음을 이해할 것이다. 이러한 방식으로 사용되는 "리턴(return)"이라는 용어는, 전극이 플라즈마 컴포넌트들의 전기적 경로의 일부이며 그리고 전류 또는 전자들의 유동을 위한 방향을 암시하지 않음을 의미한다.
[0061] 도 6 내지 도 8을 참조하면, RF 핫 전극(320)은 제 1 표면(322), 및 제 1 표면(322) 반대쪽(opposite)의 제 2 표면(324)을 갖는다. 도 6은 플라즈마 소스 어셈블리(300)의 단면을 도시하는 한편, 도 7 및 도 8은 전극들의 부분적인 사시도들을 도시한다. 이와 관련하여 사용되는 바와 같이, 제 1 표면(322) 및 제 2 표면(324)은 RF 핫 전극(320)의 두께(T)의 반대 측들 상에 있다. RF 핫 전극(320)은 일반적으로, 높이(H), 두께(T) 및 길이(L)를 갖는 직각 프리즘(rectangular prism)으로서 형상화된다. RF 핫 전극(320)은, 유동 경로(318)에 대해 실질적으로 평행하게 배향되는 제 1 표면(322)을 갖는다. 이와 관련하여 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는, 표면이, (0o로서 정의된) 평행선(parallel)의 ±10o 내에 있음을 의미한다.
[0062] 리턴 전극(330)은 RF 핫 전극(320)과 유사하게 형상화된다. 리턴 전극은, 유동 경로(318)에 대해 실질적으로 평행하게 배향되는 제 1 표면(332)을 갖는다. 리턴 전극(330)의 제 1 표면(332)은 RF 핫 전극(320)의 제 1 표면(322)과 이격되어, 갭(340)을 형성한다.
[0063] 리턴 전극(330, 330b)은, 비제한적으로, 알루미늄, 스테인리스 스틸 및 구리를 포함하는 임의의 적합한 재료일 수 있다. 리턴 전극(330, 330b)은 임의의 적합한 전기적 특성들을 가질 수 있다. 몇몇 실시예들에서, 리턴 전극(330, 330b)은 접지 전극(ground electrode)이다. 접지 전극은 전기적 접지(electrical ground)와 전기적으로 접촉하는 임의의 전도성 재료이다.
[0064] 몇몇 실시예들에서, 리턴 전극(330, 330b)은, RF 핫 전극(320)과 상이한 전력 공급형(powered) 전극이다. 이러한 방식으로 사용되는 바와 같이, "RF 핫 전극과 상이한"은, 전기적 특성들 또는 전위가 RF 핫 전극과 상이함을 의미한다. 예를 들어, 발생되는 플라즈마의 구동 전력은, 웨이퍼와의 상호작용을 최소화하기 위해, 위상 시프터(phase shifter)를 사용하여 단일 소스로부터의 푸쉬-풀(push-pull) 방식으로 튜닝될(tuned) 수 있다. 이러한 종류의 실시예들에서, RF 핫 전극(320)은, 예를 들어, 리턴 전극(330)과 180o 이상(out of phase)일 수 있다.
[0065] 도 7에 도시된 바와 같이, 플라즈마 소스 어셈블리의 몇몇 실시예들은 제 2 리턴 전극(330b)을 더 포함한다. 제 2 리턴 전극(330b)은, 유동 경로(318)에 대해 실질적으로 평행하게 배향되는 제 1 표면(332b)을 갖는다. 제 2 리턴 전극(330b)의 제 1 표면(332b)은 RF 핫 전극(320)의 제 2 표면(324)과 이격되어, 갭(340b)을 형성한다. 갭(340) 및 갭(340b)은 동일한 또는 상이한 치수들을 가질 수 있다. 몇몇 실시예들에서, RF 핫 전극(320)과 리턴 전극(330, 330b) 사이의 갭(340, 340b)은, 약 4 mm 내지 약 15 mm의 범위, 또는 약 5 mm 내지 약 14 mm의 범위, 또는 약 7 mm 내지 약 13 mm의 범위, 또는 약 9 mm 내지 약 12 mm의 범위, 또는 약 11 mm 이다.
[0066] 도 9를 참조하면, 몇몇 실시예들에서, RF 핫 전극(320)과 리턴 전극(330, 330b) 간의 갭(340, 340b)은 전극들의 높이(H)를 따라 변화한다. 도시된 실시예에서, 두께(T)는, 전방 면(312) 근처에서 보다, 가스 유입구(315) 근처에서 더 크다. 다르게 설명하면, 갭(340, 340b)의 크기는, 전방 면(312) 근처에서 보다, 가스 유입구(315) 근처에서 더 작다. 임의의 특정 동작 이론에 의해 구속되지 않으면서, RF 핫 전극(320)의 테이퍼링된(tapered) 두께는 이온들로 하여금 웨이퍼 쪽으로 가속되게 할 수 있는 것으로 여겨진다.
[0067] RF 핫 전극(320)의 두께(T)는, 예를 들어, 전극 재료에 의존하여, 임의의 적합한 두께일 수 있다. 몇몇 실시예들에서, RF 핫 전극은, 약 3 mm 내지 약 11 mm 범위, 또는 약 4 mm 내지 약 10 mm의 범위, 또는 약 6 mm 내지 약 9 mm의 범위, 또는 약 8 mm의 두께를 갖는다.
[0068] RF 핫 전극(320)의 높이(H)는 달라질 수 있다. 몇몇 실시예들에서, RF 핫 전극(320)의 높이(H)는, 약 8 mm 내지 약 40 mm의 범위, 또는 약 9 mm 내지 약 35 mm의 범위, 또는 약 10 mm 내지 약 30 mm의 범위, 또는 약 11 mm 내지 약 25 mm의 범위, 또는 약 12 mm 내지 약 20 mm의 범위, 또는 약 13 mm 내지 약 15 mm의 범위, 또는 약 14 mm 이다.
[0069] 몇몇 실시예들에서, 플라즈마 소스 어셈블리(300)의 하우징(310)은 웨지-형상이다. 도 10a 및 도 10b는 웨지-형상 하우징들(310)을 포함하는 2개의 실시예들을 도시한다. 도 10a에서, RF 핫 전극(320) 및 리턴 전극(330)은 하우징(310)의 장축(major axis)(308)을 따라 연장한다. 이러한 방식으로 사용되는 바와 같이, 장축(308)은 하우징(310)의 외측 주변 에지(124)와 내측 주변 에지(123)의 중간 사이의 축을 지칭한다. 도 10b에서, RF 핫 전극들(320) 및 리턴 전극들(330)은, 하우징(310)의 장축(308)에 대해 수직으로 연장한다.
[0070] RF 핫 전극들(320)과 리턴 전극들(330) 간의 간격은, 플라즈마 소스 어셈블리 전체에 걸쳐서 실질적으로 동일할 수 있거나, 또는 달라질 수 있다. 예를 들어, 몇몇 실시예들에서, RF 핫 전극 및 리턴 전극은, 내측 주변 에지(123) 근방에서 보다, 웨지-형상 하우징(310)의 외측 주변 에지(124)에서 더 떨어져 이격된다.
[0071] 도 11은, RF 핫 전극(320)이 하우징(310) 내에서 서펜타인 형상(serpentine shape)을 갖는, 본 개시내용의 다른 실시예를 도시한다. 이와 관련하여 사용되는 바와 같이, "서펜타인 형상"이라는 용어는, 전극이 구불구불한(winding) 형상을 가짐을 의미한다. 형상은, 하우징(310)의 형상을 따를 수 있다. 예를 들어, 도 11의 하우징(310)은 웨지-형상이며, 그리고 RF 핫 전극(320)은, 내측 주변 에지(123) 근방에서 보다 외측 주변 에지(124) 근방에서 더 큰 서펜타인 형상을 갖는다. 서펜타인 형상의 길이를 따라서 실질적으로 동일한 갭(340)을 유지하기 위해, 리턴 전극(330)은 RF 핫 전극(320)에 대해 상보적 형상(complementary shape)을 갖는다. 이와 관련하여 사용되는 바와 같이, "실질적으로 동일한 갭"이라는 용어는, 전체 길이를 따르는 갭이 평균 갭의 10% 초과 만큼 달라지지 않음을 의미한다. 단부 유전체(end dielectric)(350)가 RF 핫 전극(320)과 리턴 전극(330) 사이에 포지셔닝될 수 있다. 단부 유전체(350)는, RF 핫 전극(320)과 리턴 전극(330) 간의 전기적 연결을 최소화할 수 있는 임의의 적합한 재료일 수 있다.
[0072] 도 12는, RF 핫 전극(320)이, 하우징(310)의 장축(308)에 대해 수직으로 연장하는 복수의 핑거(finger)들(328)을 갖는, 본 개시내용의 다른 실시예를 도시한다. 도시된 실시예가 4개의 핑거들(328)을 갖기는 하지만, 당업자라면, RF 핫 전극(320)이, 예를 들어, 하우징(310)의 크기에 의존하여, 임의의 적합한 수의 핑거들(328)을 가질 수 있다는 것을 이해할 것이다. 리턴 전극(330)은, 리턴 전극(330) 상에 복수의 핑거들(338)이 있도록, RF 핫 전극(320)에 대해 상보적인 형상을 갖는다. 몇몇 실시예들에서, 리턴 전극(330)은, RF 핫 전극(320)과 리턴 전극(330) 간에 실질적으로 동일한 갭을 유지하도록 형상화된다. 도 12에 도시된 웨지-형상 하우징(310)은, 중간 핑거들 근방에서의 갭 보다 더 큰, 가장 안쪽 핑거(328) 및 가장 바깥쪽 핑거(328) 근방에서의 갭을 갖는다. 이러한 차이(variation)는 하우징(310)의 형상으로 인한 것일 수 있거나 또는 이들 영역들에서의 플라즈마 밀도를 제어하기 위한 것일 수 있다.
[0073] 몇몇 실시예들은, RF 핫 전극(320)의 하부 에지(329) 근처에 스페이서(spacer)(360)를 포함한다. 도 13을 참조하면, RF 핫 전극(320)은 2개의 리턴 전극들(330) 사이에 있는 것으로 예시되어 있다. 스페이서(360)는, 기판(60) 및 서셉터 어셈블리(140)로부터 RF 핫 전극(320)의 하부 에지(329)를 분리시킨다. 몇몇 실시예들에서, 스페이서(360)의 존재는, RF 핫 전극(320)의 스퍼터링이 기판(60)을 오염시키는 것을 막거나 최소화하는 것을 돕는다. 스페이서(360)는, 비제한적으로, 유전체들(예를 들어, 세라믹 재료들)을 포함하는 임의의 적합한 재료로 제조될 수 있다. 스페이서(360)의 크기는, 기판(60)의 부근(vicinity)으로부터 RF 핫 전극(320)의 하부 에지(329)를 이동시키도록 조정될 수 있다. 몇몇 실시예들에서, 스페이서(360)는, 약 10 mm 내지 약 25 mm 범위, 또는 약 13 mm 내지 약 20 mm의 범위, 또는 약 17 mm의 길이(Ls)를 갖는다.
[0074] 도 14는 본 개시내용의 다른 실시예를 도시한다. RF 핫 전극들(320)은 하부 에지(329) 근처에 스페이서(360)를 갖는다. (예를 들어, 접지 또는 전력 공급형의) 리턴 전극(331)이 스페이서(360) 근처에 있으며, 이는 기판(60) 및 서셉터 어셈블리로부터 스페이서를 분리한다. 임의의 특정 동작 이론에 의해 구속되지 않으면서, 스페이서(360)와 리턴 전극(331)의 결합은 기판과 RF 핫 전극(320)의 직접적인 상호작용을 최소화하는 것으로 여겨진다. 도 14에는 2개의 RF 핫 전극들(320) 및 2개의 리턴 전극들(330)이 예시되어 있지만, 당업자라면, 임의의 적합한 수의 RF 핫 전극들(320) 및 리턴 전극들(330)이 있을 수 있음을 이해할 것이다.
[0075] 도 1, 도 2, 도 8 및 도 15를 참조하면, 본 개시내용의 몇몇 실시예들은, 서셉터 어셈블리(140) 및 가스 분배 어셈블리(120)를 포함하는 프로세싱 챔버들(100)에 관한 것이다. 도 15는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버(100)의 단면도를 도시한다. 서셉터 어셈블리(140)는, 복수의 기판들(60)을 지지하고 이러한 복수의 기판들(60)을 중심축(161)을 중심으로 회전시키기 위한 상단 표면(141)을 갖는다.
[0076] 가스 분배 어셈블리(120)는, 서셉터 어셈블리(140)의 상단 표면(141) 쪽으로 가스들의 유동을 지향시키기 위해 서셉터 어셈블리(140)의 상단 표면(141)을 향하는 전방 표면(121)을 갖는다. 몇몇 실시예들의 가스 분배 어셈블리(120)는, 웨지-형상 하우징(310)을 갖는 플라즈마 소스 어셈블리(300)를 포함한다. 웨지-형상 하우징은 하우징(310)의 장축(308)을 정의하는 외측 주변 에지(124) 및 내측 주변 에지(123)를 갖는다. 하우징(310)은 제 1 측(371), 제 2 측(372), 가스 유입구(315) 및 전방 면(312)을 갖는다. 유동 경로는, 가스 유입구(315)로부터 하우징(310)을 통해 유동하여 전방 면(312)으로부터 빠져나가는 가스가 따르는 경로로서 정의된다.
[0077] 플라즈마 소스 어셈블리(300)는, 유동 경로에 대해 실질적으로 평행하게 배향되는 제 1 표면(322)을 갖는 적어도 하나의 RF 핫 전극(320)을 갖는다. 도시된 실시예에서, 3개의 RF 핫 전극들(320)이 있다. 적어도 하나의 리턴 전극(330)이 하우징(310) 내에 있으며, 그리고 유동 경로에 대해 평행하게 배향되며 그리고 RF 핫 전극(320)의 제 1 표면(322)으로부터 이격되어 갭(340)을 형성하는 제 1 표면(332)을 갖는다. 플라즈마 소스 어셈블리(300)의 웨지-형상 하우징(310)의 전방 면(312)은, 서셉터 어셈블리(140)의 상단 표면(141)으로부터, 약 1 mm 내지 약 5 mm의 범위, 또는 약 1.5 mm 내지 약 4 mm 범위, 또는 약 2 mm의 거리에 포지셔닝된다. 도 15에 도시된 실시예는 단지, 플라즈마 소스 어셈블리를 갖는 프로세싱 챔버의 하나의 가능한 구성의 예시일 뿐이며, 본 개시내용의 범위를 제한하는 것으로서 취해지지 않아야 한다.
[0078] 도 6을 다시 참조하면, 몇몇 실시예들은 동축 RF 피드 라인(coaxial RF feed line)(380)을 포함하고, 동축 RF 피드 라인(380)은 하우징(310)을 통과하며, 갭(340)에서 플라즈마를 발생시키도록 RF 핫 전극(320)에 대한 전력을 제공한다. 동축 RF 피드 라인(380)은, 절연체(insulator)(386)에 의해 분리되는, 외측 컨덕터(382) 및 내측 컨덕터(384)를 포함한다. 내측 컨덕터(384)는 RF 핫 전극(320)과 전기 소통(electrical communication)하며, 그리고 외측 컨덕터(382)는 전기 접지, 또는 RF 핫 전극과 상이한 페이즈(phase)의 전력 소스와 전기 소통한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전기 소통"이라는 용어는, 컴포넌트들이, 전기 저항이 거의 없도록 중간 컴포넌트를 통해 연결되거나 또는 직접적으로 연결됨을 의미한다.
[0079] 동축 RF 피드 라인(380)은, 외측 컨덕터(382)가 리턴 전극(330) 상에서 종결(terminate)되도록 구성될 수 있다. 내측 컨덕터(384)는 RF 핫 전극(320) 상에서 종결될 수 있다. 몇몇 실시예들에서, 가스 유입구(315)는 동축 피드의 바깥쪽 주변부 둘레로 하우징에 피딩된다. RF 피드는 동축 전송 라인의 형태일 수 있다. 외측 컨덕터는 리턴 전극에/리턴 전극에서 연결/종결될 수 있으며, 그리고 내측 컨덕터는 RF 핫 전극에 연결된다. 리턴 전극(330)은, 비제한적으로, 금속 개스킷(metal gasket)을 포함하는 임의의 적합한 방법에 의해 금속 하우징에 연결될 수 있다. 이는 리턴 전류(return current)들의 대칭적인 기하형상을 보장하는 것을 돕는다. 모든 리턴 전류들은 피드의 외측 컨덕터 위로 유동하여, RF 노이즈를 최소화한다. 몇몇 실시예들에서, RF 피드는, RF 핫 전극에 대한 대칭적인 RF 피드 전류, 및 대칭적인 리턴 전류들을 제공하도록 설계된다. 모든 리턴 전류들은 외측 컨덕터 위로 유동하여, RF 노이즈를 최소화하고, 동작에 대한 소스 설치의 영향을 최소화한다.
[0080] 본 개시내용의 부가적인 실시예들은 기판을 프로세싱하는 방법들에 관한 것이다. 일반적인 방법이 도 15의 실시예와 관련하여 설명되지만, 플라즈마 소스 어셈블리는 설명되는 임의의 실시예 또는 실시예들의 결합일 수 있다는 것이 이해될 것이다. 기판(60)이, 가스 분배 어셈블리(120) 근처의 서셉터 어셈블리(140) 상에 포지셔닝된다. 가스 분배 어셈블리(120)는, 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스 어셈블리를 포함한다. 가스가, 웨지-형상 하우징(310)의 가스 유입구(315)를 통해, RF 핫 전극(320)과 리턴 전극(330) 사이의 갭(340) 내로 유동된다. RF 핫 전극(320)이 에너자이징되어, 갭(340)에서 플라즈마를 형성한다. 플라즈마가 하우징(310)의 전방 면(312) 바깥으로 유동하여, 기판(60)을 플라즈마에 노출시킨다.
[0081] 본 개시내용의 몇몇 실시예들은 프로세싱 챔버들에 관한 것이며, 프로세싱 챔버들은, 프로세싱 챔버 내에 아치형(arcuate) 경로를 따라 포지셔닝되는 적어도 하나의 용량 결합 웨지-형상 플라즈마 소스(300)를 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "아치형 경로"라는 용어는, 원형-형상 또는 타원-형상 경로의 적어도 일부를 이동하는 임의의 경로를 의미한다. 아치형 경로는, 적어도 약 5o, 10o, 15o, 20o의 경로의 일부를 따르는 기판의 이동을 포함할 수 있다.
[0082] 본 개시내용의 부가적인 실시예들은 복수의 기판들을 프로세싱하는 방법들에 관한 것이다. 복수의 기판들이 프로세싱 챔버 내의 기판 지지부 상으로 로딩된다. 기판 지지부는, 기판 상에 막을 증착하기 위하여, 가스 분배 어셈블리를 가로질러서 복수의 기판들 각각을 통과시키기 위해 회전된다. 기판 지지부는, 플라즈마 영역에 실질적으로 균일한 플라즈마를 발생시키는 용량 결합 웨지-형상 플라즈마 소스 근처의 그러한 플라즈마 영역으로 기판들을 이동시키도록 회전된다. 이는, 미리 결정된 두께의 막이 형성될 때 까지 반복된다.
[0083] 캐러셀의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 연속적으로 회전하고, 이로써, 웨이퍼들은 주입기들 각각에 차례로 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 주입기 영역으로 이동되고 정지될 수 있으며, 그런 다음에 주입기들 사이의 영역으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기-간 영역으로부터 주입기를 가로질러 이동하고(또는 주입기에 인접하여 정지하고), 캐러셀이 다시 중단할 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이의 중단은 각각의 층 증착 사이의 부가적인 프로세싱(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0084] 플라즈마의 주파수는, 사용되고 있는 특정의 반응성 종에 의존하여 튜닝될 수 있다. 적합한 주파수들은, 비제한적으로, 400 kHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 및 100 MHz를 포함한다.
[0085] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에, 그리고/또는 층을 형성한 후에, 프로세싱을 받는다. 이러한 프로세싱은, 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터 별개의 제 2 챔버로 이동된다. 기판은, 제 1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에, 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0086] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 록 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 전형적으로 진공 조건에서 유지되며, 그리고 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 록 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 양자 모두는, 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 하지만, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 비제한적으로, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함한다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 막을 증착하기 전의 산화 없이, 피해질 수 있다.
[0087] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 록" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0088] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 비제한적으로, 기판 지지부(예를 들어, 서셉터)의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 포지셔닝된다.
[0089] 기판은 또한, 프로세싱 동안에, 정지되어 있을 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안에 기판을 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0090] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 플라즈마 소스 어셈블리로서,
    웨지-형상(wedge-shaped)의 하우징 ― 상기 하우징은, 유동 경로를 정의하는, 가스 유입구 및 전방 면(front face)을 갖고, 상기 가스 유입구는, 가스의 유동이 상기 하우징을 통해 상기 전방 면 바깥으로 통과하도록 상기 유동 경로를 따라 이동하는 것을 허용함 ―;
    상기 하우징 내의 RF 핫 전극(hot electrode) ― 상기 RF 핫 전극은, 상기 유동 경로에 대해 실질적으로 평행하게 배향되는 제 1 표면을 가지고, 서펜타인 형상(serpentine shape)을 가짐 ―; 및
    상기 하우징 내의 리턴 전극(return electrode)을 포함하며,
    상기 리턴 전극은, 상기 유동 경로에 대해 실질적으로 평행하게 배향되고 그리고 갭을 형성하기 위해 상기 RF 핫 전극의 제 1 표면으로부터 이격되는 제 1 표면을 갖고,
    상기 리턴 전극은, 상기 서펜타인 형상의 길이를 따라 실질적으로 동일한 갭을 유지하기 위해 상보적 형상(complementary shape)을 갖는,
    플라즈마 소스 어셈블리.
  2. 제 1 항에 있어서,
    제 2 리턴 전극을 더 포함하고,
    상기 제 2 리턴 전극은, 상기 유동 경로에 대해 실질적으로 평행하게 배향되고 그리고 제 2 갭을 형성하기 위해 상기 RF 핫 전극의 제 2 표면으로부터 이격되는 제 1 표면을 가지며, 상기 RF 핫 전극의 제 2 표면은 상기 RF 핫 전극의 제 1 표면 반대쪽(opposite)에 있는,
    플라즈마 소스 어셈블리.
  3. 제 1 항에 있어서,
    상기 리턴 전극은 접지 전극인,
    플라즈마 소스 어셈블리.
  4. 제 1 항에 있어서,
    상기 리턴 전극은, 상기 RF 핫 전극과 상이한 전력공급형(powered) 전극인,
    플라즈마 소스 어셈블리.
  5. 제 1 항에 있어서,
    상기 RF 핫 전극과 상기 리턴 전극 간의 상기 갭은, 4 mm 내지 15 mm의 범위인,
    플라즈마 소스 어셈블리.
  6. 제 1 항에 있어서,
    상기 RF 핫 전극과 상기 리턴 전극 간의 상기 갭은, 상기 가스 유입구 근처의 더 좁은 갭으로부터 상기 전방 면 근처의 더 넓은 갭으로 변화하는,
    플라즈마 소스 어셈블리.
  7. 제 6 항에 있어서,
    상기 RF 핫 전극의 두께는, 상기 전방 면 근처에서 보다 상기 가스 유입구 근처에서 더 큰,
    플라즈마 소스 어셈블리.
  8. 제 1 항에 있어서,
    상기 RF 핫 전극은 3 mm 내지 11 mm 범위의 두께를 갖는,
    플라즈마 소스 어셈블리.
  9. 제 1 항에 있어서,
    상기 RF 핫 전극은 8 mm 내지 40 mm 범위의 높이를 갖는,
    플라즈마 소스 어셈블리.
  10. 삭제
  11. 제 1 항에 있어서,
    상기 RF 핫 전극 및 상기 리턴 전극은 상기 하우징의 장축(major axis)을 따라 연장하는,
    플라즈마 소스 어셈블리.
  12. 제 1 항에 있어서,
    상기 RF 핫 전극 및 상기 리턴 전극은 상기 하우징의 장축에 대해 수직으로 연장하는,
    플라즈마 소스 어셈블리.
  13. 삭제
  14. 제 1 항에 있어서,
    상기 RF 핫 전극은 상기 하우징의 장축에 대해 수직으로 연장하는 복수의 핑거(finger)들을 갖는,
    플라즈마 소스 어셈블리.
  15. 제 1 항에 있어서,
    상기 RF 핫 전극의 하부 에지 근처에 스페이서(spacer)를 더 포함하는,
    플라즈마 소스 어셈블리.
KR1020160154266A 2015-11-20 2016-11-18 측방향 플라즈마/라디칼 소스 KR102656575B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562258386P 2015-11-20 2015-11-20
US62/258,386 2015-11-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170091115A Division KR20170106250A (ko) 2015-11-20 2017-07-18 측방향 플라즈마/라디칼 소스

Publications (2)

Publication Number Publication Date
KR20170066229A KR20170066229A (ko) 2017-06-14
KR102656575B1 true KR102656575B1 (ko) 2024-04-09

Family

ID=58719808

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160154266A KR102656575B1 (ko) 2015-11-20 2016-11-18 측방향 플라즈마/라디칼 소스
KR1020170091115A KR20170106250A (ko) 2015-11-20 2017-07-18 측방향 플라즈마/라디칼 소스

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170091115A KR20170106250A (ko) 2015-11-20 2017-07-18 측방향 플라즈마/라디칼 소스

Country Status (4)

Country Link
US (1) US10121655B2 (ko)
JP (2) JP6951068B2 (ko)
KR (2) KR102656575B1 (ko)
CN (2) CN107338423B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
TWI733021B (zh) 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
WO2019118808A1 (en) 2017-12-15 2019-06-20 Applied Materials, Inc. Shaped electrodes for improved plasma exposure from vertical plasma source
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US11282676B2 (en) * 2018-06-18 2022-03-22 Applied Materials, Inc. Paired dynamic parallel plate capacitively coupled plasmas
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor

Family Cites Families (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
JP3644036B2 (ja) 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6300720B1 (en) * 1997-04-28 2001-10-09 Daniel Birx Plasma gun and methods for the use thereof
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP2000299367A (ja) 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
JP3722733B2 (ja) * 2001-09-27 2005-11-30 積水化学工業株式会社 放電プラズマ処理装置
US20030113188A1 (en) 2001-12-17 2003-06-19 Applied Materials, Inc. Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6824343B2 (en) 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
JP3962280B2 (ja) 2002-05-21 2007-08-22 積水化学工業株式会社 放電プラズマ処理装置
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
EP1570525B1 (en) 2002-12-09 2015-12-02 Imec Method for forming a dielectric stack
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
JP3709413B1 (ja) * 2003-06-25 2005-10-26 積水化学工業株式会社 表面処理装置及び方法
US7202166B2 (en) 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7479454B2 (en) 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US8460945B2 (en) 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20070264106A1 (en) 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7737051B2 (en) 2004-03-10 2010-06-15 Tokyo Electron Limited Silicon germanium surface layer for high-k dielectric integration
US20050211264A1 (en) 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
US7419702B2 (en) 2004-03-31 2008-09-02 Tokyo Electron Limited Method for processing a substrate
US7144813B2 (en) 2004-11-12 2006-12-05 Semitool, Inc. Method and apparatus for thermally processing microelectronic workpieces
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
TW200715448A (en) 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070082130A1 (en) 2005-10-07 2007-04-12 Asm Japan K.K. Method for foming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8580034B2 (en) 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
JP4974585B2 (ja) 2006-05-17 2012-07-11 東京エレクトロン株式会社 窒素濃度の測定方法、シリコン酸窒化膜の形成方法および半導体装置の製造方法
JP4245012B2 (ja) 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8168548B2 (en) 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
JP5008957B2 (ja) 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
WO2008081723A1 (ja) 2006-12-28 2008-07-10 Tokyo Electron Limited 絶縁膜の形成方法および半導体装置の製造方法
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
US8372739B2 (en) 2007-03-26 2013-02-12 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7494937B2 (en) 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
JP2009016782A (ja) 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7935640B2 (en) 2007-08-10 2011-05-03 Tokyo Electron Limited Method for forming a damascene structure
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
TWI440405B (zh) 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
JP5202372B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US8119540B2 (en) 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20100062592A1 (en) 2008-09-09 2010-03-11 Tokyo Electron Limited Method for forming gate spacers for semiconductor devices
US8058728B2 (en) 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
JP5025614B2 (ja) * 2008-10-21 2012-09-12 三菱電機株式会社 大気圧プラズマ処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100162955A1 (en) 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
JP5223804B2 (ja) 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2011040561A (ja) 2009-08-11 2011-02-24 Tokyo Electron Ltd 半導体装置の製造方法。
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
JP5250600B2 (ja) 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US8576755B2 (en) 2010-01-11 2013-11-05 Qualcomm Incorporated Apparatus and method for relay transition time
US8673725B2 (en) 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5675331B2 (ja) 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
KR101246170B1 (ko) 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012174976A (ja) 2011-02-23 2012-09-10 Tokyo Electron Ltd パターンの形成方法
US20120255678A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
KR101243742B1 (ko) * 2011-06-24 2013-03-13 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치
CN102921674A (zh) * 2011-08-10 2013-02-13 中国科学院微电子研究所 一种新型的水冷常压等离子体自由基清洗喷枪
JP6000665B2 (ja) 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9862554B2 (en) 2011-10-26 2018-01-09 Brooks Automation, Inc. Semiconductor wafer handling and transport
US9117636B2 (en) * 2013-02-11 2015-08-25 Colorado State University Research Foundation Plasma catalyst chemical reaction apparatus
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9505503B2 (en) * 2013-03-27 2016-11-29 Lockheed Martin Corporation Reactants sprayed into plasma flow for rocket propulsion
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor

Also Published As

Publication number Publication date
CN106783499B (zh) 2021-01-22
CN106783499A (zh) 2017-05-31
JP6951068B2 (ja) 2021-10-20
CN107338423A (zh) 2017-11-10
JP2017224824A (ja) 2017-12-21
KR20170106250A (ko) 2017-09-20
US10121655B2 (en) 2018-11-06
KR20170066229A (ko) 2017-06-14
JP6518725B2 (ja) 2019-05-22
JP2017135359A (ja) 2017-08-03
US20170148626A1 (en) 2017-05-25
CN107338423B (zh) 2020-06-16

Similar Documents

Publication Publication Date Title
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
KR102662705B1 (ko) 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
US11315769B2 (en) Plasma source for rotating susceptor
US11315763B2 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
JP6892439B2 (ja) スロット付きグランドプレートを有するプラズマモジュール
US11081318B2 (en) Geometrically selective deposition of dielectric films utilizing low frequency bias
US20230307213A1 (en) Vertically adjustable plasma source

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant