JP2017135359A - ラテラルプラズマ/ラジカル源 - Google Patents

ラテラルプラズマ/ラジカル源 Download PDF

Info

Publication number
JP2017135359A
JP2017135359A JP2016224832A JP2016224832A JP2017135359A JP 2017135359 A JP2017135359 A JP 2017135359A JP 2016224832 A JP2016224832 A JP 2016224832A JP 2016224832 A JP2016224832 A JP 2016224832A JP 2017135359 A JP2017135359 A JP 2017135359A
Authority
JP
Japan
Prior art keywords
electrode
gas
plasma source
hot electrode
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016224832A
Other languages
English (en)
Other versions
JP6951068B2 (ja
Inventor
アナンタ ケー. スブラマニ,
K Subramani Anantha
アナンタ ケー. スブラマニ,
カーシャル ギャンガックヘッドカー,
Gangakhedkar Kaushal
カーシャル ギャンガックヘッドカー,
アビシェーク チョードリ,
Chowdhury Abhishek
アビシェーク チョードリ,
ジョン シー. フォスター,
John C Forster
ジョン シー. フォスター,
ナッタウォルン ヌンタウォラヌック,
Nuntaworanuch Nattaworn
ナッタウォルン ヌンタウォラヌック,
カロル ベラ,
Bera Kallol
カロル ベラ,
フィリップ エー. クラウス,
A Kraus Philip
フィリップ エー. クラウス,
ファルザド ハウシュマンド,
Houshmand Farzad
ファルザド ハウシュマンド,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017135359A publication Critical patent/JP2017135359A/ja
Application granted granted Critical
Publication of JP6951068B2 publication Critical patent/JP6951068B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/5005Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells
    • G01N33/5008Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics
    • G01N33/5011Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics for testing antineoplastic activity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/335Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin
    • A61K31/34Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide
    • A61K31/343Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide condensed with a carbocyclic ring, e.g. coumaran, bufuralol, befunolol, clobenfurol, amiodarone
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P35/00Antineoplastic agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2510/00Detection of programmed cell death, i.e. apoptosis

Abstract

【課題】均一なプラズマ密度を維持しながら、スパッタリングされた材料による基板の汚染を最小化するプラズマ源を提供する。【解決手段】RFホット電極320とリターン電極330を有するハウジング310を備えたプラズマ源アセンブリ300を有する。ハウジングは、流路318を画定するガスインレット315と前面を含む。RFホット電極は、流路に対してほぼ平行に方向付けられた第1の表面322を含む。リターン電極は、流路に対してほぼ平行に方向付けられ、且つ、RFホット電極の第1の表面から間隔を空けられて間隙を形成する、第1の表面332を含む。【選択図】図6

Description

本開示の実施形態は、広くは、基板を処理する装置に関する。特に、本開示の実施形態は、バッチ処理装置のような処理チャンバと共に使用されるモジュール式の容量結合されたプラズマ源に関する。
一般的に、半導体デバイスの形成は、複数のチャンバを内包する基板処理プラットフォーム内で行われる。ある事例では、マルチチャンバの処理プラットフォーム又はクラスタツールの目的が、制御された環境内で、順次、2以上の処理を1枚の基板に対して実行することである。しかし、他の事例では、複数のチャンバ処理プラットフォームが、単一の処理ステップを複数の基板に対して実行することのみ可能であり、更なるチャンバは、当該プラットフォームによって基板が処理される速度を最大にすることを意図している。後者の場合に、基板に対して実行される処理は、通常、バッチ処理であり、比較的多数の基板、例えば、25又は50枚の基板が、所与のチャンバ内で同時に処理される。バッチ処理は、原子層堆積(ALD)処理及び一部の化学気相堆積(CVD)処理などの、経済的に実行可能な様態において個々の基板上で実行するには時間がかかり過ぎる処理にとって特に有益である。
あるALDシステム、殊に、回転する基板プラテンを有する空間的ALDシステムは、モジュール式のプラズマ源、すなわち、システムの中へ容易に挿入され得る源から利益を得る。プラズマ源は、プラズマが生成される空間、及び加工対象物を荷電粒子及び放射性化学ラジカル種のフラックス(flux)に晒す手段から成る。
熱ALD処理及び熱CVD処理は、頻繁に、膜質を高めるための処理を組み込む。これらの処理は、通常、エネルギー種又は反応性種(energetic or reactive species)を含む。プラズマ源は、そのような核種に対する1次源である。プラズマ源の幾つかの懸念は、イオンを介したエネルギー照射及びスパッタリングによるプラズマ源からの材料の汚染を含む。均一なプラズマ密度を維持しながら、スパッタリングされた材料による基板の汚染を最小化するプラズマ源が必要である。
本開示の1以上の実施形態は、ハウジング、RFホット電極、及びリターン電極を備えたプラズマ源アセンブリを対象とする。ハウジングは、流路を画定するガスインレット及び前面を有する。ガスインレットは、ガスの流れが、流路に沿って移動しハウジングを通過して前面から出ることを可能にする。RFホット電極は、ハウジング内にあり、流路に対してほぼ平行に方向付けられた第1の表面を有する。リターン電極は、ハウジング内にあり、流路に対してほぼ平行に方向付けられ且つRFホット電極の第1の表面から間隔を空けられて間隙を形成する、第1の表面を有する。
本開示の更なる実施形態は、サセプタアセンブリ及びガス供給アセンブリを備えた処理チャンバを対象とする。サセプタアセンブリは、処理チャンバ内にあり、複数の基板を中心軸の周りで支持し回転させる上面を有する。ガス供給アセンブリは、ガスの流れをサセプタアセンブリの上面へ向けるために、サセプタアセンブリの上面に向き合った前面を有する。ガス供給アセンブリは、楔型のハウジング、少なくとも1つのRFホット電極、及び少なくとも1つのリターン電極を備えた、プラズマ源アセンブリを含む。楔型のハウジングは、ハウジングの主要な軸を画定する、内側周縁端部及び外側周縁端部を有する。ハウジングは、第1の側部、第2の側部、ガスインレット、及び前面も有する。ガスインレット及び前面は、流路を画定し、ガスインレットは、ガスの流れが、流路に沿って移動しハウジングを通過して前面から出ることを可能にする。少なくとも1つのRFホット電極は、ハウジング内にあり、流路に対してほぼ平行に方向付けられた第1の表面を有する。少なくとも1つのリターン電極は、ハウジング内にあり、流路に対してほぼ平行に方向付けられ且つRFホット電極の第1の表面から間隔を空けられて間隙を形成する、第1の表面を有する。プラズマ源アセンブリの楔型のハウジングの前面は、約1mmから約5mmまでの範囲内にある、サセプタアセンブリの上面からの距離において配置される。
本開示の更なる実施形態は、基板を処理する方法を対象とする。基板は、ガス供給アセンブリに隣接するサセプタアセンブリ上に配置される。ガス供給アセンブリは、楔型のハウジング、少なくとも1つのRFホット電極、及び少なくとも1つのリターン電極を備えた、プラズマ源アセンブリを含む。楔型のハウジングは、ハウジングの主要な軸を画定する、内側周縁端部及び外側周縁端部を有する。ハウジングは、第1の側部、第2の側部、ガスインレット、及び前面を有する。ガスインレット及び前面は、流路を画定し、ガスインレットは、ガスの流れが、流路に沿って移動しハウジングを通過して前面から出ることを可能にする。少なくとも1つのRFホット電極は、ハウジング内にあり、流路に対してほぼ平行に方向付けられた第1の表面を有する。少なくとも1つのリターン電極は、ハウジング内にあり、流路に対してほぼ平行に方向付けられ且つRFホット電極の第1の表面から間隔を空けられて間隙を形成する、第1の表面を有する。ガスは、楔型のハウジングのガスインレットを通って、RFホット電極とリターン電極との間の間隙の中へ流される。RFホット電極は、電圧を印加され、間隙内にプラズマを生成し、基板がプラズマに晒される。
本開示の実施形態の上述の特徴を詳細に理解し得るように、上記で簡単に要約されている本開示の実施形態のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に例示されている。しかし、本開示は他の等しく有効な実施形態も許容し得ることから、付随する図面は、この開示の典型的な実施形態しか例示しておらず、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
本開示の1以上の実施形態による、基板処理システムの概略的な断面図を示す。 本開示の1以上の実施形態による、基板処理システムの斜視図を示す。 本開示の1以上の実施形態による、基板処理システムの概略図を示す。 本開示の1以上の実施形態による、ガス供給アセンブリの概略的な前面図を示す。 本開示の1以上の実施形態による、処理チャンバの概略図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリの概略的な断面図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリの部分的な斜視図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリの部分的な斜視図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリの部分的な概略側面図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリの概略的な底面図を示す。 本開示の1以上の実施形態による、蛇のような形をした電極を有するプラズマ源アセンブリの概略的な底面図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリの概略的な底面図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリ電極の部分的な側面断面図を示す。 本開示の1以上の実施形態による、プラズマ源アセンブリ電極の部分的な側面断面図を示す。 本開示の1以上の実施形態による、処理チャンバの断面図を示す。
本開示の実施形態は、スループットを最大にして処理効率を改善する、連続基板堆積のための基板処理システムを提供する。この基板処理システムは、堆積前及び堆積後のプラズマ処理のためにも使用され得る。
本明細書及び添付された特許請求の範囲で使用されるように、「基板」および「ウエハ」という用語は、共に、処理が行われる表面または表面の部分を指すために交換可能に使用される。更に、基板に対して言及がなされるとき、そうではないことが文脈によって明白に示されない限り、基板の一部分のみに対する言及でもあり得ることを、当業者は理解するだろう。更に、基板上の堆積について言及することによって、裸基板と、上に1以上の膜または特徴が堆積または形成された基板との両方を意味することもあり得る。
本明細書及び添付された特許請求の範囲で使用されるように、「反応性ガス」、「前駆体」、「反応物質」などの用語は、基板表面との反応性を有する核種を含むガスを意味するように、交換可能に使用される。例えば、第1の「反応性ガス」は、単に基板の表面上に吸着され、且つ、第2の反応性ガスとの更なる化学反応に利用され得る。
この明細書及び添付された特許請求の範囲で使用されるように、「低減された圧力」という用語は、約100Torr未満、又は約75Torr未満、又は約50Torr未満、又は約25Torr未満の圧力を意味する。例えば、約1Torrから約25Torrまでの範囲であると定義される「中圧」は、低減された圧力である。
回転するプラテンチャンバは、多くの用途に対して考慮されている。そのようなチャンバでは、1以上のウエハが、回転するホルダ(「プラテン」)上に配置される。プラテンが回転すると、ウエハが様々な処理領域の間で移動する。例えば、ALDでは、処理領域が、ウエハを前駆体及び反応物質に晒す。更に、反応物質としてのプラズマに晒すことは、膜若しくは基板表面を処理して膜の成長を促進し、又は膜の特性を改良するために使用され得る。本開示のある実施形態は、回転するプラテンのALDチャンバを使用したときに、ALD膜の均一な堆積及び後処理(例えば、高密度化)のために提供される。
回転するプラテンのALDチャンバは、ウエハ全体が第1のガスに晒され、第1のガスがパージされ、その後、第2のガスに晒される、従来型の時間領域処理によって、又はウエハの部分が第1のガスに晒され、第2のガスに晒され、これらのガスの流れを通るウエハの移動が層を堆積させる、空間的ALDによって、膜を堆積させることができる。
本明細書及び添付された特許請求の範囲で使用されるように、「パイ状の」及び「楔型の」という用語は、概して円形のセクターである本体を表現するために交換可能に使用される。例えば、楔型のセグメントは、円形又は円盤形状の構造体の断片であり得る。パイ状のセグメントの内側端部は、先が細くなるか、又は切り取られて平坦な端部となるか若しくは丸められ得る。基板の経路は、ガスポートに対して垂直であってもよい。ある実施形態では、ガス注入器アセンブリの各々が、基板が通過する経路に対してほぼ垂直な方向に延在する、複数の細長いガスポートを備え、ガスポートの前端部はプラテンとほぼ平行である。本明細書及び添付された特許請求の範囲で使用されるように、「ほぼ垂直」という用語は、基板の移動の大まかな方向が、ガスポートの軸に対して近似的に垂直な(例えば、約45度から90度まで)平面に沿っていることを意味する。楔型のガスポートに対して、ガスポートの軸は、ポートの長さに沿って延在するポートの幅の中間点として画定されたラインであると見なしてもよい。
図1は、注入器若しくは注入器アセンブリとも呼ばれるガス供給アセンブリ120と、サセプタアセンブリ140とを含む、処理チャンバ100の断面を示している。ガス供給アセンブリ120は、処理チャンバ内で使用される任意のタイプのガス送達デバイスである。ガス供給アセンブリ120は、サセプタアセンブリ140と向き合った前面121を含む。前面121は、ガスの流れをサセプタアセンブリ140に向けて送達するための任意の数の又は様々な開口部を有し得る。ガス供給アセンブリ120は、示されている実施形態ではほぼ丸い外側周縁端部124も含む。
使用されるガス供給アセンブリ120の特定のタイプは、使用されている特定の処理に応じて変動し得る。本開示の実施形態は、サセプタアセンブリとガス供給アセンブリとの間の間隙が制御される、任意のタイプの処理システムを用いて使用され得る。様々なタイプのガス供給アセンブリ(例えば、シャワーヘッド)が採用され得るが、本開示の実施形態は、複数のほぼ平行なガスチャネルを有する空間的ALDガス供給アセンブリを用いる場合に特に有用であり得る。本明細書及び添付された特許請求の範囲で使用されるように、「ほぼ平行」という用語は、ガスチャネルの細長い軸が同じ概略的な方向に延在することを意味する。ガスチャネルの平行度においては、わずかな不完全性が存在してもよい。複数のほぼ平行なガスチャネルは、少なくとも1つの第1の反応性ガスAチャネル、少なくとも1つの第2の反応性ガスBチャネル、少なくとも1つのパージガスPチャネル、及び/又は少なくとも1つの真空Vチャネルを含み得る。第1の反応性ガスAチャネル、第2の反応性ガスBチャネル、及びパージガスPチャネルから流れているガスは、ウエハの上面に向けられる。ガス流の一部は、ウエハの表面を横断して水平に移動し、パージガスPチャネルを通して処理領域から出て行く。ガス供給アセンブリの一端から他端へ移動する基板は、順番に、処理ガスの各々に晒され、基板表面上に層が形成される。
ある実施形態では、ガス供給アセンブリ120が、単一の注入器ユニットから作られた硬い静止物体である。1以上の実施形態では、図2で示されているように、ガス供給アセンブリ120が、複数の個別のセクター(例えば、注入器ユニット122)から作られている。単一のピース本体又はマルチセクター本体のうちの一方が、説明される本開示の様々な実施形態と共に使用され得る。
サセプタアセンブリ140は、ガス供給アセンブリ120の下に配置されている。サセプタアセンブリ140は、上面141と、上面141内の少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143及び端部144も有する。凹部142は、処理される基板60の形状及びサイズに応じて、任意の好適な形状及びサイズであり得る。図1で示されている実施形態では、凹部142が、ウエハの底部を支持するために平坦な底部を有するが、凹部の底部は変形し得る。ある実施形態では、凹部が、ウエハの外側周縁端部を支持するようにサイズ決定された、凹部の外側周縁端部の周りにステップ領域を有する。ステップによって支持されるウエハの外側周縁端部の量は、例えば、ウエハの厚さ及びウエハの裏側に既にある特徴の存在に応じて変動し得る。
ある実施形態では、図1で示されるように、サセプタアセンブリ140の上面141内の凹部142は、凹部142内で支持されている基板60が、サセプタアセンブリ140の上面141とほぼ同一平面上にある上面61を有するようにサイズ決定される。本明細書及び添付された特許請求の範囲で使用されるように、「ほぼ同一平面上」という用語は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm内で同一平面上にあることを意味する。ある実施形態では、上面は、±0.15mm、±0.10mm、又は±0.05mm内で同一平面上にある。
図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇、下降、及び回転させることが可能な支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中心部内に、ヒータ又はガスライン又は電子部品を含み得る。支持ポスト160は、サセプタアセンブリ140とガス供給アセンブリ120との間の間隙を増大又は低減させ、サセプタアセンブリ140を適切な位置へと移動させる主たる手段であり得る。サセプタアセンブリ140は、サセプタアセンブリ140に対してミクロの調整を行い、サセプタアセンブリ140とガス供給アセンブリ120との間に所定の間隙170を生成することができる、微調整アクチュエータ162も含み得る。ある実施形態では、間隙170の距離が、約0.1mmから約5.0mmまでの範囲内、又は約0.1mmから約3.0mmまでの範囲内、又は約0.1mmから約2.0mmまで範囲内、又は約0.2mmから約1.8mmまでの範囲内、又は約0.3mmから約1.7mmまでの範囲内、又は約0.4mmから約1.6mmまでの範囲内、又は約0.5mmから約1.5mmまでの範囲内、又は約0.6mmから約1.4mmまでの範囲内、又は約0.7mmから約1.3mmまでの範囲内、又は約0.8mmから約1.2mmの範囲内、又は0.9mmから約1.1mmまでの範囲内、或いは約1mmである。
図で示されている処理チャンバ100は、内部でサセプタアセンブリ140が複数の基板60を保持できる、カルーセル型(carousel−type)のチャンバである。図2で示されているように、ガス供給アセンブリ120は、複数の別々の注入器ユニット122を含んでもよく、各注入器ユニット122は、ウエハが注入器ユニットの下方を移動する際に、ウエハ260上に膜を堆積させることが可能である。2つのパイ状の注入器ユニット122が、サセプタアセンブリ140のほぼ反対側に、且つ、サセプタアセンブリ140上に配置されるように示されている。示されている注入器ユニット122がこの数であるのは、例示目的に過ぎない。注入器ユニット122を、より多く又はより少なく含み得ることが理解されよう。ある実施形態では、サセプタアセンブリ140の形状に適合する形状を形成するのに十分な数のパイ状の注入器ユニット122が存在する。ある実施形態では、個々のパイ状の注入器ユニット122の各々が、その他の注入器ユニット122の何れかに影響を与えることなく、個別に移動、取り外し、且つ/又は交換することができる。例えば、基板60の載置/取り出しのために、サセプタアセンブリ140とガス供給アセンブリ120との間の領域に、ロボットがアクセスできるように、1つのセグメントが上昇し得る。
複数のウエハが同じ処理の流れを経験するように、これらのウエハを同時に処理するために、複数のガス注入器を有する処理チャンバを使用することができる。例えば、図3で示されるように、処理チャンバ100は、4つのガス注入器アセンブリと4つの基板60を有する。処理の開始の際に、基板60は、ガス注入器アセンブリ30の間に配置され得る。ガス供給アセンブリ120の下の点線の円によって示されているように、サセプタアセンブリ140を45度だけ回転させる(17)と、結果として、ガス供給アセンブリ120の間にある各基板60が、膜堆積のためにガス供給アセンブリ120へ移動される。更に45度回転させることにより、基板60は、ガス注入器アセンブリ30から離れるように移動される。空間的ALD注入器によって、ウエハが注入器アセンブリに対して移動する間に、ウエハ上に膜が堆積される。ある実施形態では、サセプタアセンブリ140が、基板60がガス供給アセンブリ120の下で停止することを妨げるように徐々に回転される。基板60とガス供給アセンブリ120の数は、同一であるか又は異なり得る。ある実施形態では、ガス供給アセンブリと同一の数のウエハが処理される。1以上の実施形態では、処理されるウエハの数が、ガス供給アセンブリの数のフラクション(fraction)又は整数倍である。例えば、ガス供給アセンブリが4個であるならば、4x個のウエハが処理され、xは1以上の整数値である。
図3で示されている処理チャンバ100は、単に1つの可能な構成を表すものであり、本開示の範囲を限定すると見なされるべきではない。本明細書において、処理チャンバ100は、複数のガス供給アセンブリ120を含む。示されている実施形態では、処理チャンバ100の周囲に、4つのガス供給アセンブリ(注入アセンブリ30とも呼ばれる)が均等に間隔をあけて配置されている。示されている処理チャンバ100は八角形であるが、これは1つの可能な形状であり、本開示の範囲を限定すると見なされるべきではないことが、当業者には理解されよう。示されているガス供給アセンブリ120は、多角形であるが、単一の円形構成要素であってもよく、又は図2で示されているように複数のパイ状セグメントから作られていてもよい。
図3で示されている実施形態は、ロードロックチャンバ180、又はバッファステーションのような副室(auxiliary chamber)を含む。このチャンバ180は、処理チャンバ100の側部に連結されており、例えば、基板(基板60とも呼ばれる)が、処理チャンバ100に積み込まれる/処理チャンバ100から取り出されることを可能にする。基板をサセプタ上に移動させるために、ウエハロボットが、チャンバ180内に配置され得る。
カルーセル(例えば、サセプタアセンブリ140)の回転は、連続的又は非連続的であり得る。連続処理では、ウエハが注入器の各々に順番に晒されるように、ウエハは常に回転している。非連続処理では、ウエハは注入器領域へと移動して停止し、その後、注入器間の領域84へと移動して停止し得る。例えば、カルーセルは、ウエハが注入器間の領域から注入器を横断して移動し(又は、注入器に隣接(最接近)して停止し)、それから次の注入器間の領域へと移動し、そこで基板が再度休止し得るように回転し得る。注入器間での休止によって、各層の堆積の間に、更なる処理ステップ(例えば、プラズマへの露出)のための時間が提供され得る。
図4は、注入器ユニット122と呼ばれ得る、ガス供給アセンブリ220の一セクター又は一部分を示している。注入器ユニット122は、個々に又は他の注入器ユニットと組み合わせて使用され得る。例えば、図5で示されているように、単一のガス供給アセンブリ220を形成するために、図4の注入器ユニット122が4つ組み合わされる。(4つの注入器ユニットを区切る線は、明確に図示されていない。)図4の注入器ユニット122は、パージガスポート155及び真空ポート145に加えて、第1の反応性ガスポート125と第2の反応性ガスポート135の両方を有しているが、注入器ユニット122は、これらの構成要素の全てを必要とするわけではない。
図4と図5の両方を参照すると、1以上の実施形態によるガス供給アセンブリ220は、複数のセクター(又は注入器ユニット122)を備えてもよく、各セクターは全く同一であるか又は異なっている。ガス供給アセンブリ220は、処理チャンバ内に配置され、ガス供給アセンブリ220の前面121内に複数の細長いガスポート125、135、145を備える。複数の細長いガスポート125、135、145、155は、ガス供給アセンブリ220の内側周縁端部123に隣接した領域から、ガス供給アセンブリ220の外側周縁端部124に隣接した領域に向かって延在する。示されている複数のガスポートは、第1の反応性ガスポート125、第2の反応性ガスポート135、第1の反応性ガスポートと第2の反応性ガスポートの各々を取り囲む真空ポート145、及びパージガスポート155を含む。
図4又は図5で示されている実施形態を参照すると、ポートは少なくとも内側周縁領域の辺りから少なくとも外側周縁領域の辺りまで延在する、と述べるときに、ポートは単に内側領域から外側領域まで半径方向へ延在するだけではない。ポートは、真空ポート145が反応性ガスポート125と反応性ガスポート135を取り囲む際に、接線方向に延在し得る。図4及び図5で示されている実施形態では、楔型の反応性ガスポート125、135は、真空ポート145によって、内側周縁領域及び外側周縁領域に隣接する端部を含む全ての端部を取り囲まれている。
図4を参照すると、基板が経路127に沿って移動する際に、基板表面の各部分は、様々な反応性ガスに晒される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1の反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2の反応性ガスポート135、そして、真空ポート145に晒され、すなわち、それらに「遭遇する(see)」ことになる。したがって、図4で示されている経路127の終わりにおいて、基板は、第1反応性ガス125及び第2反応性ガス135からのガスの流れに晒されて、層を形成している。示されている注入器ユニット122は四分円となっているが、より大きい又はより小さいものである可能性もある。図5で示されているガス供給アセンブリ220は、連続的に連結された、図4の注入器ユニット122の4つの組み合わせと見なされ得る。
図4の注入器ユニット122は、反応性ガスを分離させるガスカーテン150を示している。「ガスカーテン(gas curtain)」という用語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4で示されているガスカーテン150は、真空ポート145の第1の反応性ガスポート125に隣接する部分、中間のパージガスポート155、及び、真空ポート135の第2の反応性ガスポート135に隣接する部分を含む。ガス流と真空とのこの組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止又は最小化するために使用され得る。
図5を参照すると、ガス供給アセンブリ220からのガス流と真空との組み合わせは、複数の処理領域250への分離を形成する。処理領域は、複数の処理領域250の間のガスカーテン150により、個々の反応性ガスポート125、135の周囲に大まかに画定される。図5で示されている実施形態は、8つの別々のガスカーテン150をそれらの間に備えた、8つの別々の処理領域250を構成している。処理チャンバは、少なくとも2つの処理領域を有し得る。ある実施形態では、少なくとも、3つ、4つ、5つ、6つ、7つ、8つ、9つ、10、11、又は12個の処理領域が存在する。
処理中に、基板は、いつでも2つ以上の処理領域250に晒され得る。しかし、異なる処理領域に晒される部分は、その2つを分離するガスカーテンを有することになる。例えば、基板の前縁端部が第2の反応性ガスポート135を含む処理領域に入るならば、基板の中間部はガスカーテン150の下にあり、且つ、基板の後縁端部は第1の反応性ガスポート125を含む処理領域内にあることになる。
処理チャンバ100に連結された、例えば、ロードロックチャンバであり得るファクトリインターフェース280が、図示されている。参照フレーム(frame of reference)を提供するために、基板60が、ガス供給アセンブリ220上に重ね合わされて図示されている。基板60は、しばしば、サセプタアセンブリ上に配置され、ガス供給アセンブリ120(ガス供給プレートとも呼ばれる)の前面121の近くに保持され得る。基板60は、ファクトリインターフェース280を介して、処理チャンバ100内の基板支持体又はサセプタアセンブリ上に載置される(図3参照)。基板60は、処理領域内に配置されるように示され得るが、それは、その基板が、第1の反応性ガスポート125に隣接(最接近)して、且つ、2つのガスカーテン150a、150bの間に配置されているからである。基板60を経路127に沿って回転させることにより、基板は、処理チャンバ100の周りを反時計回りに移動することになる。したがって、基板60は、第1の処理領域250aから8番目の処理領域250hまでを通って、それらに晒されることになるが、その間に全ての処理領域が含まれる。図示されているガス供給アセンブリを使用する、処理チャンバを回る各サイクルでは、基板60が、第1の反応性ガスと第2の反応性ガスの4つのALDサイクルに晒されることになる。
バッチプロセッサ内の従来のALDシーケンスは、図5のものと同様に、それぞれ、間にポンプ/パージセクションを伴って空間的に分離された注入器からの、化学物質A及びBの流れを維持する。従来のALDシーケンスは、不均一な堆積膜をもたらし得る開始及び終了パターンを有する。空間的ALDバッチ処理チャンバ内で実行される時間ベースのALD処理が、より高い均一性の膜を提供することを、発明者たちは意外にも発見した。ガスA、非反応性ガス、ガスB、非反応性ガスへの露出の基本的な処理は、注入器の下の基板をスイープ(sweep)し、表面をそれぞれ化学物質AとBで満たして、膜内に形成される開始及び終了パターンを有することを避ける。開始及び終了パターンがウエハの均一性性能に大きな影響を与える、ターゲット膜厚が薄い(例えば、20ALDサイクル未満)ときに、時間ベースのアプローチは殊に有用であることを、発明者たちは意外にも発見した。本明細書で説明されるように、SiCN、SiCO、及びSiCONの膜を生成する反応処理は、時間領域(time‐domain)処理によって達成されることができないことも、発明者たちは発見した。処理チャンバをパージするために使用される時間量は、基板表面から材料を奪うという結果をもたらす。奪うこと(stripping)は、説明される空間的ALDプロセスでは生じない。何故ならば、ガスカーテンの下での時間が短いからである。
したがって、本開示の実施形態は、各処理領域がガスカーテン150によって隣接する領域から分離される、複数の処理領域250a〜250hを有する処理チャンバ100を備えた処理方法を対象とする。例えば、図5で示されている処理チャンバである。処理チャンバ内のガスカーテンと処理領域の数は、ガス流の配置に応じた任意の適切な数であり得る。図5で示されている実施形態は、8つのガスカーテン150と8つの処理領域250a〜250hを有する。ガスカーテンの数は、一般に、処理領域の数以上である。例えば、領域250aは、反応性ガス流を有していなかったが、単に載置領域(loading area)として働くならば、処理チャンバは、7つの処理領域と8つのガスカーテンを有しているだろう。
複数の基板60は、基板支持体、例えば、図1及び図2で示されたサセプタアセンブリ140上に配置される。複数の基板60は、処理のために処理領域の周りで回転される。概して、ガスカーテン150は、反応性ガスがチャンバの中へ流れていない期間を含む処理の間を通して、(ガスの流れ及び真空として)係合される。
第1の反応性ガスAは、処理領域250のうちの1以上の中へ流れ、一方、不活性ガスが、第1の反応性ガスAがそこへ流れていないところの、任意の処理領域250の中へ流れる。例えば、第1の反応性ガスが、処理領域250bから処理領域250hまでの中を通って流れているならば、不活性ガスは、処理領域250aの中を流れているだろう。不活性ガスは、第1の反応性ガスポート125又は第2の反応性ガスポート135を通って流れることができる。
処理領域内の不活性ガスの流れは、一定であるかもしれないし又は変動するかもしれない。ある実施形態では、反応性ガスが不活性ガスを伴って共に流される。不活性ガスは、搬送ガス及び希釈ガスとして作用する。搬送ガスと比較すると、反応性ガスの量は少ないので、共に流すと、隣接する領域の間の圧力における差異を低減させることによって容易に、処理領域の間のガス圧のバランスを整えることができる。
本開示のある実施形態は、注入器モジュールを対象とする。注入器モジュールが空間的ALD処理チャンバに関して説明される一方で、モジュールは、空間的ALDチャンバに限定されず、高められたガス流の均一性が有用である任意の注入器の状況に適用可能であり得る。
有利なことに、本開示のある実施形態は、モジュール式のプラズマ源アセンブリ、すなわち、処理システムの中へ容易に挿入でき、処理システムから容易に除去することができる源を提供する。そのような源は、通常は1〜50Torrである、原子層堆積プロセスと同じ圧力レベルで動作する、そのハードウェアの全て又はほとんどを有し得る。本開示のある実施形態は、ウエア表面を横断する改良されたイオンフラックス(ion flux)を有するプラズマ源を提供する。ある実施形態では、プラズマ源が、ウエハ表面にほぼ垂直に位置合わせされた3つのプレートの間の容量性の源(capacitive source)を含む。ある実施形態では、外側プレートが接地され、内側プレートが電力供給される。プラズマがプレートの間で生成され、一方、ガス種(gas species)がウエハ表面に向かってプレートの間を流れる。プラズマは、源にほぼ閉じ込められ、ウエハ表面に到達する電力供給されたプレートからのスパッタリングされた材料を最小化する。有利なことに、本開示のある実施形態は、ホット電極からのスパッタリングされた材料による基板の汚染を最小化又は消去するプラズマ源を提供する。有利なことに、ある実施形態は、基板表面をほぼ変化させないソフトプラズマも提供する。1以上の実施形態は、電気復路(electrical return path)が基板を通ることを許容することなしに、プラズマを生成することができる装置を提供する。
RFホット電極(電力供給された電極)と接地プレート(リターン電極と呼ばれる)との間の間隙は、変動し得る。ある実施形態では、間隙が、約4mmから約15mmまでの範囲内にあり、調整可能である。RFホット電極の幅は、変動し得る。例えば、プレートは、イオンを加速させるためにテーパが付けられ得る。使用する際に、RFホット電極とリターン電極との間の間隙内を流れるガス種(gaseous species)は、イオン化される。その後、イオン化された核種は、基板表面と接触し得る。様々な実施形態によって生成されるプラズマは、基板表面をほぼ変化させないソフトプラズマである。
図6から図15を参照すると、本開示の1以上の実施形態は、モジュール式の容量結合プラズマ源300を対象とする。本明細書及び添付された特許請求の範囲で使用されるように、「モジュール式の」という用語は、プラズマ源300が、処理チャンバに取り付け可能であり又は処理チャンバから除去可能であることを意味する。モジュール式の源は、概して、1人の人間によって、移動可能であり、除去可能であり、又は取り付け可能である。
図6は、本開示の1以上の実施形態による、プラズマ源アセンブリ300の断面を示している。図6で示されているプラズマ源アセンブリ300は、ガスインレット315及び前面312を有するハウジング310を含む。ガスインレット315は、ガスの流れが、流路318に沿って移動しハウジング310を通過して前面312内の開口部313から出ることを可能にする。示されている実施形態は、例示目的で中心から外れて示されているガスインレット315を有するが、ガスインレット315がハウジング310の中心に置かれ得ることを、当業者は理解するだろう。更に、ある実施形態は、流路318を通るガス流の均一性を高めるプレナム(plenum)316を含む。
プラズマ源アセンブリ300は、RFホット電極320と少なくとも1つのリターン電極330を含む。リターン電極330は、RFホット電極320を伴って完全な回路を形成する、任意の導電性材料である。リターン電極330が、電子が流れるための経路を提供できることを、当業者は理解するだろう。このやり方で使用される「リターン」という用語は、電極がプラズマ構成要素の電気経路の部分であることを意味し、電流又は電子の流れの方向を意味しない。
図6〜図8を参照すると、RFホット電極320は、第1の表面322及び第1の表面322と反対側の第2の表面324を有する。図6は、プラズマ源アセンブリ300の断面を示しており、一方、図7及び図8は、電極の部分的な斜視図を示している。これに関して使用されるように、第1の表面322と第2の表面324は、RFホット電極320の厚さTの両側にある。RFホット電極320は、概して、高さH、厚さT、及び長さLを有する矩形状角柱として形作られている。RFホット電極320は、流路318とほぼ平行に方向付けられた第1の表面322を有する。これに関して使用されるように、「ほぼ平行」という用語は、表面が、(0度として規定される)平行の±10度の範囲内にあることを意味する。
リターン電極330は、RFホット電極320に類似して形作られている。リターン電極は、流路318とほぼ平行に方向付けられた第1の表面332を有する。リターン電極330の第1の表面332は、RFホット電極320の第1の表面322から間隔を空けられ、間隙340を形成する。
リターン電極330、330bは、アルミニウム、ステンレススチール、及び銅を含む任意の適切な材料であり得るが、それらに限定されるものではない。リターン電極330、330bは、任意の適切な電気的特性を有し得る。ある実施形態では、リターン電極330、330bが、接地電極(ground electrode)である。接地電極は、電気的グランドと電気的に接触している任意の導電性材料である。
ある実施形態では、リターン電極330、330bが、RFホット電極320とは異なる、電力供給される電極である。このやり方で使用されるように、「RFホット電極とは異なる」は、電気的特性又は電位が、RFホット電極とは異なることを意味する。例えば、生成されたプラズマの駆動電力は、位相シフターを使用して単一源からプッシュプル式(push‐pull)のやり方で調整され、ウエハとの相互作用を最小化できる。このタイプの実施形態では、RFホット電極320が、例えば、リターン電極330とは位相が180度だけ異なり得る。
図7で示されているように、プラズマ源アセンブリのある実施形態は、第2のリターン電極330bを更に備える。第2のリターン電極330bは、流路318とほぼ平行に方向付けられた第1の表面332bを有する。第2のリターン電極330bの第1の表面332bは、RFホット電極320の第2の表面324から間隔を空けられ、間隙340bを形成する。間隙340と間隙340bは、同じ又は異なる寸法を有し得る。ある実施形態では、RFホット電極320とリターン電極330、330bとの間の間隙340、340bが、約4mmから約15mmまでの範囲内、又は約5mmから約14mmまでの範囲内、又は約7mmから約13mmまでの範囲内、又は約9mmから約12mmまでの範囲内、又は約11mmである。
図9を参照すると、ある実施形態では、RFホット電極320とリターン電極330、330bとの間の間隙340、340bが、電極の高さHに沿って変動する。示されている実施形態では、ガスインレット315に隣接する厚さTが、前面312に隣接する厚さよりも大きい。異なるように述べられている間隙340、340bのサイズは、前面312に隣接するところよりも、ガスインレットに隣接するところで小さい。動作の任意の特定の理論に束縛されることなく、RFホット電極320のテーパが付けられた厚さは、イオンがウエハに向かって加速することをもたらし得ることが信じられている。
RFホット電極320の厚さTは、例えば、電極の材料に応じた任意の適切な厚さであり得る。ある実施形態では、RFホット電極が、約3mmから約11mmまでの範囲内、又は約4mmから約10mmまでの範囲内、又は約6mmから約9mmまでの範囲内、又は約8mmの厚さを有する。
RFホット電極320の高さHは、変動し得る。ある実施形態では、RFホット電極320の高さHが、約8mmから約40mmまでの範囲内、又は約9mmから約35mmまでの範囲内、又は約10mmから約30mmまでの範囲内、又は約11mmから約25mmまでの範囲内、又は約12mmから約20mmまでの範囲内、又は約13mmから約15mmまでの範囲内、又は約14mmである。
ある実施形態では、プラズマ源アセンブリ300のハウジング310が、楔型である。図10は、楔型のハウジング310を組み込んだ2つの実施形態を示している。図10Aでは、RFホット電極320とリターン電極330が、ハウジング310の主要な軸308に沿って延在する。このやり方で使用されるように、主要な軸308は、ハウジング310の内側周縁端部123と外側周縁端部124の中間の軸を指す。図10Bでは、RFホット電極320とリターン電極330が、ハウジング310の主要な軸308と垂直に延在する。
RFホット電極320とリターン電極330との間の間隙は、プラズマ源アセンブリを通してほぼ同じであり、又は変動し得る。例えば、ある実施形態では、RFホット電極とリターン電極は、楔型のハウジング310の内側周縁端部123の近傍よりも外側周縁端部124の近傍において、より間隔を空けられている。
図11は、RFホット電極320が、ハウジング310内で蛇のような形を有する、本開示の別の一実施形態を示している。これに関して使用されるように、「蛇のような形」という用語は、電極が曲がりくねった形状を有することを意味する。該形状は、ハウジング310の形状に順応し得る。例えば、図11のハウジング310は楔型であり、RFホット電極320は、内側周縁端部123の近くよりも外側周縁端部124の近くで大きくうねる、蛇のような形を有する。リターン電極330は、RFホット電極320を補完するような形状を有し、蛇のような形の長さに沿ってほぼ同じ間隙340を維持する。これに関して使用されるように、「ほぼ同じ間隙」という用語は、全体の長さに沿った間隙が、間隙の平均値の10%以上変動しないことを意味する。端部誘電体350が、RFホット電極320とリターン電極330との間に配置され得る。端部誘電体350は、RFホット電極320とリターン電極330との間の電気的な接続を最小化することができる、任意の適切な材料であり得る。
図12は、RFホット電極320が、ハウジング310の主要な軸308と垂直に延在する複数のフィンガ328を有する、本開示の別の一実施形態を示している。示されている実施形態が4つのフィンガ328を有する一方で、RFホット電極320が、例えば、ハウジング310のサイズに応じて任意の適切な数のフィンガ328を有し得ることを、当業者は理解するだろう。リターン電極330は、RFホット電極320を補完するような形状を有し、それによって、リターン電極330上に複数のフィンガ338が存在することになる。ある実施形態では、リターン電極330が、RFホット電極320とリターン電極330との間にほぼ同じ間隙を維持するように形作られる。図12で示されている楔型のハウジング310は、最も内側のフィンガ328の近くで中間のフィンガの近くの間隙よりも小さい間隙を有し、最も外側のフィンガ328の近くで中間のフィンガの近くの間隙よりも大きい間隙を有する。この変動は、ハウジング310の形状によるものであり、又はこれらの領域でプラズマ密度を制御するためであり得る。
ある実施形態は、RFホット電極320の下側端部329に隣接するスペーサ360を含む。図13を参照すると、RFホット電極320は、2つのリターン電極330の間で示されている。スペーサ360は、RFホット電極320の下側端部329を、基板60及びサセプタアセンブリ140から分離する。ある実施形態では、スペーサ360の存在が、RFホット電極320のスパッタリングが基板60を汚染することを妨げ又は最小化する助けとなる。スペーサ360は、誘電体(例えば、セラミック材料)を含む任意の適切な材料から作られ得るが、それに限定されるものではない。スペーサ360のサイズは、RFホット電極320の下側端部329を、基板60の近傍から移動させるように調整され得る。ある実施形態では、スペーサ360が、約10mmから約25mmまでの範囲内、又は約13mmから約20mmまでの範囲内、又は約17mmの長さLsを有する。
図14は、本開示の別の一実施形態を示している。RFホット電極320は、下側端部329に隣接するスペーサ360を有する。リターン電極331(例えば、接地されているか又は電力供給されている)は、スペーサ360に隣接し、スペーサを基板60及びサセプタアセンブリから分離する。動作の任意の特定の理論に束縛されることなく、スペーサ360とリターン電極331の組み合わせは、RFホット電極320の基板との直接的な相互作用を最小化することが信じられている。2つのRFホット電極320と2つのリターン電極330が、図14で示されているが、任意の適切な数のRFホット電極320とリターン電極330が存在し得ることを、当業者は理解するだろう。
図1、図2、図8、及び図15を参照すると、本開示のある実施形態は、サセプタアセンブリ140とガス供給アセンブリ120を含む、処理チャンバ100を対象としている。図15は、本開示の1以上の実施形態による、処理チャンバ100の断面図を示している。サセプタアセンブリ140は、複数の基板60を中心軸161の周りで支持し回転させる上面141を有する。
ガス供給アセンブリ120は、ガスの流れをサセプタアセンブリ140の上面141に向けて導く、サセプタアセンブリ140の上面141と向き合った前面121を有する。ある実施形態のガス供給アセンブリ120は、楔型のハウジング310を有するプラズマ源アセンブリ300を含む。楔型のハウジングは、ハウジング310の主要な軸308を画定する、内側周縁端部123及び外側周縁端部124を有する。ハウジング310は、第1の側部371、第2の側部372、ガスインレット315、及び前面312も有する。流路は、ガスインレット315からハウジング310を通って流れ、前面312から出て行くガスによって辿られる経路として画定される。
プラズマ源アセンブリ300は、流路とほぼ平行に方向付けられた第1の表面322を有する少なくとも1つのRFホット電極320を有する。示されている実施形態では、3つのRFホット電極320が存在する。少なくとも1つのリターン電極330は、ハウジング310内にあり、流路に対してほぼ平行に方向付けられ、RFホット電極320の第1の表面322から間隔を空けられて間隙340を形成する、第1の表面332を有する。プラズマ源アセンブリ300の楔型のハウジング310の前面312は、約1mmから約5mmまでの範囲内、又は約1.5mmから約4mmまでの範囲内、又は約2mmの、サセプタアセンブリ140の上面141からの距離において配置される。図15で示されている実施形態は、プラズマ源アセンブリを有する処理チャンバの1つの可能な構成の単なる例示であり、本開示の範囲を限定するものと解釈されるべきではない。
図6に戻って参照すると、ある実施形態は、ハウジング310を通過し、RFホット電極320のための電力を提供して間隙340内にプラズマを生成させる、同軸RF給電ライン380を含む。同軸RF給電ライン380は、絶縁体386によって分離された外側導体382と内側導体384を含む。内側導体384は、RFホット電極320と電気的に接続され、外側導体382は、電気的グランド又はRFホット電極とは異なる位相電源(phase power source)と電気的に接続されている。本明細書及び添付された特許請求の範囲で使用されるように、「電気的に接続され」という用語は、電気抵抗がほとんど存在しないように、構成要素が直接的に又は中間構成要素を介して接続されていることを意味する。
同軸RF給電ライン380は、外側導体382がリターン電極330で終端するように構築され得る。内側導体384は、RFホット電極320で終端し得る。ある実施形態では、ガスインレット315が、同軸フィードの外側周縁の周りのハウジングに設けられる。RFフィードは、同軸伝送回線(coaxial transmission line)の形態にあり得る。外側導体は、リターン電極に接続され/リターン電極で終端し、内側導体は、RFホット電極に接続され得る。リターン電極330は、金属ガスケットを含む任意の適切な方法によって金属ハウジングに接続され得るが、それに限定されるものではない。これは、リターン電流の対称的な形状を保証する助けとなる。全てのリターン電流は、フィードの外側導体を上に向かって流れ、RFノイズを最小化する。ある実施形態では、RFフィードが、RFホット電極に対称的なRFフィード電流を提供し、対称的なリターン電流を提供するように設計される。全てのリターン電流は、外側導体を上に向かって流れ、RFノイズを最小化し、且つ、動作に対する電源設置の影響を最小化する。
本開示の更なる実施形態は、基板を処理する方法を対象とする。全体的な方法は、図15の実施形態に関連して説明されるが、プラズマ源アセンブリは、説明される任意の実施形態又は実施形態の組み合わせであり得ることが理解されるだろう。基板60は、ガス供給アセンブリ120に隣接するサセプタアセンブリ140上に配置される。ガス供給アセンブリ120は、本開示の1以上の実施形態による、プラズマ源アセンブリを含む。ガスは、楔型のハウジング310のガスインレット315を通って、RFホット電極320とリターン電極330との間の間隙340の中へ流される。RFホット電極320は、電圧を印加されて間隙340内にプラズマを生成する。プラズマは、ハウジング310の前面312を出て流れ、基板60をプラズマに晒す。
本開示のある実施形態は、処理チャンバ内のアーチ形状の経路に沿って配置された少なくとも1つの容量結合された楔型のプラズマ源を備えた、処理チャンバ100を対象とする。本明細書及び添付された特許請求の範囲で使用されるように、「アーチ形状の経路」という用語は、円形状又は卵形状の経路の少なくとも一部分を進む任意の経路を意味する。アーチ形状の経路は、少なくとも、約5度、10度、15度、20度の経路の一部分に沿った基板の動きを含み得る。
本開示の更なる実施形態は、複数の基板を処理する方法を対象とする。複数の基板は、処理チャンバ内の基板支持体上に載置される。基板支持体は、回転して、複数の基板の各々をガス供給アセンブリにわたりパスし、基板上に膜を堆積させる。基板支持体は、回転して、基板を、プラズマ領域内にほぼ均一なプラズマを生成する容量結合された楔型のプラズマ源に隣接(最接近)するプラズマ領域へ移動させる。これは、所定の厚さの膜が形成されるまで継続される。
カルーセルの回転は、連続的又は非連続的であり得る。連続処理では、ウエハが注入器の各々に順番に晒されるように、ウエハは常に回転している。非連続処理の場合、ウエハを注入器領域へ移動させて停止させることができ、次いで、注入器間の領域へ移動させて停止させることができる。例えば、カルーセルは、ウエハが注入器間の領域から注入器を横断して移動し(又は、注入器に隣接(最接近)して停止し)、それから次の注入器間の領域へと移動して、そこで基板が再度休止し得るように、回転し得る。注入器間で休止することによって、各層堆積の間に、追加の処理(例えば、プラズマへの露出)のための時間が提供されてもよい。
プラズマの周波数は、使用されている特定の反応性種に応じて調整され得る。適切な周波数は、400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、及び100MHzを含むが、それらに限定されるものではない。
1以上の実施形態によれば、基板は、層を形成する前及び/又は後に処理を受ける。この処理は、同一のチャンバ内で又は1以上の個別の処理チャンバ内で行なわれ得る。ある実施形態では、基板が、更なる処理のために、第1のチャンバから別の第2のチャンバへ移動される。基板は、第1のチャンバから別の処理チャンバへ直接移動させることができるし、又は基板は、第1のチャンバから1以上の移送チャンバへ移動され、次いで、別の処理チャンバへ移動させることができる。したがって、処理装置は、移送ステーションと連通する複数のチャンバを備え得る。このタイプの装置は、「クラスタツール」または「クラスタシステム」などと呼ばれ得る。
概して、クラスタツールは、基板の中心測定及び配向、ガス抜き、アニール、堆積、及び/又はエッチングを含む、様々な機能を実行する複数のチャンバを備えたモジュール式のシステムである。1以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することができるロボットを収納し得る。移送チャンバは、通常、真空状態に維持され、1つのチャンバから別のチャンバへ、及び/又はクラスタツールの前端に置かれたロードロックチャンバへ、基板を往復搬送するための中間段階を提供する。本開示に対して適合され得る2つのよく知られたクラスタツールは、両方とも、カリフォルニア州サンタクララのアプライドマテリアルズ社から購入することが可能な、Centura(登録商標)とEndura(登録商標)である。しかし、チャンバの正確な配置及び組み合わせは、本明細書で説明されたプロセスの具体的なステップを実行するという目的のために変形され得る。使用可能な他の処理チャンバは、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチ、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板処理を含み得る。クラスタツールのチャンバ内で処理を実行することにより、その次の膜を堆積させる前に酸化することなく、空気中の不純物による基板の表面汚染を回避することができる。
1以上の実施形態によれば、基板は、連続的に真空又は「ロードロック」条件下にあり、1つのチャンバから次のチャンバへ移動されるときに、周囲空気に晒されない。移送チャンバは、このように真空下にあり、真空圧力下で「ポンプダウン」される。不活性ガスが、処理チャンバまたは移送チャンバ内に存在し得る。ある実施形態では、基板の表面上に層が形成された後に、反応物の一部または全部を除去するために、不活性ガスがパージガスとして使用される。1以上の実施形態によれば、反応物が堆積チャンバから移送チャンバ及び/又は処理チャンバへ移動するのを防止するために、堆積チャンバの出口でパージガスが注入される。こうして、不活性ガスの流れが、チャンバの出口でカーテンを生成する。
処理の間、基板は加熱又は冷却され得る。こうした加熱または冷却は、限定するものではないが、基板支持体(例えばサセプタ)の温度を変化させること、及び基板表面へ加熱された又は冷却されたガスを流すことを含む、任意の適切な手段により、達成することができる。ある実施形態では、基板支持体が、伝導的に基板温度を変化させるように制御することができるヒータ/クーラを含む。1以上の実施形態では、基板温度を局所的に変化させるために、使用されるガス(反応性ガスまたは不活性ガスの何れか)が加熱又は冷却される。ある実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラが、チャンバ内部で基板表面に隣接するように配置される。
基板は、処理の間に、静止又は回転させることもできる。回転する基板は、連続的に又は不連続なステップで回転され得る。例えば、基板は処理全体を通じて回転してもよく、又は基板は種々の反応性ガス又はパージガスへの露出の間に少しずつ回転してもよい。処理中に基板を(連続的に又は段階的に)回転させることは、例えば、ガス流形状における局所的可変性の影響を最小化することにより、より均一な堆積又はエッチングを生成することに役立ち得る。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の及び更なる実施形態を考案することができ、本開示の範囲は、添付の特許請求の範囲によって定められる。

Claims (15)

  1. 流路を画定するガスインレット及び前面を有するハウジングであって、前記ガスインレットが、ガスの流れを前記流路に沿って移動させ前記ハウジングを通過させて前記前面から排出することを可能にする、ハウジング、
    前記ハウジング内のRFホット電極であって、前記流路とほぼ平行に方向付けられた第1の表面を有する、RFホット電極、及び
    前記ハウジング内のリターン電極であって、前記流路とほぼ平行に方向付けられ且つ前記RFホット電極の前記第1の表面から間隔を空けられて間隙を形成する、第1の表面を有する、リターン電極を備える、プラズマ源アセンブリ。
  2. 前記流路とほぼ平行に方向付けられ且つ前記RFホット電極の第2の表面から間隔を空けられて第2の間隙を形成する、第1の表面を有する、第2のリターン電極であって、前記RFホット電極の前記第2の表面が、前記RFホット電極の前記第1の表面の反対側にある、第2のリターン電極を更に備える、請求項1に記載のプラズマ源アセンブリ。
  3. 前記リターン電極は、接地電極である、請求項1に記載のプラズマ源アセンブリ。
  4. 前記リターン電極は、前記RFホット電極とは異なる、電力供給される電極である、請求項1に記載のプラズマ源アセンブリ。
  5. 前記RFホット電極と前記リターン電極との間の前記間隙が、約4mmから約15mmまでの範囲内にある、請求項1に記載のプラズマ源アセンブリ。
  6. 前記RFホット電極と前記リターン電極との間の前記間隙が、前記ガスインレットに隣接するより狭い間隙から前記前面に隣接するより広い間隙へ変動する、請求項1に記載のプラズマ源アセンブリ。
  7. 前記RFホット電極の厚さが、前記前面に隣接するところよりも前記ガスインレットに隣接するところで大きい、請求項6に記載のプラズマ源アセンブリ。
  8. 前記RFホット電極が、約3mmから約11mmまでの範囲内にある厚さを有する、請求項1に記載のプラズマ源アセンブリ。
  9. 前記RFホット電極が、約8mmから約40mmまでの範囲内にある高さを有する、請求項1に記載のプラズマ源アセンブリ。
  10. 前記ハウジングが、楔型の形状を有する、請求項1に記載のプラズマ源アセンブリ。
  11. 前記RFホット電極と前記リターン電極が、前記ハウジングの主要な軸に沿って延在する、請求項10に記載のプラズマ源アセンブリ。
  12. 前記RFホット電極と前記リターン電極が、前記ハウジングの主要な軸と垂直に延在する、請求項10に記載のプラズマ源アセンブリ。
  13. 前記RFホット電極が、蛇のように曲がりくねった形を有し、前記リターン電極が、前記蛇のように曲がりくねった形の長さに沿ってほぼ同じ間隙を維持するような補完的形状を有する、請求項10に記載のプラズマ源アセンブリ。
  14. 前記RFホット電極が、前記ハウジングの主要な軸と垂直に延在する複数のフィンガを有する、請求項10に記載のプラズマ源アセンブリ。
  15. 前記RFホット電極の下側端部に隣接するスペーサを更に備える、請求項1に記載のプラズマ源アセンブリ。
JP2016224832A 2015-11-20 2016-11-18 ラテラルプラズマ/ラジカル源 Active JP6951068B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562258386P 2015-11-20 2015-11-20
US62/258,386 2015-11-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017124677A Division JP6518725B2 (ja) 2015-11-20 2017-06-26 ラテラルプラズマ/ラジカル源

Publications (2)

Publication Number Publication Date
JP2017135359A true JP2017135359A (ja) 2017-08-03
JP6951068B2 JP6951068B2 (ja) 2021-10-20

Family

ID=58719808

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016224832A Active JP6951068B2 (ja) 2015-11-20 2016-11-18 ラテラルプラズマ/ラジカル源
JP2017124677A Active JP6518725B2 (ja) 2015-11-20 2017-06-26 ラテラルプラズマ/ラジカル源

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017124677A Active JP6518725B2 (ja) 2015-11-20 2017-06-26 ラテラルプラズマ/ラジカル源

Country Status (4)

Country Link
US (1) US10121655B2 (ja)
JP (2) JP6951068B2 (ja)
KR (2) KR102656575B1 (ja)
CN (2) CN107338423B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148626A1 (en) * 2015-11-20 2017-05-25 Applied Materials, Inc. Lateral Plasma/Radical Source
JP2021507517A (ja) * 2017-12-16 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低周波バイアスを利用した誘電体膜の形状選択的な堆積

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
TWI733021B (zh) 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
WO2019118808A1 (en) 2017-12-15 2019-06-20 Applied Materials, Inc. Shaped electrodes for improved plasma exposure from vertical plasma source
US11282676B2 (en) * 2018-06-18 2022-03-22 Applied Materials, Inc. Paired dynamic parallel plate capacitively coupled plasmas
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003338399A (ja) * 2002-05-21 2003-11-28 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2005333096A (ja) * 2003-06-25 2005-12-02 Sekisui Chem Co Ltd 表面処理装置及び方法
JP2008172168A (ja) * 2007-01-15 2008-07-24 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2009105030A (ja) * 2007-10-22 2009-05-14 New Power Plasma Co Ltd 容量結合プラズマ反応器
JP2010103188A (ja) * 2008-10-21 2010-05-06 Mitsubishi Electric Corp 大気圧プラズマ処理装置
JP2014509066A (ja) * 2011-01-13 2014-04-10 クックジェ エレクトリック コリア カンパニー リミテッド 半導体製造に使用される噴射部材及びそれを有するプラズマ処理装置

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
JP3644036B2 (ja) 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6300720B1 (en) * 1997-04-28 2001-10-09 Daniel Birx Plasma gun and methods for the use thereof
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP2000299367A (ja) 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
JP3722733B2 (ja) * 2001-09-27 2005-11-30 積水化学工業株式会社 放電プラズマ処理装置
US20030113188A1 (en) 2001-12-17 2003-06-19 Applied Materials, Inc. Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6824343B2 (en) 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
EP1570525B1 (en) 2002-12-09 2015-12-02 Imec Method for forming a dielectric stack
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7202166B2 (en) 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7479454B2 (en) 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US8460945B2 (en) 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20070264106A1 (en) 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7737051B2 (en) 2004-03-10 2010-06-15 Tokyo Electron Limited Silicon germanium surface layer for high-k dielectric integration
US20050211264A1 (en) 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US7604841B2 (en) 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
US7419702B2 (en) 2004-03-31 2008-09-02 Tokyo Electron Limited Method for processing a substrate
US7144813B2 (en) 2004-11-12 2006-12-05 Semitool, Inc. Method and apparatus for thermally processing microelectronic workpieces
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
TW200715448A (en) 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070082130A1 (en) 2005-10-07 2007-04-12 Asm Japan K.K. Method for foming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8580034B2 (en) 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
JP4974585B2 (ja) 2006-05-17 2012-07-11 東京エレクトロン株式会社 窒素濃度の測定方法、シリコン酸窒化膜の形成方法および半導体装置の製造方法
JP4245012B2 (ja) 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8168548B2 (en) 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
JP5008957B2 (ja) 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
WO2008081723A1 (ja) 2006-12-28 2008-07-10 Tokyo Electron Limited 絶縁膜の形成方法および半導体装置の製造方法
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
US8372739B2 (en) 2007-03-26 2013-02-12 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7494937B2 (en) 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
JP2009016782A (ja) 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7935640B2 (en) 2007-08-10 2011-05-03 Tokyo Electron Limited Method for forming a damascene structure
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
JP5202372B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US8119540B2 (en) 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20100062592A1 (en) 2008-09-09 2010-03-11 Tokyo Electron Limited Method for forming gate spacers for semiconductor devices
US8058728B2 (en) 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100162955A1 (en) 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
JP5223804B2 (ja) 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2011040561A (ja) 2009-08-11 2011-02-24 Tokyo Electron Ltd 半導体装置の製造方法。
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
JP5250600B2 (ja) 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US8576755B2 (en) 2010-01-11 2013-11-05 Qualcomm Incorporated Apparatus and method for relay transition time
US8673725B2 (en) 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5675331B2 (ja) 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012174976A (ja) 2011-02-23 2012-09-10 Tokyo Electron Ltd パターンの形成方法
US20120255678A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
KR101243742B1 (ko) * 2011-06-24 2013-03-13 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치
CN102921674A (zh) * 2011-08-10 2013-02-13 中国科学院微电子研究所 一种新型的水冷常压等离子体自由基清洗喷枪
JP6000665B2 (ja) 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9862554B2 (en) 2011-10-26 2018-01-09 Brooks Automation, Inc. Semiconductor wafer handling and transport
US9117636B2 (en) * 2013-02-11 2015-08-25 Colorado State University Research Foundation Plasma catalyst chemical reaction apparatus
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9505503B2 (en) * 2013-03-27 2016-11-29 Lockheed Martin Corporation Reactants sprayed into plasma flow for rocket propulsion
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003338399A (ja) * 2002-05-21 2003-11-28 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2005333096A (ja) * 2003-06-25 2005-12-02 Sekisui Chem Co Ltd 表面処理装置及び方法
JP2008172168A (ja) * 2007-01-15 2008-07-24 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2009105030A (ja) * 2007-10-22 2009-05-14 New Power Plasma Co Ltd 容量結合プラズマ反応器
JP2010103188A (ja) * 2008-10-21 2010-05-06 Mitsubishi Electric Corp 大気圧プラズマ処理装置
JP2014509066A (ja) * 2011-01-13 2014-04-10 クックジェ エレクトリック コリア カンパニー リミテッド 半導体製造に使用される噴射部材及びそれを有するプラズマ処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148626A1 (en) * 2015-11-20 2017-05-25 Applied Materials, Inc. Lateral Plasma/Radical Source
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP2021507517A (ja) * 2017-12-16 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低周波バイアスを利用した誘電体膜の形状選択的な堆積
JP7002655B2 (ja) 2017-12-16 2022-02-04 アプライド マテリアルズ インコーポレイテッド 低周波バイアスを利用した誘電体膜の形状選択的な堆積

Also Published As

Publication number Publication date
CN106783499B (zh) 2021-01-22
KR102656575B1 (ko) 2024-04-09
CN106783499A (zh) 2017-05-31
JP6951068B2 (ja) 2021-10-20
CN107338423A (zh) 2017-11-10
JP2017224824A (ja) 2017-12-21
KR20170106250A (ko) 2017-09-20
US10121655B2 (en) 2018-11-06
KR20170066229A (ko) 2017-06-14
JP6518725B2 (ja) 2019-05-22
US20170148626A1 (en) 2017-05-25
CN107338423B (zh) 2020-06-16

Similar Documents

Publication Publication Date Title
JP6518725B2 (ja) ラテラルプラズマ/ラジカル源
US20210210312A1 (en) Symmetric Plasma Source to Generate Pie-Shaped Treatment
US11315763B2 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
US11315769B2 (en) Plasma source for rotating susceptor
JP6892439B2 (ja) スロット付きグランドプレートを有するプラズマモジュール
WO2019118812A1 (en) Geometrically selective deposition of dielectric films utilizing low frequency bias
US20230307213A1 (en) Vertically adjustable plasma source

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191113

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210330

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210824

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210924

R150 Certificate of patent or registration of utility model

Ref document number: 6951068

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150