JP2017135359A - ラテラルプラズマ/ラジカル源 - Google Patents
ラテラルプラズマ/ラジカル源 Download PDFInfo
- Publication number
- JP2017135359A JP2017135359A JP2016224832A JP2016224832A JP2017135359A JP 2017135359 A JP2017135359 A JP 2017135359A JP 2016224832 A JP2016224832 A JP 2016224832A JP 2016224832 A JP2016224832 A JP 2016224832A JP 2017135359 A JP2017135359 A JP 2017135359A
- Authority
- JP
- Japan
- Prior art keywords
- electrode
- gas
- plasma source
- hot electrode
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N33/00—Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
- G01N33/48—Biological material, e.g. blood, urine; Haemocytometers
- G01N33/50—Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
- G01N33/5005—Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells
- G01N33/5008—Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics
- G01N33/5011—Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving human or animal cells for testing or evaluating the effect of chemical or biological compounds, e.g. drugs, cosmetics for testing antineoplastic activity
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61K—PREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
- A61K31/00—Medicinal preparations containing organic active ingredients
- A61K31/33—Heterocyclic compounds
- A61K31/335—Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin
- A61K31/34—Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide
- A61K31/343—Heterocyclic compounds having oxygen as the only ring hetero atom, e.g. fungichromin having five-membered rings with one oxygen as the only ring hetero atom, e.g. isosorbide condensed with a carbocyclic ring, e.g. coumaran, bufuralol, befunolol, clobenfurol, amiodarone
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61P—SPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
- A61P35/00—Antineoplastic agents
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
- C23C16/45551—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32541—Shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68764—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68771—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N2510/00—Detection of programmed cell death, i.e. apoptosis
Abstract
Description
Claims (15)
- 流路を画定するガスインレット及び前面を有するハウジングであって、前記ガスインレットが、ガスの流れを前記流路に沿って移動させ前記ハウジングを通過させて前記前面から排出することを可能にする、ハウジング、
前記ハウジング内のRFホット電極であって、前記流路とほぼ平行に方向付けられた第1の表面を有する、RFホット電極、及び
前記ハウジング内のリターン電極であって、前記流路とほぼ平行に方向付けられ且つ前記RFホット電極の前記第1の表面から間隔を空けられて間隙を形成する、第1の表面を有する、リターン電極を備える、プラズマ源アセンブリ。 - 前記流路とほぼ平行に方向付けられ且つ前記RFホット電極の第2の表面から間隔を空けられて第2の間隙を形成する、第1の表面を有する、第2のリターン電極であって、前記RFホット電極の前記第2の表面が、前記RFホット電極の前記第1の表面の反対側にある、第2のリターン電極を更に備える、請求項1に記載のプラズマ源アセンブリ。
- 前記リターン電極は、接地電極である、請求項1に記載のプラズマ源アセンブリ。
- 前記リターン電極は、前記RFホット電極とは異なる、電力供給される電極である、請求項1に記載のプラズマ源アセンブリ。
- 前記RFホット電極と前記リターン電極との間の前記間隙が、約4mmから約15mmまでの範囲内にある、請求項1に記載のプラズマ源アセンブリ。
- 前記RFホット電極と前記リターン電極との間の前記間隙が、前記ガスインレットに隣接するより狭い間隙から前記前面に隣接するより広い間隙へ変動する、請求項1に記載のプラズマ源アセンブリ。
- 前記RFホット電極の厚さが、前記前面に隣接するところよりも前記ガスインレットに隣接するところで大きい、請求項6に記載のプラズマ源アセンブリ。
- 前記RFホット電極が、約3mmから約11mmまでの範囲内にある厚さを有する、請求項1に記載のプラズマ源アセンブリ。
- 前記RFホット電極が、約8mmから約40mmまでの範囲内にある高さを有する、請求項1に記載のプラズマ源アセンブリ。
- 前記ハウジングが、楔型の形状を有する、請求項1に記載のプラズマ源アセンブリ。
- 前記RFホット電極と前記リターン電極が、前記ハウジングの主要な軸に沿って延在する、請求項10に記載のプラズマ源アセンブリ。
- 前記RFホット電極と前記リターン電極が、前記ハウジングの主要な軸と垂直に延在する、請求項10に記載のプラズマ源アセンブリ。
- 前記RFホット電極が、蛇のように曲がりくねった形を有し、前記リターン電極が、前記蛇のように曲がりくねった形の長さに沿ってほぼ同じ間隙を維持するような補完的形状を有する、請求項10に記載のプラズマ源アセンブリ。
- 前記RFホット電極が、前記ハウジングの主要な軸と垂直に延在する複数のフィンガを有する、請求項10に記載のプラズマ源アセンブリ。
- 前記RFホット電極の下側端部に隣接するスペーサを更に備える、請求項1に記載のプラズマ源アセンブリ。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562258386P | 2015-11-20 | 2015-11-20 | |
US62/258,386 | 2015-11-20 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017124677A Division JP6518725B2 (ja) | 2015-11-20 | 2017-06-26 | ラテラルプラズマ/ラジカル源 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2017135359A true JP2017135359A (ja) | 2017-08-03 |
JP6951068B2 JP6951068B2 (ja) | 2021-10-20 |
Family
ID=58719808
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016224832A Active JP6951068B2 (ja) | 2015-11-20 | 2016-11-18 | ラテラルプラズマ/ラジカル源 |
JP2017124677A Active JP6518725B2 (ja) | 2015-11-20 | 2017-06-26 | ラテラルプラズマ/ラジカル源 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017124677A Active JP6518725B2 (ja) | 2015-11-20 | 2017-06-26 | ラテラルプラズマ/ラジカル源 |
Country Status (4)
Country | Link |
---|---|
US (1) | US10121655B2 (ja) |
JP (2) | JP6951068B2 (ja) |
KR (2) | KR102656575B1 (ja) |
CN (2) | CN107338423B (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20170148626A1 (en) * | 2015-11-20 | 2017-05-25 | Applied Materials, Inc. | Lateral Plasma/Radical Source |
JP2021507517A (ja) * | 2017-12-16 | 2021-02-22 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低周波バイアスを利用した誘電体膜の形状選択的な堆積 |
Families Citing this family (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SG10201810390TA (en) * | 2014-05-21 | 2018-12-28 | Applied Materials Inc | Thermal processing susceptor |
WO2016204974A1 (en) * | 2015-06-17 | 2016-12-22 | Applied Materials, Inc. | Gas control in process chamber |
KR102662705B1 (ko) * | 2016-01-24 | 2024-04-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스 |
TWI733021B (zh) | 2017-05-15 | 2021-07-11 | 美商應用材料股份有限公司 | 電漿源組件、處理腔室與處理基板的方法 |
WO2019118808A1 (en) | 2017-12-15 | 2019-06-20 | Applied Materials, Inc. | Shaped electrodes for improved plasma exposure from vertical plasma source |
US11282676B2 (en) * | 2018-06-18 | 2022-03-22 | Applied Materials, Inc. | Paired dynamic parallel plate capacitively coupled plasmas |
US11705312B2 (en) | 2020-12-26 | 2023-07-18 | Applied Materials, Inc. | Vertically adjustable plasma source |
KR20230033101A (ko) * | 2021-08-27 | 2023-03-08 | 삼성전자주식회사 | 플라즈마 발생 장치 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003338399A (ja) * | 2002-05-21 | 2003-11-28 | Sekisui Chem Co Ltd | 放電プラズマ処理装置 |
JP2005333096A (ja) * | 2003-06-25 | 2005-12-02 | Sekisui Chem Co Ltd | 表面処理装置及び方法 |
JP2008172168A (ja) * | 2007-01-15 | 2008-07-24 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP2009105030A (ja) * | 2007-10-22 | 2009-05-14 | New Power Plasma Co Ltd | 容量結合プラズマ反応器 |
JP2010103188A (ja) * | 2008-10-21 | 2010-05-06 | Mitsubishi Electric Corp | 大気圧プラズマ処理装置 |
JP2014509066A (ja) * | 2011-01-13 | 2014-04-10 | クックジェ エレクトリック コリア カンパニー リミテッド | 半導体製造に使用される噴射部材及びそれを有するプラズマ処理装置 |
Family Cites Families (127)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI119941B (fi) | 1999-10-15 | 2009-05-15 | Asm Int | Menetelmä nanolaminaattien valmistamiseksi |
JP3644036B2 (ja) | 1995-02-15 | 2005-04-27 | 株式会社日立製作所 | 半導体装置の製造方法および半導体製造装置 |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6172324B1 (en) * | 1997-04-28 | 2001-01-09 | Science Research Laboratory, Inc. | Plasma focus radiation source |
US5866871A (en) * | 1997-04-28 | 1999-02-02 | Birx; Daniel | Plasma gun and methods for the use thereof |
US6300720B1 (en) * | 1997-04-28 | 2001-10-09 | Daniel Birx | Plasma gun and methods for the use thereof |
US6071055A (en) | 1997-09-30 | 2000-06-06 | Applied Materials, Inc. | Front end vacuum processing environment |
US6235634B1 (en) | 1997-10-08 | 2001-05-22 | Applied Komatsu Technology, Inc. | Modular substrate processing system |
EP2099061A3 (en) | 1997-11-28 | 2013-06-12 | Mattson Technology, Inc. | Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing |
FI108375B (fi) | 1998-09-11 | 2002-01-15 | Asm Microchemistry Oy | Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi |
US6183564B1 (en) | 1998-11-12 | 2001-02-06 | Tokyo Electron Limited | Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system |
US6106634A (en) | 1999-02-11 | 2000-08-22 | Applied Materials, Inc. | Methods and apparatus for reducing particle contamination during wafer transport |
JP2000299367A (ja) | 1999-04-15 | 2000-10-24 | Tokyo Electron Ltd | 処理装置及び被処理体の搬送方法 |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
EP1282911B1 (en) | 2000-05-15 | 2018-09-05 | Asm International N.V. | Process for producing integrated circuits |
US6878628B2 (en) | 2000-05-15 | 2005-04-12 | Asm International Nv | In situ reduction of copper oxide prior to silicon carbide deposition |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
KR100386034B1 (ko) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
KR101027485B1 (ko) | 2001-02-12 | 2011-04-06 | 에이에스엠 아메리카, 인코포레이티드 | 반도체 박막 증착을 위한 개선된 공정 |
JP3722733B2 (ja) * | 2001-09-27 | 2005-11-30 | 積水化学工業株式会社 | 放電プラズマ処理装置 |
US20030113188A1 (en) | 2001-12-17 | 2003-06-19 | Applied Materials, Inc. | Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool |
US7006888B2 (en) | 2002-01-14 | 2006-02-28 | Applied Materials, Inc. | Semiconductor wafer preheating |
US6824816B2 (en) | 2002-01-29 | 2004-11-30 | Asm International N.V. | Process for producing metal thin films by ALD |
US6824343B2 (en) | 2002-02-22 | 2004-11-30 | Applied Materials, Inc. | Substrate support |
US7323422B2 (en) | 2002-03-05 | 2008-01-29 | Asm International N.V. | Dielectric layers and methods of forming the same |
US7297641B2 (en) | 2002-07-19 | 2007-11-20 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
EP1570525B1 (en) | 2002-12-09 | 2015-12-02 | Imec | Method for forming a dielectric stack |
US7092287B2 (en) | 2002-12-18 | 2006-08-15 | Asm International N.V. | Method of fabricating silicon nitride nanodots |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US7202166B2 (en) | 2003-08-04 | 2007-04-10 | Asm America, Inc. | Surface preparation prior to deposition on germanium |
US6818517B1 (en) | 2003-08-29 | 2004-11-16 | Asm International N.V. | Methods of depositing two or more layers on a substrate in situ |
US7479454B2 (en) | 2003-09-30 | 2009-01-20 | Tokyo Electron Limited | Method and processing system for monitoring status of system components |
US8460945B2 (en) | 2003-09-30 | 2013-06-11 | Tokyo Electron Limited | Method for monitoring status of system components |
US8029226B2 (en) | 2003-11-10 | 2011-10-04 | Brooks Automation, Inc. | Semiconductor manufacturing systems |
WO2005048313A2 (en) | 2003-11-10 | 2005-05-26 | Blueshift Technologies, Inc. | Methods and systems for handling workpieces in a vacuum-based semiconductor handling system |
US20070264106A1 (en) | 2003-11-10 | 2007-11-15 | Van Der Meulen Peter | Robotic components for semiconductor manufacturing |
US20070269297A1 (en) | 2003-11-10 | 2007-11-22 | Meulen Peter V D | Semiconductor wafer handling and transport |
US7737051B2 (en) | 2004-03-10 | 2010-06-15 | Tokyo Electron Limited | Silicon germanium surface layer for high-k dielectric integration |
US20050211264A1 (en) | 2004-03-25 | 2005-09-29 | Tokyo Electron Limited Of Tbs Broadcast Center | Method and processing system for plasma-enhanced cleaning of system components |
US7604841B2 (en) | 2004-03-31 | 2009-10-20 | Tokyo Electron Limited | Method for extending time between chamber cleaning processes |
US7419702B2 (en) | 2004-03-31 | 2008-09-02 | Tokyo Electron Limited | Method for processing a substrate |
US7144813B2 (en) | 2004-11-12 | 2006-12-05 | Semitool, Inc. | Method and apparatus for thermally processing microelectronic workpieces |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4669679B2 (ja) | 2004-07-29 | 2011-04-13 | 東京エレクトロン株式会社 | 窒化珪素膜の製造方法及び半導体装置の製造方法 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7166531B1 (en) | 2005-01-31 | 2007-01-23 | Novellus Systems, Inc. | VLSI fabrication processes for introducing pores into dielectric materials |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US20060213437A1 (en) | 2005-03-28 | 2006-09-28 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system |
TW200715448A (en) | 2005-07-25 | 2007-04-16 | Canon Anelva Corp | Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7785658B2 (en) | 2005-10-07 | 2010-08-31 | Asm Japan K.K. | Method for forming metal wiring structure |
US20070082130A1 (en) | 2005-10-07 | 2007-04-12 | Asm Japan K.K. | Method for foming metal wiring structure |
US20070116888A1 (en) | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Method and system for performing different deposition processes within a single chamber |
US7897217B2 (en) | 2005-11-18 | 2011-03-01 | Tokyo Electron Limited | Method and system for performing plasma enhanced atomic layer deposition |
JP2007242648A (ja) | 2006-03-04 | 2007-09-20 | Masato Toshima | 基板の処理装置 |
US8580034B2 (en) | 2006-03-31 | 2013-11-12 | Tokyo Electron Limited | Low-temperature dielectric formation for devices with strained germanium-containing channels |
JP4974585B2 (ja) | 2006-05-17 | 2012-07-11 | 東京エレクトロン株式会社 | 窒素濃度の測定方法、シリコン酸窒化膜の形成方法および半導体装置の製造方法 |
JP4245012B2 (ja) | 2006-07-13 | 2009-03-25 | 東京エレクトロン株式会社 | 処理装置及びこのクリーニング方法 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US8168548B2 (en) | 2006-09-29 | 2012-05-01 | Tokyo Electron Limited | UV-assisted dielectric formation for devices with strained germanium-containing layers |
JP5008957B2 (ja) | 2006-11-30 | 2012-08-22 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム |
US20090014423A1 (en) * | 2007-07-10 | 2009-01-15 | Xuegeng Li | Concentric flow-through plasma reactor and methods therefor |
WO2008081723A1 (ja) | 2006-12-28 | 2008-07-10 | Tokyo Electron Limited | 絶縁膜の形成方法および半導体装置の製造方法 |
US7988813B2 (en) | 2007-03-12 | 2011-08-02 | Tokyo Electron Limited | Dynamic control of process chemistry for improved within-substrate process uniformity |
US8372739B2 (en) | 2007-03-26 | 2013-02-12 | Tokyo Electron Limited | Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7494937B2 (en) | 2007-03-30 | 2009-02-24 | Tokyo Electron Limited | Strained metal silicon nitride films and method of forming |
US7531452B2 (en) | 2007-03-30 | 2009-05-12 | Tokyo Electron Limited | Strained metal silicon nitride films and method of forming |
JP2009016782A (ja) | 2007-06-04 | 2009-01-22 | Tokyo Electron Ltd | 成膜方法及び成膜装置 |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2009027134A (ja) | 2007-06-21 | 2009-02-05 | Tokyo Electron Ltd | Mos型半導体メモリ装置 |
US7910497B2 (en) | 2007-07-30 | 2011-03-22 | Applied Materials, Inc. | Method of forming dielectric layers on a substrate and apparatus therefor |
US7935640B2 (en) | 2007-08-10 | 2011-05-03 | Tokyo Electron Limited | Method for forming a damascene structure |
JP5098882B2 (ja) * | 2007-08-31 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8247030B2 (en) | 2008-03-07 | 2012-08-21 | Tokyo Electron Limited | Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer |
JP5202372B2 (ja) | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置 |
US8119540B2 (en) | 2008-03-28 | 2012-02-21 | Tokyo Electron Limited | Method of forming a stressed passivation film using a microwave-assisted oxidation process |
US7807586B2 (en) | 2008-03-28 | 2010-10-05 | Tokyo Electron Limited | Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
US20100062592A1 (en) | 2008-09-09 | 2010-03-11 | Tokyo Electron Limited | Method for forming gate spacers for semiconductor devices |
US8058728B2 (en) | 2008-09-30 | 2011-11-15 | Tokyo Electron Limited | Diffusion barrier and adhesion layer for an interconnect structure |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US20100162955A1 (en) | 2008-12-31 | 2010-07-01 | Lawrence Chung-Lai Lei | Systems and methods for substrate processing |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US8142862B2 (en) | 2009-01-21 | 2012-03-27 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US8557702B2 (en) | 2009-02-02 | 2013-10-15 | Asm America, Inc. | Plasma-enhanced atomic layers deposition of conductive material over dielectric layers |
US20100227059A1 (en) | 2009-03-04 | 2010-09-09 | Tokyo Electron Limited | Film deposition apparatus, film deposition method, and computer readable storage medium |
US8313994B2 (en) | 2009-03-26 | 2012-11-20 | Tokyo Electron Limited | Method for forming a high-K gate stack with reduced effective oxide thickness |
JP5223804B2 (ja) | 2009-07-22 | 2013-06-26 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2011040561A (ja) | 2009-08-11 | 2011-02-24 | Tokyo Electron Ltd | 半導体装置の製造方法。 |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8236700B2 (en) | 2009-08-17 | 2012-08-07 | Tokyo Electron Limited | Method for patterning an ARC layer using SF6 and a hydrocarbon gas |
JP5346904B2 (ja) | 2009-11-27 | 2013-11-20 | 東京エレクトロン株式会社 | 縦型成膜装置およびその使用方法 |
JP5250600B2 (ja) | 2009-11-27 | 2013-07-31 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US8202803B2 (en) | 2009-12-11 | 2012-06-19 | Tokyo Electron Limited | Method to remove capping layer of insulation dielectric in interconnect structures |
US8576755B2 (en) | 2010-01-11 | 2013-11-05 | Qualcomm Incorporated | Apparatus and method for relay transition time |
US8673725B2 (en) | 2010-03-31 | 2014-03-18 | Tokyo Electron Limited | Multilayer sidewall spacer for seam protection of a patterned structure |
US8435901B2 (en) | 2010-06-11 | 2013-05-07 | Tokyo Electron Limited | Method of selectively etching an insulation stack for a metal interconnect |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
JP5625624B2 (ja) | 2010-08-27 | 2014-11-19 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US8470187B2 (en) | 2010-11-05 | 2013-06-25 | Asm Japan K.K. | Method of depositing film with tailored comformality |
JP5689398B2 (ja) | 2010-12-21 | 2015-03-25 | 東京エレクトロン株式会社 | 窒化シリコン膜の成膜方法及び成膜装置 |
JP5675331B2 (ja) | 2010-12-27 | 2015-02-25 | 東京エレクトロン株式会社 | トレンチの埋め込み方法 |
JP2012142386A (ja) | 2010-12-28 | 2012-07-26 | Elpida Memory Inc | 窒化膜の形成方法 |
US8465811B2 (en) | 2011-01-28 | 2013-06-18 | Asm Japan K.K. | Method of depositing film by atomic layer deposition with pulse-time-modulated plasma |
US8329599B2 (en) | 2011-02-18 | 2012-12-11 | Asm Japan K.K. | Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen |
JP2012174976A (ja) | 2011-02-23 | 2012-09-10 | Tokyo Electron Ltd | パターンの形成方法 |
US20120255678A1 (en) | 2011-04-11 | 2012-10-11 | Lam Research Corporation | Multi-Frequency Hollow Cathode System for Substrate Plasma Processing |
KR101243742B1 (ko) * | 2011-06-24 | 2013-03-13 | 국제엘렉트릭코리아 주식회사 | 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치 |
CN102921674A (zh) * | 2011-08-10 | 2013-02-13 | 中国科学院微电子研究所 | 一种新型的水冷常压等离子体自由基清洗喷枪 |
JP6000665B2 (ja) | 2011-09-26 | 2016-10-05 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置及びプログラム |
US9862554B2 (en) | 2011-10-26 | 2018-01-09 | Brooks Automation, Inc. | Semiconductor wafer handling and transport |
US9117636B2 (en) * | 2013-02-11 | 2015-08-25 | Colorado State University Research Foundation | Plasma catalyst chemical reaction apparatus |
US10573511B2 (en) | 2013-03-13 | 2020-02-25 | Asm Ip Holding B.V. | Methods for forming silicon nitride thin films |
US9505503B2 (en) * | 2013-03-27 | 2016-11-29 | Lockheed Martin Corporation | Reactants sprayed into plasma flow for rocket propulsion |
US10121655B2 (en) * | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
KR102662705B1 (ko) * | 2016-01-24 | 2024-04-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스 |
KR102158668B1 (ko) * | 2016-04-22 | 2020-09-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털 |
-
2016
- 2016-11-16 US US15/353,315 patent/US10121655B2/en not_active Expired - Fee Related
- 2016-11-18 CN CN201710545902.7A patent/CN107338423B/zh active Active
- 2016-11-18 JP JP2016224832A patent/JP6951068B2/ja active Active
- 2016-11-18 KR KR1020160154266A patent/KR102656575B1/ko active IP Right Grant
- 2016-11-18 CN CN201611028706.4A patent/CN106783499B/zh active Active
-
2017
- 2017-06-26 JP JP2017124677A patent/JP6518725B2/ja active Active
- 2017-07-18 KR KR1020170091115A patent/KR20170106250A/ko not_active Application Discontinuation
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003338399A (ja) * | 2002-05-21 | 2003-11-28 | Sekisui Chem Co Ltd | 放電プラズマ処理装置 |
JP2005333096A (ja) * | 2003-06-25 | 2005-12-02 | Sekisui Chem Co Ltd | 表面処理装置及び方法 |
JP2008172168A (ja) * | 2007-01-15 | 2008-07-24 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP2009105030A (ja) * | 2007-10-22 | 2009-05-14 | New Power Plasma Co Ltd | 容量結合プラズマ反応器 |
JP2010103188A (ja) * | 2008-10-21 | 2010-05-06 | Mitsubishi Electric Corp | 大気圧プラズマ処理装置 |
JP2014509066A (ja) * | 2011-01-13 | 2014-04-10 | クックジェ エレクトリック コリア カンパニー リミテッド | 半導体製造に使用される噴射部材及びそれを有するプラズマ処理装置 |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20170148626A1 (en) * | 2015-11-20 | 2017-05-25 | Applied Materials, Inc. | Lateral Plasma/Radical Source |
US10121655B2 (en) * | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
JP2021507517A (ja) * | 2017-12-16 | 2021-02-22 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低周波バイアスを利用した誘電体膜の形状選択的な堆積 |
JP7002655B2 (ja) | 2017-12-16 | 2022-02-04 | アプライド マテリアルズ インコーポレイテッド | 低周波バイアスを利用した誘電体膜の形状選択的な堆積 |
Also Published As
Publication number | Publication date |
---|---|
CN106783499B (zh) | 2021-01-22 |
KR102656575B1 (ko) | 2024-04-09 |
CN106783499A (zh) | 2017-05-31 |
JP6951068B2 (ja) | 2021-10-20 |
CN107338423A (zh) | 2017-11-10 |
JP2017224824A (ja) | 2017-12-21 |
KR20170106250A (ko) | 2017-09-20 |
US10121655B2 (en) | 2018-11-06 |
KR20170066229A (ko) | 2017-06-14 |
JP6518725B2 (ja) | 2019-05-22 |
US20170148626A1 (en) | 2017-05-25 |
CN107338423B (zh) | 2020-06-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6518725B2 (ja) | ラテラルプラズマ/ラジカル源 | |
US20210210312A1 (en) | Symmetric Plasma Source to Generate Pie-Shaped Treatment | |
US11315763B2 (en) | Shaped electrodes for improved plasma exposure from vertical plasma source | |
US11315769B2 (en) | Plasma source for rotating susceptor | |
JP6892439B2 (ja) | スロット付きグランドプレートを有するプラズマモジュール | |
WO2019118812A1 (en) | Geometrically selective deposition of dielectric films utilizing low frequency bias | |
US20230307213A1 (en) | Vertically adjustable plasma source |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20191113 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20201214 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20210105 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210330 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20210824 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20210924 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6951068 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |