JP7002655B2 - 低周波バイアスを利用した誘電体膜の形状選択的な堆積 - Google Patents

低周波バイアスを利用した誘電体膜の形状選択的な堆積 Download PDF

Info

Publication number
JP7002655B2
JP7002655B2 JP2020532822A JP2020532822A JP7002655B2 JP 7002655 B2 JP7002655 B2 JP 7002655B2 JP 2020532822 A JP2020532822 A JP 2020532822A JP 2020532822 A JP2020532822 A JP 2020532822A JP 7002655 B2 JP7002655 B2 JP 7002655B2
Authority
JP
Japan
Prior art keywords
gas
plasma
assembly
susceptor assembly
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020532822A
Other languages
English (en)
Other versions
JP2021507517A (ja
Inventor
賢一 大野
啓一 田中
リーチュン シア,
努 田中
ドミートリイ エー. ジルノ,
マリオ ディー. シルベッティー,
ジョン シー. フォスター,
ラケシュ ラマダ,
マイク マータ,
アレキサンダー ヴィー. ガラチチェンコ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021507517A publication Critical patent/JP2021507517A/ja
Application granted granted Critical
Publication of JP7002655B2 publication Critical patent/JP7002655B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Description

本開示の実施形態は、概して、基板を処理する装置に関する。より具体的には、本開示の実施形態は、バッチ処理チャンバにより膜を堆積させ、選択的にエッチングを施すための装置及び方法に関する。
マイクロエレクトロニクス技術の進歩により、三次元(3D:three-dimensional)構造の暴露される表面を被覆するコンフォーマルな膜が必要とされている。SiO、SiN、及びSiCNといった誘電体膜の原子層堆積(ALD:atomic layer deposition)の技術は、上記構造を後続の処理ステップから保護するための、ビア、コンタクトホール、トレンチ、及び3D NANDの内部のコンフォーマルな被覆のための産業界の選択肢となっている。
ALD膜では通常、水平面及び垂直面を被覆することが目指されているが、上記構造体の側壁を選択的に被覆して、上面及び底面、若しくは水平面を被覆しない状態に置くこと、又は反対に、水平面のみを被覆して側壁を被覆しないことが新たな関心となっている。
このような技術によって、後続のプロセスにおいて被覆されていない表面の下層の材料の選択的な変更が可能となり、その一方で、被覆されている平面が、材料又は限界寸法(CD:critical dimension)の変化から護られる。例えば、エピタキシャルSi又はSiGeより上方のコンタクトホール内での側壁の選択的堆積によって、底部のSi又はSiGeのケイ素化が可能となり、その後に表面の酸化物除去が可能となるが、側壁は、これらのプロセスを通して保護されている。
現在では、側壁の堆積を達成するために、コンフォーマルなALD膜が堆積され、続いて、その場でドライエッチング処理が施される。しかしながら、この処理フローについて幾つかの問題が確認されている。上記問題の中には、ドライエッチング処理が、底部の下層へのエッチングを防止するための終点制御が困難であることに起因する、プラズマ損傷を介した素子の劣化を引き起こすという事実がある。さらに、現在の処理では、当該処理を実現するために高価なエッチングツールが必要となる。
従って、当該技術分野では、更なる機器/ステップ及びコストを最小に抑える側壁の選択的堆積のための装置及び方法に対する必要性が存在する。
本開示の1つ以上の実施形態は、処理チャンバを対象とする。処理チャンバは、
上面及び中央軸を有するセプタアセンブリであって、上面に載置された複数の基板がサセプタアセンブリの中央軸の周りで回転させられる、上面及び中央軸を有するサセプタアセンブリと、
複数の処理領域を含むガス分散アセンブリであって、各処理領域は、ガスカーテンによって隣り合う処理領域から分離され、処理領域の少なくとも1つは、主堆積生成周波数で作動する主堆積生成器を備えたプラズマ処理領域を含む、ガス分散アセンブリと、
サセプタアセンブリに低周波バイアスを印加するために、サセプタアセンブリに電気的に接続された低周波バイアス生成器と
を備える。
本開示の追加的な実施形態は、膜を堆積させる方法を対象とする。本方法は、
サセプタアセンブリの上面に少なくとも1つの基板を載置することと、
複数の処理領域へとガスの流れを供給することであって、各処理領域は、ガスカーテンによって隣り合う処理領域から分離され、複数の処理領域は、複数の熱処理領域を含み、複数の処理領域の少なくとも1つは、主堆積生成器が接続されているプラズマ処理領域である、複数の処理領域へとガスの流れを供給することと、
プラズマ処理領域内でプラズマを形成するために主堆積生成器に電力を供給することと、
サセプタアセンブリに電気的に接続された低周波バイアス生成器に電力を供給して、サセプタアセンブリに低周波バイアスを印加すること
を含む。
本発明の更なる実施形態は、膜を堆積させる方法を対象とする。本方法は、
処理チャンバ内のサセプタアセンブリの上面に少なくとも1つの基板を載置することと、
第1の数の堆積サイクルに基板表面を暴露することであって、
各堆積サイクルは、
処理チャンバの第1の処理領域内で第1の反応性ガスに基板表面を暴露すること、
第1の処理領域からガスカーテンを通して第2の処理領域へと基板表面を移動させること、
第2の処理領域内で第2の反応性ガスに基板表面を暴露すること、
第2の処理領域からガスカーテンを通してプラズマ処理領域へと基板表面を移動させること、及び、
プラズマ処理領域内でプラズマ処理に基板表面を暴露すること
を含む、第1の数の堆積サイクルに基板表面を暴露することと、
第2の数の処理サイクルに基板表面を暴露することであって、
各処理サイクルは、
サセプタアセンブリに低周波バイアスを供給すること、及び
主堆積生成器により生成される主周波数のプラズマを用いて、バイアスプラズマ処理領域内で、バイアスプラズマ処理に基板表面を暴露することであって、低周波バイアスがサセプタアセンブリに印加される、バイアスプラズマ処理に基板表面を暴露すること
を含む、第2の数の処理サイクルに基板表面を暴露することと
を含む。
本開示の実施形態の上述の特徴を詳しく理解できるように、上記で簡単に要約されている本開示の実施形態のより詳細な説明が、実施形態を参照することによって得ることができる。そのうちの幾つかの実施形態は添付の図面に示されている。しかしながら、添付の図面は本開示の典型的な実施形態のみを示すものであり、したがって、本開示の範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうることに留意されたい。
本開示の1つ以上の実施形態に係る基板処理システムの概略的な断面図を示す。 本開示の1つ以上の実施形態に係る基板処理システムの斜視図を示す。 本開示の1つ以上の実施形態に係る基板処理システムの概略図を示す。 本開示の1つ以上の実施形態に係るガス分配アセンブリの前面の概略図を示す。 本開示の1つ以上の実施形態に係る処理チャンバの概略図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの概略的な断面図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの部分的な斜視図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの概略的な等角図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの概略的な底面図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの概略的な側面図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリを備えた処理チャンバの部分的な等角図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの電極の概略的な部分側方断面図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの部分的な断面図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの断面図を示す。 本開示の1つ以上の実施形態に係るプラズマ源アセンブリの側方断面図を示す。 本開示の1つ以上の実施形態に係る処理チャンバの概略的な断面図を示す。 本開示の1つ以上の実施形態に係るインタフェースボックスの概略図を示す。 本開示の1つ以上の実施形態に係る装置を用いた例示的な処理方法を示す。
本開示の実施形態は、スループットを最大化し処理効率を改善する連続基板堆積のための基板処理システムを提供する。この基板処理システムは、堆積前及び堆積後の処理にも使用することが可能である。
本明細書および添付の特許請求の範囲では、「基板」および「ウエハ」という用語は共に、処理が行われる表面または表面の部分を指すために、交換可能に使用される。これも当業者には当然のことであるが、基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部のみを指すこともありうる。さらに、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1つ以上の膜又はフィーチャが堆積又は形成された基板と、の両方を意味しうる。
本明細書及び添付の特許請求の範囲で使用される、「反応性ガス」、「前駆体」、「反応物」等の用語は、交互に使用され、基板表面と反応する種を含むガスを意味する。例えば、第1の「反応性ガス」は、単に基板の表面上に吸着され、第2の反応性ガスとのさらなる化学反応のために利用されうる。
本明細書及び添付の特許請求の範囲で使用される、「減少圧力」という用語は、約100トル未満、又は約75トル未満、又は約50トル未満、又は約25トル未満の圧力を意味する。例えば、約1トルから約25トルの範囲内にあると規定される「中間圧力」は、減少圧力である。
数多くの用途について、回転プラテンチャンバが検討されている。このようなチャンバでは、1つ以上のウエハが、回転式ホルダー(「プラテン」)の上に置かれる。プラテンが回転するにつれて、ウエハは様々な処理領域の間を移動する。例えば、ALDでは、処理領域でウエハが前駆体及び反応物に曝露されることになる。さらに、プラズマ曝露は、反応物として使用されてもよく、又は、膜成長の強化又は膜特性の改質のために膜又は基板表面を処理するようために利用されうる。本開示の幾つかの実施形態は、回転プラテンALDチャンバ(rotating platen ALD chamber)の利用時に、ALD膜の均一な堆積及び後処理(例えば、高密度化)をもたらす。
回転プラテンALDチャンバは、従来の時間領域(time-domain)プロセス又は空間的ALDによって膜を堆積させることが可能である。時間領域プロセスでは、ウエハ全体が、第1のガスに曝露され、パージングされ、次いで、第2のガスに曝露される。空間的ALDでは、ウエハの一部が、第1のガスに曝露され、一部が第2のガスに曝露され、これらのガス流を通るウエハの移動により層が堆積される。
本明細書及び添付の特許請求の範囲において使用される場合、「パイ形状」及び「くさび形状」という用語は、円の扇形状体である本体を描写するために交換可能に使用される。例えば、くさび形状のセグメントは、円又はディスク状構造体の一片であってよく、複数のくさび形状のセグメントを繋いて1つの環状体を形成することが可能である。上記扇形状体は、円の2つの半径及びその間に延びる円弧により囲まれた円の一部として定義されうる。パイ形状のセグメントの内周端は尖っていてよく、又は平坦な端面となるよう先端が切れていてよく、又は丸みを帯びるように加工されてもよい。幾つかの実施形態では、上記扇形状体は、リング部又は環状体の一部として定義されうる。
基板の経路は、ガスポートに対して垂直であってもよい。幾つかの実施形態では、各ガス注入アセンブリは、基板が横断する経路に対して実質的に垂直な方向に延在する複数の細長いガスポートを備えており、ガスポートの前端は、プラテンに対して実質的に平行である。本明細書及び添付の特許請求の範囲において使用される場合、「実質的に垂直(substantially perpendicular)」という表現は、基板の移動の概略方向が、ガスポートからのガスの流れに対してほぼ垂直な(例えば、約45°から90°)平面に沿っていることを意味する。くさび形状のガスポートについて、ガスポートの細長い軸線は、くさび形状のガスポートの、内側端面(幅が狭い端面)から外側端面(幅がより広い端面)へのポートの長さに沿って延在するポートの幅の中間点として定義される線と見做されうる。
図1は、ガス分配アセンブリ120(注入器又は注入アセンブリとも称される)と、サセプタアセンブリ140と、を含む処理チャンバ100の断面を示している。ガス分配アセンブリ120は、処理チャンバ内で用いられる任意の種類のガス供給装置である。ガス分配アセンブリ120は、サセプタアセンブリ140に対向する前面121を含む。前面121は、サセプタアセンブリ140に向けてガスの流れを伝達するための、任意の数の開口又は様々な開口を有しうる。ガス分配アセンブリ120は、図示されている実施形態では実質的に円形である外縁124も含む。
使用されるガス分配アセンブリ120の具体的な種類は、使用されている特定のプロセスに従って変わりうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意のタイプの処理システムと共に使用することが可能である。様々な種類のガス分配アセンブリ(例えばシャワーヘッド)を用いることが可能であるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ALDガス分配アセンブリで特に有用でありうる。本明細書及び添付の特許請求の範囲において使用される場合、「実質的に平行(substantially parallel)」という用語は、ガスチャネルの細長い軸が大体同じ方向に延在することを意味する。ガスチャネルの平行度は若干不完全であってもよい。複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAのチャネル、少なくとも1つの第2の反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は少なくとも1つの真空Vのチャネルを含みうる。第1反応性ガスAのチャネル、第2反応性ガスBのチャネル、及びパージガスPのチャネルから流れるガスは、ウエハの上面に向けられる。ガス流の一部は、ウエハの表面に亘って水平に移動し、パージガスPのチャネルを通って処理領域から出る。基板が、ガス分配アセンブリの一方の端部から他方の端部まで移動することで、処理ガスの各々に順に曝露され、基板表面に層が形成されることになる。
幾つかの実施形態では、ガス分配アセンブリ120は、単一の注入ユニットで作られた固定の定常物体である。1つ以上の実施形態において、ガス分配アセンブリ120は、図2に示すように、複数の個別扇形状体(例えば、複数の注入ユニット122)で構成される。単体の物体でも、又は複数の扇形状体で構成された物体でも、記載される本開示の様々な実施形態と共に利用されうる。
サセプタアセンブリ140は、ガス分配アセンブリ120の下方に配置される。サセプタアセンブリ140は、上面141と、上面141に設けられた少なくとも1つの凹部142と、を含む。サセプタアセンブリ140は、底面143及び縁部144も有する。凹部142は、処理される基板60の形状及び大きさに従った任意の適切な形状及び大きさでありうる。図1に示す実施形態では、凹部142は、ウエハの底部を支持する平坦な底部を有しているが、凹部の底部は変わりうる。幾つかの実施形態では、凹部の外周端面の周りには、ウエハの外周端面を支持するよう大きさが定められた段差領域がある。段差によって支持されるウエハの外周端面の寸法は、例えば、ウエハの厚さと、ウエハの裏側に既にあるフィーチャの存在とに従って様々でありうる。
幾つかの実施形態では、図1に示すように、サセプタアセンブリ140の上面141に設けられた凹部142は、凹部142内で支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、大きさが定められる。本明細書及び添付の特許請求の範囲で利用される場合、「ほぼ同一平面」という用語は、ウエハの上面とサセプタアセンブリの上面とが、±0.2mmの範囲内で同一平面にあることを意味する。幾つかの実施形態では、上面同士が、±0.15mm、±0.10mm、又は±0.05mmの範囲内で同一平面にある。幾つかの実施形態の凹部142は、ウエハの内径(ID:inner diameter)がサセプタの中心(回転軸)から約170mmから約185mmの範囲内に位置するように、ウエハを支持する。幾つかの実施形態では、凹部142は、ウエハの外径(OD:outer diameter)がサセプタの中心(回転軸)から約470mmから約485mmの範囲内に位置するように、ウエハを支持する。
図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇させ、下降させ、かつ回転させることが可能な、支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中央内部に、ヒータ又はガスライン又は電気的構成要素を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりしてサセプタアセンブリ140を適切な位置へと動かす主たる手段でありうる。サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を生じさせるためにサセプタアセンブリ140に対してマイクロ調整を行うことが可能な微調整アクチュエータ162も含みうる。幾つかの実施形態では、間隙170の距離は、約0.1mmから約5.0mmの範囲内、若しくは約0.1mmから約3.0mmの範囲内、若しくは約0.1mmから約2.0mmの範囲内、若しくは約0.2mmから約1.8mmの範囲内、若しくは約0.3mmから約1.7mmの範囲内、若しくは約0.4mmから約1.6mmの範囲内、若しくは約0.5mmから約1.5mmの範囲内、若しくは約0.6mmから約1.4mmの範囲内、若しくは約0.7mmから約1.3mmの範囲内、若しくは約0.8mmから約1.2mmの範囲内、若しくは約0.9mmから約1.1mmの範囲内であるか、又は、約1mmである。
図に示す処理チャンバ100は、サセプタアセンブリ140が複数の基板60を保持することが可能なカルーセル型チャンバである。図2に示すように、ガス分配アセンブリ120は、複数の別体の注入ユニット122を含んでよく、各注入ユニット122は、ウエハが注入ユニットの下方で移動する際に、ウエハ上に膜を堆積させることが可能である。サセプタアセンブリ140の上方の、おおよそ対向している両側に配置された2個のパイ形状注入ユニット122が示されている。注入ユニット122の上記数は、例示のためにのみ示されている。より多く又はより少ない数の注入ユニット122が含まれうることが分かるであろう。幾つかの実施形態では、サセプタアセンブリ140の形状に従った形状を形成するのに十分な数のパイ形状注入ユニット122が存在する。幾つかの実施形態では、個別パイ形状注入ユニット122の各々が、他の注入ユニット122のいずれにも影響を与えることなく個別に動かされ、取り外され、及び/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をロード/アンロードすることを可能にするために、1つのセグメントが上昇しうる。
複数のウエハが同じプロセスフローを経るようにこれらのウエハを同時に処理するために、複数のガス注入器を有する処理チャンバが使用されうる。例えば、図3に示すように、処理チャンバ100は、4個のガス注入アセンブリ及び4個の基板60を有する。処理開始時に、基板60は、注入アセンブリ30の間に配置されうる。サセプタアセンブリ140を45度回転させた(17)結果、ガス分配アセンブリ120の間にある各基板60が、ガス分配アセンブリ120の下に点線円で示されているように、膜堆積のためにガス分配アセンブリ120のところに移動させられる。さらに45°回転させると、基板60は注入アセンブリ30から離れるように移動するであろう。空間的ALD注入器を用いると、注入アセンブリに対してウエハが移動している間、ウエハ上に膜が堆積される。幾つかの実施形態では、サセプタアセンブリ140は、基板60がガス分配アセンブリ120の下で停止しないような増分で、回転させられる。基板60の数とガス分配アセンブリ120の数は、同じであってもよく、又は異なっていてもよい。幾つかの実施形態では、ガス分配アセンブリと同じ数のウエハが処理される。1つ以上の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数または整数倍である。例えば、4個のガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなり、ここでxは、1以上の整数値である。
図3に示す処理チャンバ100は、単に1つの可能な構成を表すものであり、本開示の範囲を限定すると見なされるべきではない。ここでは、処理チャンバ100は複数のガス分配アセンブリ120を含む。図示している実施形態では、処理チャンバ100の周りに均等に離間した4個のガス分配アセンブリ(注入アセンブリ30とも言われる)が存在する。図示の処理チャンバ100は八角形であるが、当業者であれば、これは1つの可能な形状であり、本開示の範囲を限定すると見なすべきではないことを理解されよう。図示されているガス分配アセンブリ120は台形であるが、単一の円形構成要素であってもよく、又は、図2に示すもののように、複数のパイ型セグメントで構成されてもよい。
図3に示す実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。上記チャンバ180は、例えば基板(基板60とも称される)をチャンバ100にロード/チャンバ100からアンロードすることを可能にするために、処理チャンバ100の1つの側面に接続されている。サセプタ上に基板を動かすために、チャンバ180内にウエハロボットが配置されうる。
カルーセル(例えば、サセプタアセンブリ140)の回転は、連続的であってもよく、又は非連続的であってもよい。連続処理においては、ウエハは、注入器の各々に順に曝露されるように常に回転している。非連続処理においては、ウエハを注入器の領域へと移動させて停止させ、次いで、注入器間の領域84へと移動させて停止させることが可能である。例えば、カルーセルは、ウエハが注入器間領域から注入器を越えて移動し(又は、注入器に隣接して停止し)、そして次の注入器間領域へと移動し、そこでカルーセルが再度休止し得るように、回転することが可能である。注入器間で休止することにより、各層の堆積の間に追加の処理ステップ(例えば、プラズマへの曝露)のための時間が付与されうる。
図4は、注入ユニット122と称されうる、ガス分配アセンブリ220の一セクタ又は一部分を示している。注入ユニット122は、個別に使用してもよく、又は他の注入ユニットと組み合わせて使用してもよい。例えば、図5に示すように、図4の注入ユニット122が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4個の注入ユニットを分ける線は示されていない。)図4の注入ユニット122は、パージガスポート155及び真空ポート145に加えて、第1反応性ガスポート125と第2ガスポート135との両方を有するが、注入ユニット122に、これらの構成要素の全てが必要なわけではない。
図4と図5の両方を参照すると、1つ以上の実施形態に係るガス分配アセンブリ220は、複数のセクタ(又は注入ユニット122)を含んでよく、各セクタは全く同一であり又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に配置されており、ガス分配アセンブリ220の前面121に複数の細長いガスポート125、135、145を備えている。複数の細長いガスポート125、135、145、及び真空ポート155は、内周端面123に隣接した領域から、ガス分配アセンブリ220の外周端面124に隣接した領域に向かって延在する。図示されている複数のガスポートは、第1反応性ガスポート125、第2反応性ガスポート135、第1反応性ガスポートと第2反応性ガスポートのそれぞれを取り囲む真空ポート145、及びパージガスポート155を含む。
図4又は図5に示す実施形態を参照すると、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると述べていても、ポートが、単に内側領域から外側領域まで径方向に延在するだけではないことがある。ポートは、真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲んでいることから、接線方向に延在しうる。図4及び図5に示す実施形態では、くさび形状の反応性ガスポート125、135は、内周領域及び外周領域に隣接する縁部を含む全ての縁部が、真空ポート145によって囲まれている。
図4を参照すると、基板が経路127に沿って移動するに際に、基板表面の各部分が様々な反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2ガスポート135、そして真空ポート145に曝露され、又は、それらに「遭遇する(see)」ことになる。ゆえに、図4に示す経路127の終わりには、基板は第1反応性ガス125及び第2反応性ガス135からのガス流に曝露されて、層が形成されている。図示される注入ユニット122は四分円をなしているが、より大きく又はより小さいものである可能性もある。図5に示すガス分配アセンブリ220は、順次接続された図4の4個の注入ユニット122を組み合わせたものと見做されうる。
図4の注入ユニット122では、複数の反応性ガスを分離させるガスカーテン150が示されている。「ガスカーテン(gas curtain)」という用語は、混合しないように反応性ガスを分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、真空ポート145の、第1反応性ガスポート125と隣り合った部分と、中間のパージガスポート155と、真空ポート145の、第2ガスポート135と隣り合った部分と、を含んでいる。このガス流と真空との組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止又は最小化するために利用されうる。
図5を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせにより、複数の処理領域250への分離がもたらされる。処理領域は、250の間のガスカーテン150により、個々の反応性ガスポート125、135の周囲に大まかに画定される。図5に示す実施形態では、8つの別個の処理領域250が作られており、それらの間に8つの別個のガスカーテン150がある。処理チャンバは、少なくとも2つの処理領域を有しうる。幾つかの実施形態では、少なくとも3、4、5、6、7、8、9、10、11、又は12個の処理領域が存在する。
処理中に、基板はどの時点においても1つより多くの処理領域250に暴露されうる。しかしながら、別々の処理領域に曝露される部分は、その2つを分離するガスカーテンを有することになる。例えば、基板の前端部が第2反応性ガスポート135を含む処理領域に入る場合、基板の中央部はガスカーテン150の下にあり、かつ、基板の後端部は第1反応性ガスポート125を含む処理領域内にあることになる。
処理チャンバ100に接続された、例えばロードロックチャンバでありうるファクトリインターフェース280が図示されている。基準のフレームを提示するために、基板60は、ガス分配アセンブリ220の上に重ね合わされて図示されている。基板60は、多くの場合、サセプタアセンブリ上に置かれて、ガス分配アセンブリ120(ガス分配プレートとも呼ばれる)の前面121付近で保持されうる。基板60は、ファクトリインターフェース280を介して、処理チャンバ100の中へとロードされて、基板支持体又はサセプタアセンブリに載置される(図3参照)。基板60は、当該基板60が第1反応性ガスポート125の隣に配置され、かつ2つのガスカーテン150a、150bの間に配置されているため、処理領域内に配置された状態で示されうる。基板60を経路127に沿って回転させることにより、この基板は、処理チャンバ100をぐるりと反時計回りに移動することになる。従って、基板60は、第1処理領域250aから第8処理領域250hまでの処理領域に曝露される(第1から第8までの領域の間に全ての処理領域が含まれる)。基板60は、図示されているガス分配アセンブリを用いて、処理チャンバを回る各サイクルに、第1反応性ガス及び第2反応性ガスの4つのALDサイクルに曝露される。
図5のようなバッチ処理装置における従来のALDシーケンスでは、空間的に分離された注入器からの化学物質A及びBの流れがそれぞれ維持され、それらの間にポンプ/パージセクションがある。この従来のALDシーケンスには、開始パターン及び終了パターンがあり、それゆえに堆積された膜が不均一となることがある。発明者らは、驚くべきことに、空間的ALDバッチ処理チャンバ内で実施される時間ベースのALDプロセスが、より均一性の高い膜を提供することを発見した。ガスAへの曝露、反応性ガスがない状態、ガスBへの曝露、反応性ガスがない状態という基本プロセスは、基板を注入器の下方でさっと動かし、基板を化学物質Aと化学物質Bのそれぞれで浸して、膜に開始パターン及び終了パターンが形成されることを避けるためのものである。発明者らは、驚くべきことに、ターゲット膜厚が薄く(例えば20ALDサイクル未満で)、開始・終了パターンがウエハ均一性性能に大きな影響を与える場合に、時間ベースの手法が特に有利であることを見出した。本発明の発明者は、本書で説明しているSiCN、SiCO、及びSiCONの膜を創出する反応プロセスが、時間領域(time-domain)プロセスでは達成されない可能性があることも発見した。処理チャンバをパージするために必要な時間量が、基板表面からの材料の剥離をもたらす。記載された空間的ALDプロセスでは、ガスカーテンの下にある時間が短いため、この剥離は発生しない。
図6から図14Bを参照しながら、本開示の1つ以上の実施形態は、モジュール型容量結合プラズマ源300を対象とする。本明細書及び添付の特許請求の範囲で使用される場合、「モジュール型(modular)」という用語は、プラズマ源300を処理チャンバに取り付け又は処理チャンバから取り外すことができることを意味する。モジュール型の供給源は概して、一人で移動させ、取り外し、又は取り付けることが可能である。
図6は、本開示の1つ以上の実施形態に係るプラズマ源アセンブリ300の断面を示している。図6に示されるプラズマ源アセンブリ300は、ハウジング310を備え、ハウジング310は、ガス入口315及び前面312を含んでいる。ガス入口315によって、ガスの流れが、流路318に沿ってハウジング310を通って、前面312に設けられた開口313から出ることが可能となる。図示された実施形態では、説明のために中心から外れて描かれたガス入口315が示されているが、当業者は、ガス入口315がハウジング310内の中央に配置されていることが分かるであろう。さらに、幾つかの実施形態は、流路318を通るガス流の均一性を向上させるためのプレナム316を含む。幾つかの実施形態のプレナム316は、少なくとも部分的に誘電体で満たされており、プラズマのキャビティ(間隙340、340b)に達することを可能とする複数の貫通孔及び/又はプレナムを有している。上記貫通孔及び/又はプレナムは、プラズマブレイクダウンを防止するために充分小さな寸法を有する。幾つかの実施形態では、上記貫通孔は、直径がおおよそ1mm未満、0.95mm未満、0.9mm未満、0.85mm未満、0.8mm未満、0.75mm未満、0.7mm未満、0.65mm未満、又は0.6mm未満である。
プラズマ源アセンブリ300は、RFホット電極320と、少なくとも1つのリターン電極330と、を含んでいる。リターン電極330は、RFホット電極320と完全な回路を形成する任意の導電性材料である。当業者は、リターン電極330が、電子が流れるための経路を提供することが分かるであろう。このように使用される「リターン(return)」という用語は、電極が、プラズマ成分の電気的経路の一部であり、電流又は電子の流れのための方向を暗示しないことを意味している。
図6から図8を参照すると、RFホット電極320は、第1の表面322と、第1の表面322に対向する第2の表面324と、を有している。図6は、プラズマ源アセンブリ300の断面図を示しており、図7及び図8は、電極の部分的な斜視図を示している。これに関して、第1の表面322と第2の表面324とは、RFホット電極320の厚さTの、対向する側面上に存在している。RFホット電極320は概して、高さH、厚さT、及び長さLを有する矩形の角柱状体として成形されている。RFホット電極320は、流路318に対して実質的に平行に方向付けられた第1の表面322を有する。これに関して、「実質的に平行(substantially parallel)」という用語は、上記表面が±10oの範囲内で平行である(0oと定義される)ことを意味している。
リターン電極330は、RFホット電極320と同様に成形されている。リターン電極は、流路318に対して実質的に平行に方向付けられた第1の表面332を有している。リターン電極330の第1の表面332は、RFホット電極320の第1の表面322から離間しており、間隙340が形成される。
リターン電極330、330bは、アルミニウム、ステンレス鋼、及び銅を含む任意の適した材料でありうるが、これらに限定されない。リターン電極330、330bは、任意の適した電気的特徴を有しうる。幾つかの実施形態では、リターン電極330、330bは接地電極である。接地電極は、電気接地と接触する任意の適した導電性材料である。
幾つかの実施形態では、リターン電極330、330bは、RFホット電極320とは異なる電力供給される電極である。このように使用される「RFホット電極と異なる(different from the RFホット電極)」とは、電気特性又は電位がRFホット電極とは異なることを意味している。例えば、生成されたプラズマの駆動電力は、位相調整器を用いて、単一の供給源からプッシュ-プル(push-pull)形式で戻すことが可能であり、ウエハとの相互作用が最小に抑えられる。この種の実施形態では、RFホット電極320は、例えば、リターン電極330の位相とは180oずれている。
図7に示すように、プラズマ源アセンブリの幾つかの実施形態は、第2のリターン電極330bをさらに含んでいる。第2のリターン電極330bは、流路318に対してほぼ平行に方向付けられた第1の表面332bを有する。第2のリターン電極330bの第1の表面332bは、間隙340bを形成するために、RFホット電極320の第2の表面324から離間している。間隙340と間隙340bとは、同じ寸法であってよく又は異なる寸法であってもよい。幾つかの実施形態では、RFホット電極源320とリターン電極330との間の間隙340、及び、RFホット電極源320とリターン電極330bとの間の間隙340bは、約4mmから約15mmの範囲内、若しくは約5mmから約14mmの範囲内、若しくは約7mmから約13mmの範囲内、若しくは約9mmから約12mmの範囲内にあり、又は、約11mmである。
RFホット電極320の厚さTは、例えば、電極材料に従った任意の適切な厚さでありうる。幾つかの実施形態では、RFホット電極の厚さは、約3mmから約11mmの範囲内、若しくは約4mmから約10mm、若しくは約6mmから約9mmの範囲内にあり、又は約8mmである。
電極320の高さHは変更されうる。幾つかの実施形態では、電極320の高さHは、約8mmから約40mmの範囲、若しくは約9mmから約35mmの範囲内、若しくは約10mmから30mmの範囲内、若しくは約11mmから約25mmの範囲内、若しくは約12mmから約20mmの範囲内、若しくは約13mmから約15mmの範囲内にあり、又は、約14mmである。
幾つかの実施形態において、プラズマ源アセンブリ300のハウジング310は、くさび形状をしている。図9は、くさび形状のハウジング310を組み込まれた一実施形態を示している。図示するように、RFホット電極320とリターン電極330とがハウジング310の主軸308に沿って延在する。このように使用される主軸308は、内周端面123を形成する円弧の中間と、外周端面124を形成する円弧の中間とを貫通する軸を指している。
RFホット電極320とリターン電極330との空間は、主軸308に沿って実質的に同じであってよく、プラズマ源アセンブリは変わっていてもよい。例えば、幾つかの実施形態では、RFホット電極とリターン電極とは、くさび形状のハウジング310の外周端面124では、内周端面123の近傍よりも離間している。
幾つかの実施形態は、RFホット電極320の下方端329に隣接するクラッド360を含む。図10を参照すると、RFホット電極320が、2つのリターン電極330の間に図示されている。クラッド360によって、RFホット電極320の下方端329が、基板60及びサセプタアセンブリ140から分離されている。幾つかの実施形態では、クラッド360の存在が、RFホット電極320のスパッタリングが基板60を汚染することを防止又は最小化することを支援する。クラッド360は、限定するわけではないが、誘電体(例えばセラミック材料)を含む任意の適切な材料で作製されうる。クラッド360の大きさは、RFホット電極320の下方端329を、基板60のキャビティから動かすために調整されうる。幾つかの実施形態では、クラッド360の長さLsは、約10mmから約25mmの範囲内、若しくは約13mmから約20mmの範囲内にあり、又は約17mmである。
図1、図2、図8、及び図11を参照しながら、本開示の幾つかの実施形態は、サセプタアセンブリ140及びガス分配アセンブリ120を含む処理チャンバ100を対象とする。図11は、本開示の1つ以上の実施形態に係る処理チャンバ100の等角図を示している。サセプタアセンブリ140は、複数の基板60を支持して中心軸161の周りで回転させる上面141を有する。
ガス分配アセンブリ120は、サセプタアセンブリ140の上面141に対向する前面121を有し、それにより、サセプタアセンブリ140の上面141に向けてガスの流れを方向付ける。幾つかの実施形態のガス分配アセンブリ120は、くさび形状のハウジング310を備えたプラズマ源アセンブリ300を含んでいる。くさび形状のハウジングは、ハウジング310の主軸308を画定する内周端面123及び外周端面124を有している。ハウジング310は、第1の側面371と、第2の側面372と、ガス入口315と、前面312と、を有する。流路が、ガス入口315からハウジング310を通って前面312から流れ出るガスが辿る経路として定められる。
プラズマ源アセンブリ300は、少なくとも1つのRFホット電極320を有し、少なくとも1つのRFホット電極320は、流路に対して実質的に平行に方向付けられた第1の表面322を含む。図示される実施形態では、3個のRFホット電極320が存在する。少なくとも1つのリターン電極330が、ハウジング310の内部に存在しており、流路に対して平行に方向付けられRFホット電極320の第1の表面322から離間する第1の表面332を有しており、間隙340が形成される。プラズマ源アセンブリ300のくさび形状のハウジング310の前面312は、サセプタアセンブリ140の上面141から、約1mmから約5mmの範囲内、若しくは約1.5mmから約4mmの範囲内、又は約2mmの距離を取って配置されている。図15に示す実施形態は、プラズマ源アセンブリを備えた処理チャンバの一可能な構成を単に例示しており、本開示の範囲を制限するものとして見做されない。
図6に戻って参照すると、幾つかの実施形態は、ハウジング310を通る
同軸RF供給ライン380を含み、間隙340内でプラズマを生成するためにRFホット電極320のための電力を供給する。同軸RF供給ライン380は、絶縁体386によって分離された外側導体382及び内側導体384を含む。内側導体384は、RFホット電極320と電気的に連通しており、外側導体382は、電気接地と電気的に連通しており又はRFホット電極とは異なる位相の電源(図示せず)である。本明細書及び添付の特許請求の範囲において使用される場合、「電気的に連通(electrical communication)」という用語は、構成要素同士が、直接的に接続されているか、又は中間構成要素を介して接続されており、電気抵抗が少ないことを意味する。内側導体384と外側導体382との間の間隙は誘電体で充填されうるが、誘電体は、セラミックであってもよいが任意の適切な誘電材料でありうる。
同軸RF供給ライン380は、外側導体382がリターン電極330で終端するように構成されうる。内側導体384は、RFホット電極320で終端しうる。幾つかの実施形態では、ガス入口315が、同軸供給部の外周の周りのハウジングに供給される。RF供給部は、同軸伝送線の形態であってもよい。外側導体は、リターン電極に接続/終端されており、内側導体は、RFホット電極に接続されている。リターン電極330は、限定するわけではないが、金属ガスケットを含む任意の適切な方法で金属製ハウジングに接続されうる。このことは、リターン電流の対称的な形態を確保するのに役立つ。すべてのリターン電流は、供給部の外側導体を昇流し、RFノイズを最小に抑える。幾つかの実施形態では、RF供給部は、RFホット電極への対称的なRF供給電流、及び対称的なリターン電流を供給するよう設計されている。すべてのリターン電流は外側導体を昇流し、RFノイズを最小に抑え、動作に対する供給源設置の影響を最小に抑える。
図6~図8に示すような線形的なラジアルプラズマ源について、回転サセプタ(プラテン)を利用する任意の処理システムでは、プラズマ暴露(処理)が、ウエハの外径(OD:outer diameter)と比較して、ウエハの内径(ID:inner diameter)においてより大きい。同軸供給部がホット電極のほぼ中央に接続されたシステムでは、IDでの暴露とODでの暴露の差は約2.7倍でありうる。ここで、同軸供給部は、電極のほぼ中央でホット電極に接続されている。本接続構成は、ウエハのID及びODにおける均一なプラズマ暴露を提供しえない。本開示の1つ以上の実施形態は、有利に、シンプルに線形的に設計されたプラズマ源を提供する。幾つかの実施形態は、有利に、高周波による、又は、ウエハのIDからODまでプラズマフラックスが増大する非常に高い周波数による内径供給部を提供する。
図11及び図12を参照すると、垂直なプラズマ源(VPS)は、ウエハのIDからODへと延在してそれをさらに超えて延在する、電力供給される電極(ホット電極)及びリターン電極を備えた線形的なプラズマ源でありうる。ホット電極とリターン電極との間の間隙は、IDからODへの電極の長さに沿って実質的に均一でありうる。
幾つかの実施形態の電極は、金属汚染を最小に抑えるために誘電材料から作製された内側クラッド及び外側クラッドにより包まれている。「内側クラッド(inner cladding)」は、RFホット電極と関連するクラッドを指すために利用され、「外側クラッド(outer cladding)」は、リターン電極と関連するクラッドを指すために利用されうる。間隙が、クラッドの底部と、ウエハ/ウエハにプラズマを暴露するサセプタと、の間で維持される。
概して、プラズマアセンブリ内で生成された電界(及びプラズマフラックス)は、RF供給部の近傍でもっとも大きく、RF供給部から遠ざかるほど電界強度が下がる。線形的な垂直プラズマ源では、最小の電界及びプラズマ密度が、驚くべきことにRF供給部の下方で生じる。如何なる動作理論に束縛されることなく、このことは、RF電力の周波数により増大する電磁効果に因るものと考えられる。発明者らは、RF供給部をホット電極のID末端の方に向かって移動させることで、暴露の不均一性を補償しうるということを見出した。
電源390は、任意の適切な周波数で作動されうる。より高周波の電力によって、サセプタの回転に因るIDとODとの間の異なる暴露を補償しうるプラズマ密度の変化を起こしうるということが分かっている。幾つかの実施形態では、電源390は、(20~30MHz)の高周波で、又は非常に高い周波数で(30~100MHz)作動される。幾つかの実施形態では、電源390は60MHzで作動される。
図11から図13を参照しながら、本開示の1つ以上の実施形態は、プラズマ源300を対象としている。プラズマ源アセンブリ300は、図13に示すようにハウジング310を含んでいる。幾つかの実施形態のハウジング310は、使用されることもある電源接続又はガス線接続を除いた、プラズマ源アセンブリの構成要素の全てを保持又は支持している。1つのハウジングに組み込むと、プラズマ源アセンブリはモジュールとなることが可能であり、即ち、当該アセンブリを移動させ、処理装置に追加し又は処理装置から取り外すことが可能となる。幾つかの実施形態のハウジング310はくさび形状をしており、図4又は図5に示すようなガス分配アセンブリ120にぴったりと合わせられる。ハウジング310はくさび形状でありうるが、プラズマがそこで形成されるプラズマキャビティ又は間隙の形状は、線形的でありうる。説明のため、図11に示す実施形態ではハウジングが示されていない。
図12は、幾つかの実施形態のプラズマ源アセンブリ300の部分的な側方断面図を示している。ハウジング310は、図4及び図5に示すガス分配アセンブリ120に対して位置決めされうる内周端面123及び外周端面124を有している。図13に示すように、ハウジング310は、ガス入口315を含みことが可能であり、ガス入口315は、当該ガス入口315からの流路318を形成し、ガスの流れがハウジング310を通って、プラズマ源アセンブリ300の前面312に設けられた開口313から出ることを可能とする。前面312は、ハウジング310、RFホット電極320、リターン電極330、又は、サセプタアセンブリから或る一定の距離を取って配置可能な任意の適切な材料によって形成されうる。幾つかの実施形態では、前面312は、別々の構成要素の組み合わせから形成され、結果的に材料の混合物となる。
プラズマ源アセンブリは、RFホット電極320を備え、RFホット電極320は、細長い本体321を有する。細長い本体321は、第1の表面322と、当該第1の表面322に対向する第2の表面324と、を含む。第1の表面322と第2の表面324とが、RFホット電極320の幅を定める。幾つかの実装形態では、第1の面322と第2の表面324とは実質的に平行である。このように使用される「ほぼ平行(substantially parallel)」という用語は、表面が、平行な状態の±10o、±9o、±8o、±7o、±6o、±5o、±4o、±3o、±2o、又は±1oの範囲内にある主要平面を形成するということを意味している。幾つかの実施形態では、RFホット電極320の幅は、約2mmから約20mmの範囲内、若しくは約3mmから約15mmの範囲内、若しくは約4mmから約10mmの範囲内、若しくは約5mmから約9mmの範囲内、若しくは約6mmから約8mmの範囲内にあり、又は約7mmである。
RFホット電極320の細長い本体321は、内周端323と、外周端325とを有する。Rfホット電極320の内周端323は、ハウジング310の内周端面123の近傍のハウジング310内に配置されている。Rfホット電極320の外周端面325は、ハウジング310の外周端面124の近傍のハウジング310内に配置されている。内周端323と外周端325とが、RFホット電極320の長さLを定める。図12に図示する実施形態は、RFホット電極320と同じ長さを有するハウジング310を示している。これは単に可能な一構成を示しているにすぎず、本開示の範囲を限定するものとみなすべきではない。幾つかの実施形態のハウジングは、RFホット電極の末端を超えて延びて、RFホット電極の少なくとも一部の周りを包みうる。幾つかの実施形態のRFホット電極320の長さLは、約160mmから約440mmの範囲内にある。RFホット電極320の長さLは、処理される基板の幅にわたるよう構成されうる。例えば、処理される基板は、直径が200mmのウエハである場合には、RFホット電極の長さLは、約160mmから約440mmの範囲内、又は約180mmから約220mmの範囲内、又は約190mmから約210mmの範囲内、又は、約195mmから約205mmの範囲内でありうる。処理される基板は、直径が300mmのウエハである場合には、RFホット電極の長さLは、約160mmから約440mmの範囲内、又は約260mmから約440mmの範囲内、又は約280mmから約320mmの範囲内、又は、約290mmから約310mmの範囲内、又は約295mmから約305mmの範囲内でありうる。
リターン電極330は、リターン電流が、RFホット電極からの極性が逆の電圧を流し又は供給することを可能とするために適した任意の構成要素でありうる。「リターン電極(return electrode)」という用語は、RFホット電極との完全な回路を形成する電気的接続を表すために利用されており、電流や電子の流れの方向を暗示しているものとは見做されない。幾つかの実施形態のリターン電極330は、ハウジング310である。幾つかの実施形態では、リターン電極330は、ハウジング310内の別体の構成要素である。リターン電極330は、ハウジング310と同じ材料で作製されうるが、ハウジング310から電気的に絶縁させることが可能であり、又は、リターン電極330は、ハウジング310とは異なる材料で作製されうる。図示される実施形態において、リターン電極330は、ハウジング310とは異なる材料である。幾つかの実施形態のリターン電極330は、ハウジングの内周端面から外周端面へと延在する細長い本体を有している。リターン電極は、プラズマが形成されうる間隙340を設けるために、RFホット電極320から離間している。
RF供給部380が、電源390をRFホット電極320に接続する。RF供給部380は、図6に示したもののように、同軸RF供給ラインでありうる。図12に図示するように、RF供給部380は、RFホット電極320の内周端面323から距離Deを取って、RFホット電極に接続している。幾つかの実施形態の距離Deは、RFホット電極320の長さLの約25%以下である。幾つかの実施形態では、距離Deは、RFホット電極320の長さLのおおよそ20%、15%、10%、5%、4%、3%、2%、又は1%以下である。
図13に図示するように、幾つかの実施形態において、RFホット電極320は、当該RFホット電極320が、基板又はサセプタアセンブリに直接的に暴露されないように配置されたRFホット電極クラッド360を有する。このように使用される「直接的に暴露されない(not exposed directly)」等の用語は、RFホット電極320から出た原子が、真っすぐな経路を移動しえず、基板の表面に影響を与えられないことを意味している。図示される実施形態において、RFホット電極クラッド360が、RFホット電極320の暴露される全ての側面及び表面を包んでいる。幾つかの実施形態のRFホット電極クラッド360は、ケイ素又は酸化ケイ素の1つ以上を含む。幾つかの実施形態では、RFホット電極クラッド360は石英を含み、又は実質的に石英から成る。幾つかの実施形態では、RFホット電極クラッド360は、処理されるウエハ上の汚染としてスパッタされていない材料から作製される。RFホット電極クラッド360の材料は、実施されるプロセス又は堆積に依存しうる。
幾つかの実施形態では、リターン電極330は、リターン電極クラッド361を含む。幾つかの実施形態のリターン電極クラッド361は、リターン電極330が基板又はサセプタ表面に直接的に暴露されないように配置されている。幾つかの実施形態では、リターン電極クラッド361は、ケイ素、酸化ケイ素、又は、酸化アルミニウムの1つ以上を含む。
幾つかの実施形態のリターン電極クラッド361は、RFホット電極クラッド360とは異なる材料を含んでいる。幾つかの実施形態では、RFホット電極クラッド360及びリターン電極クラッド361が同じ材料で作製される。幾つかの実施形態では、RFホット電極クラッド360は石英を含み、リターン電極クラッドは酸化アルミニウムを含む。幾つかの実施形態では、リターン電極クラッド360は、実質的に石英から成り、及び/又は、リターン電極クラッドは、実質的に酸化アルミニウムから成る。このように使用される用語「実質的に~から成る(consists essentially of)」は、主たるクラッドの組成が、重量単位でおおよそ95%、98%、又は99%以上が言及される材料であることを意味する。
RFホット電極クラッド360及びリターン電極クラッド361は、プラズマ源アセンブリ300の前面312を形成しうる。リターン電極クラッド360から基板60までの距離Ghは、リターン電極クラッド361から基板60までの距離Grと同じであってよく又は異なっていてよい。
幾つかの実施形態のプラズマ源アセンブリ300は、RFホット電極320の内周端323で生成されるイオンフラックスが、RFホット電極320の外周端325で生成されるイオンフラックスよりも少量であるプラズマを提供する。
本開示の追加的な実施形態は、基板を処理する方法を対象とする。基板60が、ガス分配アセンブリ120の隣のサセプタアセンブリ140に配置されている。ガス分配アセンブリ120は、本開示の1つ以上の実施形態に係るプラズマ源アセンブリを含む。ガスが、ハウジング310のガス入口315を通って、RFホット電極320とリターン電極330との間の間隙340に流し込まれる。RFホット電極320は、間隙340においてプラズマを形成するために、内周端323から測定されたRFホット電極320の長さLの25%の範囲内に配置されたRF供給部380を通じて、稼働させられる。プラズマがハウジング310の前面312から流れ出て、基板60がこのプラズマに暴露される。
図14A及び図14Bはそれぞれ、本開示の1つ以上の実施形態に係るプラズマ源アセンブリ300の断面図及び底面図を示している。プラズマ源アセンブリ300は、RFホット電極320及びリターン電極330を含んでいる。図示される実施形態では、リターン電極330は、プラズマ源アセンブリ300のハウジングである。Rfホット電極は、誘電体370によってリターン電極330から隔離されている。同軸供給ラインの内側導体384が、外径端325に対して相対的に、内径端323の近傍でRFホット電極320に接続している。
図示される実施形態では、クラッド360が、RFホット電極320の下方端329及び側方端328の周りを包んでいる。内径端323から外径端325へとRFホット電極320の長さに沿って、クラッド360が、RFホット電極320と他の構成要素との間に直接的なラインが僅かにも存在しないように、RFホット電極320を隣接する構成要素から護っている。
外側クラッド361が、当該外側クラッド361がリターン電極330と間隙340との間に存在するように、リターン電極330上に配置されている。図示するように、外側クラッド361は、リターン電極のスパッタリングを防止するために、リターン電極330の前部の周りを包みうる。
本開示の実施形態は、複数の処理領域250a~250hを有し、各処理領域がガスカーテン150によって隣の領域から分離されている処理チャンバ100を含む処理方法を対象とする。例えば、図5に処理チャンバが示されている。処理チャンバ内のガスカーテン及び処理領域の数は、ガス流の配設に従った任意の適切な数でありうる。図5に示す実施形態は、8個のガスカーテン150と、8個の処理領域250a~250hと、を有する。ガスカーテンの数は概して、処理領域の数と等しく、又は当該数より大きい。例えば、領域250aが反応性ガス流を全く有しておらず、単にロード用エリアの役割を果たしている場合、処理チャンバは7つの処理領域と、8つのガスカーテンを有することになる。
複数の基板60が、図1及び図2に示すサセプタアセンブリ140などの基板支持体に載置されている。複数の基板60は、処理ために、処理領域を回るように回転する。概して、ガスカーテン150は、チャンバに反応性ガスが流れ込まない期間を含めて、処理の間ずっと稼働している(ガスが流れ、真空はオンになっている)。
第1の反応性ガスAが処理領域250のうちの1つ以上に流れ込んでいる間に、不活性ガスが、第1の反応性ガスAが流れ込んでいない任意の処理領域250に流し込まれる。例えば、第1反応性ガスが処理領域250bから処理領域250hまでの処理領域に流れ込んでいる場合は、不活性ガスは、処理領域250aに流れ込むであろう。不活性ガスは、第1反応性ガスポート125又は第2反応性ガスポート135を通じて流されうる。
処理領域内の不活性ガス流は一定であり又は変わりうる。幾つかの実施形態では、反応性ガスが不活性ガスと共流する。不活性ガスは、キャリアガス及び希釈ガスの役割を果たす。キャリアガスに対する反応性ガスの量が少ないため、共流することによって隣り合う領域間の圧力差が減少し、処理領域間のガス圧のバランスを取ることが容易になりうる。
幾つかの実施形態では、処理領域の少なくとも1つは、プラズマ源アセンブリを含んでいる。幾つかの実施形態は、電力供給されるプレート(RFホット電極)とグループプレート(リターン電極)との間でプラズマが生成可能な遠隔プラズマ源を利用する。ガス種が上記プレート間を流れ、サセプタアセンブリの表面の隣でプラズマを形成するためにエネルギー供給される。プラズマは、実質的に上記供給源に閉じ込められており、上記電力供給されたプレートからのスパッタ材料がウエハ表面に達することが最小に抑えられる。幾つかの実施形態はまた、有利に、基板表面を実質的に変えないソフトプラズマを提供する。1つ以上の実施形態が、電気帰還路が基板を通ることを可能とせずにプラズマを生成しうる装置を提供する。本開示の幾つかの実施形態は、ガス分配アセンブリに追加し又はガス分配アセンブリから取り外すことが可能なモジュール型遠隔プラズマ源を提供する。遠隔プラズマ源は、基板、又は、電極としての基板支持体を利用することなくプラズマを生成する。
RFホット電極(電力供給される電極)と(リターン電極と称される)接地プレートとの間の間隙は変更可能である。幾つかの実施形態では、間隙は、約3mmから約15mmの範囲内にあり調整可能でありうる。RFホット電極の幅は変更されうる。例えば、プレート同士の幅が、イオンを加速させるために狭くなりうる。利用時には、RFホット電極とリターン電極との間の間隙を流れるガス種がイオン化される。その後、イオン化されたガス種が基板表面に接触しうる。幾つかの実施形態では、形成されたプラズマは、基板表面を実質的に変えないソフトプラズマである。
本開示の1つ以上の実施形態は、側壁の選択的堆積のプロセス及び方法を対象とする。幾つかの実施形態では、低周波(LF:low-frequency)バイアス性能によって、指向性のあるバイアスが、プラズマ原子層堆積(PE-ALD:plasma-enhanced atomic layer deposition)バッチ処理ツールに追加される。LFバイアスをサセプタに印加することで、有利に、プラズマ中に生成したイオン及びラジカルの流れの指向性であって、水平面上の膜特性を選択的に変更する指向性を可能としうる。
コンフォーマルな膜の特性の形状的依存性により、後続の湿式エッチングプロセスの間に、著しい追加コストが掛かることなく、水平面の膜の選択的なエッチング(又は、選択的なエッチング公差)が可能となりうる。
幾つかの実施形態は、有利に、様々な用途のために膜特性を柔軟に最適化するプロセスウィンドウを開くために、その場でのLFバイアス性能を備えた装置を提供する。
図15を参照して、本開示の幾つかの実施形態は、処理チャンバ500を対象とする。処理チャンバ500は、シャフト160の周りを回転する複数の基板60を保持するための1つ(以上)のサセプタ140と、当該チャンバの底部に取り付けられたモータ163と、を含む。ウエハは、進行方向に複数のセル(ガスポート)を含む注入器(ガス分散アセンブリ120)に向かって上方に向いている。各セルのガス流は、独立した質量流量コントローラ(MFC:mass flow controller)によって別々に制御されうる。各セルは、不活性ガス(例えば窒素)の流れのカーテンによって分離されており、従って、様々なセルからのガスが混ざることが防止される。サセプタを回転させることで、ウエハが、ALDサイクルを確立するための複数の前駆体(ガス)に順々に暴露される。バッチ処理システム内のセルの幾つかは、RF生成器510及び整合回路512を加えることで、無線周波数(RF:radio-frequency)プラズマ処理領域として構成されうる。堆積中にプラズマ暴露を加えることは、膜特性を変更するために有用でありえ、又は、膜の品質を改良し若しくは意図的に膜の一部の品質を下げるために有用でありうる。ガス分散アセンブリ120は、(図5で示したように)複数の処理領域を含む。各処理領域は、ガスカーテンによって隣り合う処理領域から分離されており、処理領域のうちの少なくとも1つは、プラズマ処理領域525である。図5に示す断面図には、処理チャンバの対向する側に位置する2つのプラズマ処理領域525が含まれている。例えば、図5での命名を参照すると、第4の処理領域250dと第8の処理領域250hとは、プラズマ処理領域でありうるが、他の処理領域は、熱処理領域と称される。「熱処理領域(thermal processing region)」という用語の利用は、単に、その領域内にプラズマが存在しないことを意味しており、温度は暗示されていない。プラズマ処理領域525は、ガスカーテンによって繋がれた、ガスポートを備えるガス分散アセンブリ120のくさび形状のセクタを含んでいる。
主堆積生成器510が、整合回路512を通じてプラズマ処理領域525に接続されている。幾つかの実施形態による主堆積生成器510は、主堆積生成周波数で作動する。幾つかの実施形態による主堆積生成周波数は、2MHz以上である。幾つかの実施形態では、主堆積生成周波数はおおよそ13.56MHz、40MHz、60MHz、又は100MHzである。幾つかの実施形態では、主堆積生成器510が、ガス分散アセンブリ120に電力を印加し、サセプタアセンブリ140が、リターン電極として作用する。主堆積生成器510は、プラズマ処理領域525内でプラズマを点火するために充分なエネルギーを加え、非プラズマ処理領域(即ち、熱処理領域)内でプラズマを点火しないよう構成されうる。
主堆積生成器510に加えて、第2の「低周波」バイアス生成器540が、サセプタアセンブリ140に電気的に接続されうる。幾つかの実施形態では、低周波バイアス生成器540は、インタフェースボックス550を通じてサセプタアセンブリ140に接続されている。低周波バイアス生成器540は、サセプタアセンブリ120に低周波バイアスを印加するために、サセプタアセンブリ120に電気的に接続されている。低周波バイアスは、任意の適切な低周波でありうる。幾つかの実施形態では、低周波バイアスはおおよそ2MHz、1MHz、又は500kHz以下である。幾つかの実施形態では、低周波バイアス生成器は、約325kHzの周波数で作動する。
幾つかの実施形態では、図15に示すように、低周波バイアス生成器540が、インタフェースボックス550を通じてサセプタアセンブリ120に接続されている。インタフェース550の機能を、図16に関連して説明する。当業者は、記載されている機能及び構成要素の全てが含まれなくてよく、又は、追加的な構成要素及び機能が含まれうることが分かるであろう。図示される実施形態は単に可能な一構成を表している。インタフェースボックス550は、DC遮断コンデンサ551を備えた、サセプタのDC絶縁部を含みうる。幾つかの実施形態では、RFフィルタ552a、552bを組み込むことが可能であり、主たる生成器RFの主な高調波周波数、並びに、第2(及び、想定される第3)の高調波周波数のそれぞれが遮断される。2つのRFフィルタが図示されているが、例えば、遮断すべき高調波周波数の数に従って、2つより多く又は2つより少ないフィルタを含みうる。幾つかの実施形態では、追加的なローパスフィルタ553が、低バイアス周波数を上回るRF電力を遮断する。幾つかの実施形態では、サセプタDC電圧(Vdc)のための読出回路554、及び、低周波バイアス電圧(Vrms)555のための読出回路が、入力/出力装置599(例えば、コンピュータのモニタ)に出力されうる。
主周波数生成器510の入力電力は、チャンバ内でプラズマを生成するために利用される。低周波バイアス生成器540からの第2の周波数であって、主周波数よりも遥かに低い第2の周波数(例えば、325kHz対13.56MHz)によって、イオン、ラジカルといった、プラズマ中で生成される生成物の流れの指向性が高められる。幾つかの実施形態では、低周波バイアス生成器の周波数及び電力が、ウエハの水平面に達するイオン及びラジカルのエネルギー又は数を増大させるが、垂直面では一定に保ち又は減少させるよう設定される。これにより、1つの連続的な膜の様々な特性の創出が、三次元構造におけるその表面に従って、プラズマ処理を形状的に変更することで可能となりうる。
処理チャンバ500は、コントローラ595を有する。コントローラ595を設けて処理チャンバ500の様々な構成要素に接続させて、それらの動作を制御しうる。コントローラ595は、処理チャンバ500全体を制御する単一のコントローラ、又は、処理チャンバ500の個々の部分を制御する複数のコントローラでありうる。幾つかの実施形態では、コントローラ595は、中央処理装置(CPU:central processing unit)596、メモリ597、サポート回路598、及び入力部/出力部(I/O)599を含む。コントローラ595は、直接的に、又は、特定の処理チャンバ及び/又は支援システムの構成要素と関連付けられたコンピュータ(若しくはコントローラ)を介して、処理チャンバ500を制御しうる。コントローラ595は、様々なチャンバ及びサブプロセッサを制御するための工業環境で使用されうる任意の形態の汎用コンピュータプロセッサのうちの1つでありうる。コントローラ595のメモリ又はコンピュータ可読媒体597は、ランダムアクセスメモリ(RAM:random access memory)、読取り専用メモリ(ROM:read only memory)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク若しくはデジタルビデオディスク)、フラッシュドライブ、又はローカル若しくは遠隔の任意の他の形態のデジタルストレージなど、容易に入手可能なメモリのうちの1つ以上としうる。サポート回路598は、従来のやり方でプロセッサをサポートするためにCPU 596に接続されている。上記回路は、キャッシュ、電力供給部、クロック回路、入出力回路、及びサブシステムなどを含む。1つ以上の処理が、本明細書に記載のやり方で処理チャンバ500又は個別の処理チャンバの動作を制御するために実行され又は呼び出されうるソフトウェアルーチンとして、メモリ597に格納されうる。ソフトウェアルーチンは、CPU596によって制御されるハードウェアから遠隔に位置付けられた第2のCPU(図示せず)によっても、格納及び/又は実行されうる。入力部/出力部599は、キーボード、コンピュータマウス、ディスプレイ及び/又はプリンタを含むことが可能である。
幾つかの実施形態では、コントローラ595が、サセプタアセンブリ140及びガス分配アセンブリ120に接続されている。幾つかの実施形態のコントローラ595は、様々な機能及びプロセスを制御するための1つ以上の構成を有する。幾つかの実施形態では、コントローラ595は、主堆積生成器510及び低周波バイアス生成器540にも接続されており、これらの機能を制御する。幾つかの実施形態では、上記構成は、中央軸の周りでサセプタアセンブリを回転させるための第1の構成と、処理領域のそれぞれへのガスの流れを制御するための第2の構成と、主堆積生成器への電力を制御するための第3の構成と、低周波バイアス生成器への電力を制御するための第4の構成と、から選択される。
本開示の1つ以上の実施形態は、3D構造の側壁に誘電体膜を選択的に堆積させる方法を対象とする。バイアスハードウェア設定によって、指向性のあるプラズマ処理を可能としうる。幾つかの実施形態は、プラズマ処理中のガス組成を変更することによる水平面の選択的堆積を対象とする。
図15及び図17を参照しながら、本開示の幾つかの実施形態は、膜を堆積させる方法600を対象とする。少なくとも1つの基板が、サセプタアセンブリの上面に載置される。基板610は、少なくとも1つのフューチャー614が形成された表面612を有する。フューチャー614は、表面612から底部616へと、或る一定の深さで延在しており、側壁618を有する。フューチャーの幅は、任意の適切な幅であってよく、フューチャーの深さは、任意の適切な深さであってよい。
ガスの流れが、処理チャンバ内の複数の処理領域へと供給される。電力が主堆積生成器510に供給されて、プラズマ処理領域525内でプラズマが形成される。低周波バイアス生成器540に電力供給されて、サセプタアセンブリ140に低周波バイアスが供給される。幾つかの実施形態では、低周波バイアスがサセプタアセンブリ140に印加されている間は、熱処理領域への反応性ガスの流れが止められる。
基板表面は、第1の数の堆積サイクルに暴露され、続いて、第2の数の処理サイクルに暴露されうる。各堆積サイクルは、処理チャンバの第1の処理領域内で第1の反応性ガスに基板表面を暴露することと、第1の処理領域からガスカーテンを通して第2の処理領域へと基板表面を移動させることと、第2の処理領域内で第2の反応性ガスに基板表面を暴露することと、第2の処理領域からガスカーテンを通してプラズマ処理領域へと基板表面を移動させることと、プラズマ処理領域内で基板表面をプラズマ処理に暴露することを含む。各処理サイクルは、サセプタアセンブリに低周波バイアスを供給することと、バイアスプラズマ処理領域内で、主堆積生成器により生成された主周波数のプラズマを用いるバイアスプラズマ処理領域内で基板表面を暴露することであって、低周波バイアスがサセプタアセンブリに印加される、バイアスプラズマ処理領域内で基板表面を暴露することを含む。幾つかの実施形態では、処理サイクルは、第1の処理領域の第1の反応性ガスの流れと、第2の処理領域内の第2の反応性ガスの流れと、を止めることを更に含む。幾つかの実施形態では、バイアスプラズマ処理領域は、プラズマ処理領域と同じである。
堆積サイクルの第1の数は、任意の適切な数でありうる。幾つかの実施形態では、堆積サイクルの第1の数は、おおよそ1からおおよそ500の範囲内、又はおおよそ10からおおよそ250の範囲内にある。幾つかの実施形態では、堆積サイクルの第1の数はおおよそ100である。処理サイクルの第2の数は、おおよそ1からおおよそ50の範囲内でありうる。幾つかの実施形態では、1つの処理サイクルのみが堆積サイクルの数に従って利用される。
図5及び図16を参照しながら、例示的なプロセスを説明する。第1の処理領域250a及び第5の処理領域250eが、第1の反応性ガスを伝達するよう構成される。第2の処理領域250b及び第6の処理領域250fが、第2の反応性ガスを伝達するよう構成される。第3の処理領域250c及び第7の処理領域250gが、パージガスを伝達するよう構成される。第4の処理領域250d及び第8の処理領域250hが、主堆積生成器510によるプラズマ処理により構成される。基板が、サセプタアセンブリの中心軸の周りを回転させられ、基板が、当該基板の上面、フィーチャの側壁及び底部に膜620を堆積させるための複数のサイクルに暴露される。
第1の処理領域250a、第2の処理領域250b、第5の処理領域250e、及び第6の処理領域250fへの反応性ガスの流れが止められて、パージガスが流されうる。パージバスを、これらの(又は他の)区域に一定に流すことが可能であり、反応性ガスがパージバスの流れに加えられる。低周波バイアスをサセプタアセンブリ140に印加するために、低周波バイアス生成器540に電力供給することが可能であり、基板が少なくとも1つの処理サイクルに暴露されうる。1つの処理サイクルが、プラズマ処理領域への1回の暴露であり、処理チャンバを回る完全なサイクルではない。完全なサイクルであれば、2回の暴露であろう。処理プロセスによって、基板表面612及びフューチャー614の底部616から膜620が除去され、フューチャー614の側壁618の膜620は残される。処理プラズマの組成は、堆積プラズマの組成と同じであってよく又は異なっていてよい。幾つかの実施形態では、処理プラズマの組成は、Ar、He、N、H、又はNHの1つ以上を含む。幾つかの実施形態では、処理プラズマの組成は、堆積プラズマの組成とは異なっている。
実施例
基準点(POR:point of reference)のサンプルが、400AまでのSiNの連続的な膜堆積により、バイアスを印加することなく作成された。処理された(Trt)サンプルは、5Aまでの(バイアス有り又はバイアス無しの)SiN膜堆積ステップと、バイアスを印加する処理ステップと、から成る50回のループに掛けられた。上記の堆積ステップ及び処理ステップは、同じチャンバにおいて、堆積ステップの間のAr/Nからの混合ガスから、処理の間のAr/NHへと切り替えることにより連続的に行われた。
理論に束縛されることなく、処理プロセスが膜へのプラズマ損傷を誘発しそのエッチング速度(ER:etch rate)を上げると考えられる。バイアスを印加することによりプラズマ処理の指向性が高められ、これにより、三次元構造における頂部及び底部の膜が、後続のエッチングプロセスよって、上記構造の側壁の膜よりも簡単に除去され、側壁上の膜が選択的に残される。プラズマ処理の効率を最大化するために、プラズマ処理が、堆積中に周期的に適用された。処理の周波数(又は各堆積ステップ間の膜厚)は、典型的に数十オングストロームの、プラズマの侵入深さによって決定されうる。
処理された膜の湿式エッチング速度(1:100で希釈されたHF)(WER:wet etch rate)(POR膜の湿式エッチング速度に正規化されている)が、評価された。バイアス電力が増大するにつれて、処理される膜のWERが急速に上がり、PORサンプルのWERの40倍(40x)より高いWERに達した。WERは約50Wを上回る電力において限界に達したようにみえたが、処理の信頼性にとっては有用でありうる。
堆積-処理SiN膜が、アスペクト比(AR:aspect ratio)が4~5:1のフューチャーを含む構造化されたウエハ上で加工処理された。TEM画像により、処理されたサンプルにおいて、上記構造がSiN膜により均一に被覆されていることが示された。上記構造の側壁上の膜は、1分間のエッチング後に選択的に残されたが、上記構造の頂部及び底部の膜はエッチングにより除去された。
側壁の選択的堆積プロセスが、堆積ステップ及び処理ステップの間のガス組成を変更することで、選択的な「水平面堆積(horizontal plane deposition)」にまで拡大された。Ar/NHプラズマが堆積プロセス中に利用され、低品質の(WERが高い)膜がもたらされた。処理パートの間、膜がAr/Nプラズマを用いて、LFバイアスを印加することで処理された。Ar/N処理によって膜特性が改善され(WERは低い)、結果として、後続の湿式エッチングプロセスの後で、SiN膜が選択的に水平面上に残された。処理サイクルが、約5Aの堆積後に実施された。
1つ以上の実施形態によれば、基板は、層の形成に先立って及び/又は層の形成の後で処理が施される。この処理は、同一のチャンバ内で、又は1つ以上の別々の処理チャンバ内で実施されうる。幾つかの実施形態では、基板が、第1のチャンバから、更なる処理のために別個の第2のチャンバに移動させられる。基板は、第1のチャンバから別個の処理チャンバへと直接的に移動させることが可能であり、又は、第1のチャンバから1つ以上の移送チャンバへと移動させ、次いで別個の処理チャンバへと移動させることが可能である。従って、処理装置は、移送ステーションに通じている複数のチャンバを備えうる。この種の装置は「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」等と称されうる。
クラスタツールは概して、基板の中心検出及び配向、ガス抜き、アニール処理、堆積、及び/又はエッチングを含む様々な機能を実行する、複数のチャンバを備えたモジュールシステムである。1つ以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することが可能なロボットを収納しうる。移送チャンバは典型的に、真空条件で維持されており、基板を、1のチャンバから、他のチャンバ及び/又はクラスタツールの前端に配置されたロードロックチャンバへと往復搬送するための中間ステージを提供する。本開示のために適合されうる2つのよく知られたクラスタツールが、Centura(登録商標)及びEndura(登録商標)であり、両方とも、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能である。しかしながら、チャンバの実際の配置及び組合せは、本明細書に記載のプロセスの特定のステップを実施するために変更されうる。利用可能な他の処理チャンバには、限定されないが、周期的層堆積(CLD:cyclical layer deposition)、原子層堆積(ALD:atomic layer deposition)、化学気相堆積(CVD:chemical vapor deposition)、物理的気相堆積(PVD:physical vapor deposition)、エッチング、予洗浄、化学洗浄、RTPといった熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及び他の基板処理が含まれる。クラスタツール上のチャンバ内でプロセスを実行することにより、その次の膜を堆積させる前に酸化することなく、空気中の不純物による基板の表面汚染を回避することが可能である。
1つ以上の実施形態によれば、基板は、連続的に真空または「ロードロック(load lock)」条件下にあり、1のチャンバから次のチャンバへ移動されるときに、周囲空気に曝されない。ゆえに、移送チャンバは、真空下にあり、真空圧力のもとで「ポンプダウン(pumped down)」される。処理チャンバ又は移送チャンバ内には、不活性ガスが存在しうる。幾つかの実施形態では、基板の表面上に層を形成した後に、反応物の一部又は全部を除去するために、パージガスとして不活性ガスが使用される。1つ以上の実施形態によれば、パージガスが堆積チャンバの出口で噴射され、反応物質が、堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動することが防止される。このようにして、不活性ガスの流れが、チャンバの出口でカーテンを形成する。
処理中に、基板は加熱又は冷却されうる。このような加熱又は冷却は、限定されないが、基板支持体(例えばサセプタ)の温度を変化させること、及び加熱又は冷却されたガスを基板表面に流すことを含む任意の好適な手段によって達成されうる。幾つかの実施形態では、基板支持体は、基板温度を導電的に変化させるよう制御することが可能なヒータ/クーラを含む。1つ以上の実施形態において、基板温度を局所的に変えるために、利用されるガス(反応性ガス又は不活性ガス)が加熱又は冷却される。幾つか実施形態では、ヒータ/クーラが、基板温度を対流によって変えるために、チャンバ内部で基板表面に隣接するように配置される。
基板は、処理中に、静止していることも、回転することも可能である。回転する基板は、連続的に、又は非連続に段階的に回転しうる。例えば、処理全体を通して基板を回転させてもよく、又は、様々な反応性ガス又はパージガスへの曝露の合間に基板を少しずつ回転させてもよい。処理中に基板を(連続的にまたは段階的に)回転させることは、例えば、ガス流形状の局所的な変動の影響を最小限に抑えることで、より均一な堆積またはエッチングの生成を支援しうる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく本開示の他の実施形態及び更なる実施形態が考案されてもよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (14)

  1. 処理チャンバであって、
    上面及び中央軸を有するサセプタアセンブリであって、前記上面に載置された複数の基板が前記サセプタアセンブリの前記中央軸の周りで回転させられる、上面及び中央軸を有するサセプタアセンブリと、
    複数の処理領域を含むガス分散アセンブリであって、各処理領域は、ガスカーテンによって隣り合う処理領域から分離され、前記処理領域は熱処理領域と、主堆積生成周波数で作動する主堆積生成器を備えたプラズマ処理領域と、を含む、ガス分散アセンブリと、
    前記サセプタアセンブリに主堆積生成周波数よりも小さい周波数の低周波バイアスを印加するために、前記サセプタアセンブリに電気的に接続された低周波バイアス生成器と
    を備え
    前記低周波バイアスが前記サセプタアセンブリに印加されている間は、前記熱処理領域への反応性ガスの流れが止められるように構成される、
    処理チャンバ。
  2. 前記低周波バイアス生成器は周波数が325kHzである、請求項1に記載の処理チャンバ。
  3. 前記低周波バイアス生成器は、インタフェースボックスを通じて前記サセプタアセンブリに接続される、請求項1又は2に記載の処理チャンバ。
  4. 前記インタフェースボックスは、前記サセプタアセンブリのDC絶縁のためのDC遮断コンデンサを含む、請求項3に記載の処理チャンバ。
  5. 前記インタフェースボックスは、前記主堆積生成周波数の高調波を遮断するための少なくとも1つのRFフィルタを含む、請求項3に記載の処理チャンバ。
  6. 前記インタフェースボックスは、低周波を上回る周波数を遮断するために少なくとも1つローパスフィルタを含む、請求項3に記載の処理チャンバ。
  7. 前記主堆積生成周波数は13.56MHzである、請求項1又は2に記載の処理チャンバ。
  8. 前記プラズマ処理領域が遠隔プラズマを生成する、請求項1又は2に記載の処理チャンバ。
  9. 前記プラズマ処理領域は、垂直プラズマ源を備え、前記垂直プラズマ源は、前記サセプタアセンブリの前記上面の上方に或る一定の距離を取って配置されたRFホット電極及びリターン電極を含む、請求項8に記載の処理チャンバ。
  10. 前記ガス分散アセンブリはプラズマ源アセンブリを有し、前記プラズマ源アセンブリは前記サセプタアセンブリの前記上面に対向している、請求項1又は2に記載の処理チャンバ。
  11. 前記主堆積生成器は、前記ガス分散アセンブリに電力を印加し、前記サセプタアセンブリは、リターン電極として作用し、前記主堆積生成器は、前記プラズマ処理領域内でプラズマを点火するために充分なエネルギーを加え、非プラズマ処理領域内でプラズマを点火しない、請求項10に記載の処理チャンバ。
  12. 前記サセプタアセンブリ、前記ガス分散アセンブリ、前記主堆積生成器、及び前記低周波バイアス生成器に接続されており、前記サセプタアセンブリ、前記ガス分散アセンブリ、前記主堆積生成器、及び前記低周波バイアス生成器の機能を制御するためのコントローラをさらに備え、
    前記コントローラは、前記処理チャンバの機能を制御するための1つ以上の構成を有し、前記1つ以上の構成は、前記サセプタアセンブリを前記中央軸の周りで回転させるための第1の構成と、前記処理領域のそれぞれへのガスの流れを制御するための第2の構成と、前記主堆積生成器への電力を制御するための第3の構成と、前記低周波バイアス生成器への電力を制御するための第4の構成と、から選択される、請求項1に記載の処理チャンバ。
  13. 層を堆積させる方法であって、
    サセプタアセンブリの上面に少なくとも1つの基板を載置することと、
    複数の処理領域へとガスの流れを供給することであって、各処理領域は、ガスカーテンによって隣り合う処理領域から分離され、前記複数の処理領域は、複数の熱処理領域を含み、前記複数の処理領域の少なくとも1つは、主堆積生成器が接続されているプラズマ処理領域である、複数の処理領域へとガスの流れを供給することと、
    前記プラズマ処理領域内でプラズマを形成するために前記主堆積生成器に電力を供給することと、
    前記サセプタアセンブリに電気的に接続された低周波バイアス生成器に電力を供給して、前記サセプタアセンブリに主堆積生成周波数よりも小さい周波数の低周波バイアスを印加することと、
    前記低周波バイアスが前記サセプタアセンブリに印加されている間は、前記複数の熱処理領域への反応性ガスの流れを止めることと、
    を含む、方法。
  14. 前記主堆積生成器は、13.56MHzの主堆積周波数で作動し、前記低周波バイアスは周波数が325kHzである、請求項13に記載の方法。
JP2020532822A 2017-12-16 2018-12-14 低周波バイアスを利用した誘電体膜の形状選択的な堆積 Active JP7002655B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762599688P 2017-12-16 2017-12-16
US62/599,688 2017-12-16
PCT/US2018/065620 WO2019118812A1 (en) 2017-12-16 2018-12-14 Geometrically selective deposition of dielectric films utilizing low frequency bias

Publications (2)

Publication Number Publication Date
JP2021507517A JP2021507517A (ja) 2021-02-22
JP7002655B2 true JP7002655B2 (ja) 2022-02-04

Family

ID=66816246

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020532822A Active JP7002655B2 (ja) 2017-12-16 2018-12-14 低周波バイアスを利用した誘電体膜の形状選択的な堆積

Country Status (5)

Country Link
US (1) US11081318B2 (ja)
JP (1) JP7002655B2 (ja)
KR (1) KR102405729B1 (ja)
TW (1) TWI793218B (ja)
WO (1) WO2019118812A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677009B (zh) * 2016-01-24 2019-11-11 美商應用材料股份有限公司 雙端饋電可調諧電漿源
JP7308774B2 (ja) * 2020-02-06 2023-07-14 東京エレクトロン株式会社 成膜方法及び成膜装置
KR102482734B1 (ko) 2020-11-13 2022-12-30 충남대학교산학협력단 고주파 펄스 소스 및 저주파 펄스 바이어스를 이용한 플라즈마 극고종횡비 식각 방법
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
JP7459222B2 (ja) 2021-12-29 2024-04-01 セメス株式会社 基板処理装置、高調波制御ユニット及び高調波制御方法
CN115852315A (zh) * 2022-12-20 2023-03-28 安徽纯源镀膜科技有限公司 一种用于提高退膜效率的设备及工艺

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012216608A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd 基板処理方法
JP2014135464A (ja) 2012-06-15 2014-07-24 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法
JP2017135359A (ja) 2015-11-20 2017-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ラテラルプラズマ/ラジカル源
WO2017160647A1 (en) 2016-03-13 2017-09-21 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
JP2017212447A (ja) 2016-05-26 2017-11-30 東京エレクトロン株式会社 高アスペクト比フィーチャをエッチングするための多周波電力変調

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
KR101037043B1 (ko) 2009-02-27 2011-05-26 성균관대학교산학협력단 반도체 기판의 비아 형성방법
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US20130143415A1 (en) * 2011-12-01 2013-06-06 Applied Materials, Inc. Multi-Component Film Deposition
KR101493254B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
KR102152811B1 (ko) * 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US20150147889A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Tilted Plate For Batch Processing And Methods Of Use
TW201610215A (zh) * 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102412517B1 (ko) * 2014-10-08 2022-06-22 어플라이드 머티어리얼스, 인코포레이티드 이중층 ald를 사용한 정확한 임계 치수 제어
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
TWI677009B (zh) 2016-01-24 2019-11-11 美商應用材料股份有限公司 雙端饋電可調諧電漿源
US9644271B1 (en) 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012216608A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd 基板処理方法
JP2014135464A (ja) 2012-06-15 2014-07-24 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法
JP2017135359A (ja) 2015-11-20 2017-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ラテラルプラズマ/ラジカル源
WO2017160647A1 (en) 2016-03-13 2017-09-21 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
JP2017212447A (ja) 2016-05-26 2017-11-30 東京エレクトロン株式会社 高アスペクト比フィーチャをエッチングするための多周波電力変調

Also Published As

Publication number Publication date
TW201936978A (zh) 2019-09-16
TWI793218B (zh) 2023-02-21
US20190189400A1 (en) 2019-06-20
KR102405729B1 (ko) 2022-06-07
JP2021507517A (ja) 2021-02-22
KR20200089342A (ko) 2020-07-24
US11081318B2 (en) 2021-08-03
WO2019118812A1 (en) 2019-06-20

Similar Documents

Publication Publication Date Title
JP7002655B2 (ja) 低周波バイアスを利用した誘電体膜の形状選択的な堆積
KR102124463B1 (ko) 플라즈마 균일성을 개선하기 위한 rf 다중-피드 구조
US20210210312A1 (en) Symmetric Plasma Source to Generate Pie-Shaped Treatment
JP7069319B2 (ja) 垂直プラズマ源からの改良されたプラズマ暴露のために成形された電極
JP6880233B2 (ja) 回転式サセプタ向けのプラズマ源
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
JP6892439B2 (ja) スロット付きグランドプレートを有するプラズマモジュール
US20230307213A1 (en) Vertically adjustable plasma source
KR102662705B1 (ko) 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200812

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211227

R150 Certificate of patent or registration of utility model

Ref document number: 7002655

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150