JP6880233B2 - 回転式サセプタ向けのプラズマ源 - Google Patents

回転式サセプタ向けのプラズマ源 Download PDF

Info

Publication number
JP6880233B2
JP6880233B2 JP2019557813A JP2019557813A JP6880233B2 JP 6880233 B2 JP6880233 B2 JP 6880233B2 JP 2019557813 A JP2019557813 A JP 2019557813A JP 2019557813 A JP2019557813 A JP 2019557813A JP 6880233 B2 JP6880233 B2 JP 6880233B2
Authority
JP
Japan
Prior art keywords
housing
hot electrode
electrode
hot
peripheral edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019557813A
Other languages
English (en)
Other versions
JP2020520532A (ja
Inventor
カロル ベラ,
カロル ベラ,
アナンタ ケー. スブラマニ,
アナンタ ケー. スブラマニ,
ジョン シー. フォスター,
ジョン シー. フォスター,
フィリップ エー. クラウス,
フィリップ エー. クラウス,
ファルザド ハウシュマンド,
ファルザド ハウシュマンド,
ハンホン チェン,
ハンホン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020520532A publication Critical patent/JP2020520532A/ja
Application granted granted Critical
Publication of JP6880233B2 publication Critical patent/JP6880233B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

本開示の実施形態は、概して、基板を処理するための装置に関する。より詳細には、本開示の実施形態は、処理チャンバ(バッチ処理装置など)と共に使用される、モジュラー型プラズマ源(modular plasma sources)に関する。
半導体デバイスの形成は、一般的に、複数のチャンバを内包する基板処理プラットフォームにおいて行われる。一部の事例においては、マルチチャンバ処理プラットフォーム又はクラスタツールの目的は、制御された環境において、1つの基板上で2つ以上のプロセスを連続して実施することである。しかし、他の事例においては、マルチチャンバ処理プラットフォームが、基板に対して、単一の処理ステップしか実施しないこともあり、この場合、追加のチャンバは、プラットフォームが基板を処理する速度を最大化するためのものである。後者の場合、基板に対して実施されるプロセスは、典型的にはバッチプロセスであり、このプロセスにおいては、相対的に多数の(例えば25又は50枚の)基板が、所与のチャンバ内で同時に処理される。バッチ処理は、採算が合う様態で個々の基板に実施するには時間がかかりすぎるプロセス(例えば、原子層堆積(ALD)プロセス及び一部の化学気相堆積(CVD)プロセス)では、特に有益である。
一部のALDシステム、特に回転式基板プラテンを伴う空間的ALDシステムでは、モジュラー型プラズマ源(すなわち、システム内に容易に挿入されうるソース)が有益である。このプラズマ源は、プラズマが生成される空間、及び、被加工物を荷電粒子と活性化学ラジカル種(active chemical radical species)のフラックスに曝露するための通路からなる。
熱的なALD及びCVDのプロセスは、多くの場合、膜品質向上のための処理を包含する。これらの処理は、典型的には、エネルギー種(energetic species)又は反応種を含む。プラズマ源が、かかる種の主たるソースとなる。プラズマ源についての懸念の一部は、イオンを通過するエネルギーボンバードメント(energetic bombardment)、及び、スパッタリングによるプラズマ源からの材料汚染を含む。
回転式サセプタ(プラテンとも称される)を伴ういかなるシステムにおいても、線形放射状プラズマ源(linear radial plasma sources)では、プラズマ曝露(処理)は、ウエハの内側直径部において、外側直径部と比較して約2.7倍大きくなる。したがって、均一なプラズマ曝露のためには、プラズマは、内側直径部よりも外側直径部において強力になるべきである。したがって、当該技術分野において、回転式プラテン処理システムにおける均一なプラズマ曝露を実現する、プラズマ源が必要とされている。
本開示の一又は複数の実施形態はプラズマ源アセンブリを対象としており、このプラズマ源アセンブリは、内周エッジ、外周エッジ、及び表側面を有するハウジングを備える。ハウジングは、ガス入口を含み、ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、表側面の開口から出ることを可能にする。RFホット電極は、ハウジングの中にあり、狭長型本体を有する。この狭長型本体は、ハウジングの内周エッジの付近に内周端部を有し、ハウジングの外周エッジの付近に外周端部を有し、かつ、RFホット電極の長さを画定する。リターン電極は、ハウジングの内周エッジと外周エッジとの間に延在する狭長型本体を有する。リターン電極は、プラズマが形成されうる間隙を設けるよう、RFホット電極から離間している。RFホット電極の内周端部から、RFホット電極の長さの約25%以下の距離のところで、RFフィードがRFホット電極に接続される。
本開示の更なる実施形態は、サセプタアセンブリ及びガス分配アセンブリを備える、処理チャンバを対象とする。サセプタアセンブリは、処理チャンバの中にあり、中心軸の周りで複数の基板を支持し、回転させるための、上面を有する。ガス分配アセンブリは、サセプタアセンブリの上面に向けてガスの流れを導くようサセプタアセンブリの上面に面している、表側面を有する。ガス分配アセンブリはプラズマ源アセンブリを含み、プラズマ源アセンブリは、内周エッジ、外周エッジ、及び表側面を有するハウジングを備える。ハウジングは、ガス入口を含み、ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、表側面の開口から出ることを可能にする。RFホット電極はハウジングの中にある。RFホット電極は狭長型本体を有する。この狭長型本体は、第1表面及び第2表面を有し、ハウジングの内周エッジの付近に内周端部を有し、ハウジングの外周エッジの付近に外周端部を有し、かつ、RFホット電極の長さを画定する。第1リターン電極はハウジングの中にある。第1リターン電極は、ハウジングの内周エッジと外周エッジとの間に延在する狭長型本体を有する。第1リターン電極は、プラズマが形成されうる第1間隙を設けるよう、RFホット電極の第1表面から離間している。第2リターン電極はハウジングの中にある。第2リターン電極は、ハウジングの内周エッジと外周エッジとの間に延在する狭長型本体を有する。第2リターン電極は、プラズマが形成されうる第2間隙を設けるよう、RFホット電極の第2表面から離間している。RFホット電極の内周端部から、RFホット電極の長さの約25%以下の距離のところで、RFフィードがRFホット電極に接続される。プラズマ源アセンブリのハウジングの表側面は、サセプタアセンブリの上面から、約1mm〜約5mmの範囲内の距離を保って配置される。RFホット電極の内周端部で生成されるイオンフラックスは、RFホット電極の外周端部で生成されるイオンフラックスよりも少なくなる。
本発明の更なる実施形態は、基板を処理する方法を対象とする。基板は、ガス分配アセンブリに隣接して、サセプタアセンブリ上に配置される。ガス分配アセンブリはプラズマ源アセンブリを含み、プラズマ源アセンブリは、内周エッジ、外周エッジ、及び表側面を有するハウジングを備える。ハウジングは、ガス入口を含み、ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、表側面の開口から出ることを可能にする。RFホット電極は、ハウジングの中にあり、狭長型本体を有する。この狭長型本体は、第1表面及び第2表面を有し、ハウジングの内周エッジの付近に内周端部を有し、ハウジングの外周エッジの付近に外周端部を有し、かつ、RFホット電極の長さを画定する。第1リターン電極は、ハウジングの中にあり、ハウジングの内周エッジと外周エッジとの間に延在する狭長型本体を有する。第1リターン電極は、プラズマが形成されうる第1間隙を設けるよう、RFホット電極の第1表面から離間している。第2リターン電極は、ハウジングの中にあり、ハウジングの内周エッジと外周エッジとの間に延在する狭長型本体を有する。第2リターン電極は、プラズマが形成されうる第2間隙を設けるよう、RFホット電極の第2表面から離間している。RFホット電極の内周端部から、RFホット電極の長さの約25%以下の距離のところで、RFフィードがRFホット電極に接続される。ガスは、ハウジングのガス入口を通じて、RFホット電極と第1リターン電極との間の第1間隙、及び、RFホット電極と第2リターン電極との間の第2間隙に、流し込まれる。RFホット電極は、第1間隙及び第2間隙の中でプラズマを形成するよう、励起される。プラズマは、RFホット電極の内周端部で生成されるイオンフラックスを有し、このイオンフラックスは、RFホット電極の外周端部で生成されるイオンフラックスよりも少ない。基板はプラズマに曝露される。
本開示の実施形態の上述した特徴を詳しく理解しうるように、上記で簡単に要約した本開示の実施形態のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面は本開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本開示の一又は複数の実施形態による、基板処理システムの概略断面図を示す。 本開示の一又は複数の実施形態による、基板処理システムの斜視図を示す。 本開示の一又は複数の実施形態による、基板処理システムの概略図を示す。 本開示の一又は複数の実施形態による、ガス分配アセンブリの表側の概略図を示す。 本開示の一又は複数の実施形態による、処理チャンバの概略図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの概略断面図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの部分斜視図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの部分斜視図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの部分的な概略側面図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの概略底面図を示す。 本開示の一又は複数の実施形態による、蛇行電極を有するプラズマ源アセンブリの概略底面図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの概略底面図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの電極の部分的な概略側方断面図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの電極の部分的な概略側方断面図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの部分図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの側面図を示す。 本開示の一又は複数の実施形態による、プラズマ源アセンブリの断面図を示す。 本開示の一又は複数の実施形態によるプラズマ源アセンブリを使用した場合の、ウエハの半径上の場所の関数としてのプラズマフラックスのグラフを示す。
本開示の実施形態は、スループットを最大化し、処理効率を向上させるための、連続基板堆積向けの基板処理システムを提供する。この基板処理システムは、堆積前と堆積後のプラズマ処理にも使用されうる。
この明細書及び付随する特許請求の範囲で使用される場合、「基板(substrate)」及び「ウエハ(wafer)」という語は、交換可能に使用され、両方とも、プロセスが作用する表面又は表面の一部を表わす。基板への言及は、基板の一部のみへの言及でありうる(ただし、文脈上それ以外のことが明示されていない場合に限る)ことも、当業者には理解されよう。加えて、基板上の堆積への言及は、むき出しの基板と、表面上に一又は複数の膜又はフィーチャが堆積又は形成されている基板の、両方を意味しうる。
この明細書及び付随する特許請求の範囲で使用される場合、「反応性ガス(reactivegas)」、「前駆体(precursor)」、「反応体(reactant)」などの語は、交換可能に使用されて、基板表面と反応する化学種を含むガスを意味する。例えば、第1「反応性ガス」は、単に基板の表面上に吸着されるだけであり、第2反応性ガスとの更なる化学反応のために使用可能でありうる。
この明細書及び付随する特許請求の範囲で使用される場合、「低圧(reduced pressure)」という語は、約100Torr未満、又は約75Torr未満、又は約50Torr未満、又は約25Torr未満の、圧力を意味する。例えば、約1Torr〜約25Torrの範囲内と規定されている「中間圧力(medium pressure)」は、低圧である。
回転式プラテンチャンバは、多数の応用向けに検討されている。かかるチャンバでは、一又は複数のウエハが、回転式ホルダ(プラテン)の上に載置される。ウエハは、プラテンが回転するにつれて、様々な処理エリアの間を移動する。例えば、ALDであれば、処理エリアで、ウエハが前駆体及び反応体に曝露される。加えて、プラズマ曝露が、反応体として使用されうるか、或いは、膜成長を増強するよう膜若しくは基板表面を処理するため、又は膜特性を改変するために、使用されうる。本開示の実施形態の一部は、回転式プラテンALDチャンバを使用する際に、ALD膜の均一な堆積及び後処理(例えば高密度化)を提供する。
回転式プラテンALDチャンバは、従来型の時間領域プロセス(ウエハ全体が第1ガスに曝露され、パージされ、次いで第2ガスに曝露される)によって、又は、空間的ALD(ウエハが、部分的に第1ガスに曝露され、部分的に第2ガスに曝露され、これらのガス流を通るウエハの移動により層が堆積される)によって、膜を堆積させうる。
この明細書及び付随する特許請求の範囲で使用される場合、「パイ形(pie−shaped)」及び「くさび形(wedge−shaped)」という語は、本体が概して扇形であることを説明するために、交換可能に使用される。例えば、くさび形セグメントは、円形又はディスク形状の構造物の一断片でありうる。パイ形セグメントの内側エッジは、尖っていてもよく、又は、平坦エッジになるよう切り詰められても、丸み付けされてもよい。基板の経路は、ガスポートに対して垂直でありうる。一部の実施形態では、ガスインジェクタアセンブリの各々は、複数の狭長型ガスポートを備え、これらのガスポートは、基板が進む経路に対して実質的に垂直な方向に延在する。ガスポートの表側エッジは、プラテンに実質的に平行である。この明細書及び付随する特許請求の範囲で使用される場合、「実質的に垂直(substantially perpendicular)」という文言は、基板が移動する大体の方向が、ガスポートの軸に対しておおよそ垂直な(例えば約45°〜90°の)平面に沿っていることを、意味する。くさび形のガスポートでは、ガスポートの軸は、ポートの長さに沿って延在する、ポートの幅の中間点として画定された線であると見なされうる。
図1は、ガス分配アセンブリ120(インジェクタ又はインジェクタアセンブリとも称される)と、サセプタアセンブリ140とを含む、処理チャンバ100の断面を示している。ガス分配アセンブリ120は、処理チャンバ内で使用される、任意の種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に面する表側面121を含む。表側面121は、サセプタアセンブリ140に向かうガスの流れを供給するための、任意の数の又は多種多様な開口を有しうる。ガス分配アセンブリ120は、外周エッジ124も含み、外周エッジ124は、図示している実施形態では、実質的に円形である。
使用されるガス分配アセンブリ120の具体的な種類は、使用される特定のプロセスに応じて変更されうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意の種類の処理システムと共に使用されうる。様々な種類のガス分配アセンブリ(例えばシャワーヘッド)が用いられうるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ALDガス分配アセンブリを用いると、特に有用でありうる。この明細書及び付随する特許請求の範囲で使用される場合、「実質的に平行(substantially parallel)」という文言は、複数のガスチャネルの長手方向軸が大体同じ方向に延在することを意味する。ガスチャネルの平行度には、若干の不完全性が存在しうる。複数の実質的に平行なガスチャネルは、少なくとも1つの第1反応性ガスAのチャネル、少なくとも1つの第2反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は、少なくとも1つの真空Vのチャネルを含みうる。第1反応性ガスAのチャネル(複数可)、第2反応性ガスBのチャネル(複数可)、及び、パージガスPのチャネル(複数可)から流れるガスは、ウエハの上面に向けて導かれる。ガス流の一部は、ウエハ表面の端から端まで水平に移動し、パージガスPのチャネル(複数可)を通って処理領域から出る。基板は、ガス分配アセンブリの一端から他端まで動くことで、処理ガスの各々に順に曝露され、基板表面上に層が形成されることになる。
一部の実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作製された剛性の静止体である。一又は複数の実施形態では、ガス分配アセンブリ120は、図2に示しているように、複数の個別セクタ(例えば、複数のインジェクタユニット122)で構成される。単一ピース体と複数セクタ体のいずれであっても、説明されている本開示の様々な実施形態と共に使用されうる。
サセプタアセンブリ140は、ガス分配アセンブリ120の下方に配置される。サセプタアセンブリ140は、上面141と、上面141における少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143及びエッジ144も有する。凹部142は、処理される基板60の形状及びサイズに応じて、任意の好適な形状及びサイズにされうる。図1に示している実施形態では、凹部142は、ウエハの底部を支持するよう平らな底部を有している。しかし、凹部の底部は変更されうる。一部の実施形態では、凹部は、凹部の外周エッジに沿った段差領域であって、ウエハの外周エッジを支持するようサイズ決定される、段差領域を有する。ウエハの外周エッジの、この段差によって支持される分量は、例えば、ウエハの厚さ、及び、ウエハの裏側に既にあるフィーチャの存在に応じて、変更されうる。
一部の実施形態では、図1に示しているように、サセプタアセンブリ140の上面141の凹部142は、凹部142内に支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、サイズ決定される。この明細書及び付随する特許請求の範囲で使用される場合、「実質的に同一平面(substantially coplanar)」という文言は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm以内で同一平面になることを意味する。一部の実施形態では、これらの上面が、±0.15mm、±0.10mm、又は±0.05mmの範囲内で同一平面になる。一部の実施形態の凹部142は、ウエハの内側直径部(ID)が、サセプタの中心(回転軸)から約170mm〜約185mmの範囲内に配置されるように、ウエハを支持する。一部の実施形態では、凹部142は、ウエハの外側直径部(OD)が、サセプタの中心(回転軸)から約470mm〜約485mmの範囲内に配置されるように、ウエハを支持する。
図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇させ、下降させ、かつ回転させることが可能な、支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中心部内に、ヒータ、ガスライン、又は電気的構成要素を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を拡大又は縮小し、サセプタアセンブリ140を適切な位置へと移動させる、主たる手段でありうる。サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を作り出すためにサセプタアセンブリ140に対して微小調整を行いうる、微調整アクチュエータ162も含みうる。一部の実施形態では、間隙170の距離は、約0.1mm〜約5.0mmの範囲内、若しくは約0.1mm〜約3.0mmの範囲内、若しくは約0.1mm〜約2.0mmの範囲内、若しくは約0.2mm〜約1.8mmの範囲内、若しくは約0.3mm〜約1.7mmの範囲内、若しくは約0.4mm〜約1.6mmの範囲内、若しくは約0.5mm〜約1.5mmの範囲内、若しくは約0.6mm〜約1.4mmの範囲内、若しくは約0.7mm〜約1.3mmの範囲内、若しくは約0.8mm〜約1.2mmの範囲内、若しくは約0.9mm〜約1.1mmの範囲内であるか、又は約1mmである。
図に示している処理チャンバ100は、その中で複数の基板60がサセプタアセンブリ140に保持されうる、カルーセル型チャンバである。図2に示しているように、ガス分配アセンブリ120は、複数の別個のインジェクタユニット122を含んでよく、各インジェクタユニット122は、ウエハがインジェクタユニットの下を移動する際に、ウエハ上に膜を堆積させることが可能である。サセプタアセンブリ140の上方の、サセプタアセンブリ140のおおよそ向かい合った両端に配置された、2つのパイ形インジェクタユニット122が図示されている。インジェクタユニット122のこの数は、例示のためにのみ示されている。もっと多い又は少ない数のインジェクタユニット122が含まれうることが、理解されよう。一部の実施形態では、サセプタアセンブリ140の形状に一致する形状を形成するのに十分な数の、パイ形インジェクタユニット122が存在する。一部の実施形態では、個々のパイ形インジェクタユニット122は各々、他のインジェクタユニット122のいずれにも影響を与えることなく、個別に動かされ、取り外され、かつ/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にするために、1つのセグメントが上昇しうる。
複数のガスインジェクタを有する処理チャンバが、複数のウエハを同時に処理するために使用されることにより、これらのウエハは、同じプロセスフローを経ることが可能になる。例えば、図3に示しているように、処理チャンバ100は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始時に、基板60は、インジェクタアセンブリ30の間に配置されうる。ガス分配アセンブリ120の間にある各基板60は、サセプタアセンブリ140を45°回転させること17により、膜堆積のために、ガス分配アセンブリ120の下の点線円で示しているようにガス分配アセンブリ120へと動かされる。更に45°回転させると、基板60は、インジェクタアセンブリ30から離れるように動く。空間的ALDインジェクタを用いる場合、ウエハがインジェクタアセンブリに対して動いている間に、ウエハ上に膜が堆積される。一部の実施形態では、サセプタアセンブリ140は段階的に(in increments)回転し、これにより、基板60がガス分配アセンブリ120の下方で停止することが防止される。基板60の数とガス分配アセンブリ120の数は、同じであっても、異なっていてもよい。一部の実施形態では、ガス分配アセンブリの数と同じ数のウエハが処理される。一又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなり、ここでxは1以上の整数値である。
図3に示している処理チャンバ100は、実現可能な一構成を表わしているにすぎず、本開示の範囲を限定すると解釈すべきではない。ここでは、処理チャンバ100は複数のガス分配アセンブリ120を含む。図示している実施形態では、処理チャンバ100の周縁沿いに均等に離間している、4つのガス分配アセンブリ(インジェクタアセンブリ30とも称される)が存在する。図示している処理チャンバ100は八角形であるが、これは実現可能な一形状であり、本開示の範囲を限定すると見なされるべきではないことが、当業者には理解されよう。図示しているガス分配アセンブリ120は台形であるが、単一の円形構成要素であっても、図2に示しているような複数のパイ形セグメントで構成されてもよい。
図3に示している実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。このチャンバ180は、例えば、基板(基板60とも称される)を処理チャンバ100との間でローディング/アンローディングすることを可能にするために、処理チャンバ100の側部に接続される。基板をサセプタ上に移動させるために、ウエハロボットがチャンバ180内に配置されうる。
カルーセル(例えばサセプタアセンブリ140)の回転は、連続的であっても、非連続的であってもよい。連続処理においては、ウエハがインジェクタの各々に順次曝露されるように、ウエハは絶え間なく回転している。非連続処理においては、ウエハは、インジェクタ領域に移動して停止し、次いで、インジェクタ間の領域84に移動して停止しうる。例えば、カルーセルは、ウエハがインジェクタ間領域からインジェクタを越えて移動し(又は、インジェクタに隣接して停止し)、続いて、カルーセルが再度止まりうる次のインジェクタ間領域へと移動するように、回転しうる。インジェクタ間で止まることで、層の堆積と堆積との間の追加の処理ステップ(例えばプラズマへの曝露)のための時間が提供されうる。
図4は、インジェクタユニット122と称されうる、ガス分配アセンブリ220の一セクタ又は一部分を示している。インジェクタユニット122は、個別に又は他のインジェクタユニットと組み合わされて、使用されうる。例えば、図5に示しているように、図4のインジェクタユニット122が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4つのインジェクタユニットを分ける線は図示していない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1反応性ガスポート125と第2反応性ガスポート135の両方を有しているが、インジェクタユニット122にこれらの構成要素全てが必要なわけではない。
図4と図5の両方を参照するに、一又は複数の実施形態によるガス分配アセンブリ220は、複数のセクタ(又はインジェクタユニット122)であって、各セクタは同一であることも、異なっていることもある、複数のセクタを備えうる。ガス分配アセンブリ220は、処理チャンバ内に配置されており、ガス分配アセンブリ220の表側面121に、複数の狭長型ガスポート125、135、155、及び真空ポート145を備える。複数の狭長型ガスポート125、135と、(ガスポート125、135を取り囲んでいる)真空ポート145と、パージガスポート155とは、ガス分配アセンブリ220の内周エッジ123に隣接したエリアから外周エッジ124に隣接したエリアに向かって、延在する。図示している複数のガスポートは、第1反応性ガスポート125、第2反応性ガスポート135、第1反応性ガスポートと第2反応性ガスポートの各々を取り囲む真空ポート145、及びパージガス真空ポート155を含む。
図4又は図5に示している実施形態を参照するに、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると記述していても、ポートは、内側領域から外側領域へと径方向に延在しているだけではないことがある。真空ポート145は反応性ガスポート125及び反応性ガスポート135を取り囲んでいるので、ポートは接線方向にも延在しうる。図4及び図5に示している実施形態では、くさび形の反応性ガスポート125、135は、内周領域と外周領域に隣接したエッジを含むすべてのエッジが、真空ポート145に囲まれている。
図4を参照するに、基板が経路127に沿って動くにつれて、基板表面の各部分は様々な反応性ガスに曝露される。基板は、経路127を辿ると、パージガスポート155、真空ポート145、第1反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2反応性ガスポート135、そして真空ポート145に露出され、すなわち、それらに「遭遇する(see)」ことになる。ゆえに、図4に示している経路127が終わる際には、基板は第1反応性ガス125及び第2反応性ガス135からのガス流に曝露されて、層が形成されている。図示しているインジェクタユニット122は四分円をなしているが、より大きな又は小さなものであってもよい。図5に示すガス分配アセンブリ220は、順次接続された、4つの図4のインジェクタユニット122の組み合わせと見なされうる。
図4のインジェクタユニット122は、反応性ガス同士を分離させるガスカーテン150を示している。「ガスカーテン(gas curtain)」という語は、反応性ガスを混合しないように分離させる、ガス流又は真空の任意の組み合わせを説明するために使用されている。図4に示しているガスカーテン150は、真空ポート145の第1反応性ガスポート125に隣接した部分、中間のパージガスポート155、及び真空ポート145の第2反応性ガスポート135に隣接した部分を含む。ガス流と真空とのこの組み合わせは、第1反応性ガスと第2反応性ガスとの気相反応を防止するか又は最少化するために、使用されうる。
図5を参照するに、ガス分配アセンブリ220からのガス流と真空との組み合わせが、複数の処理領域250を分離させている。処理領域は、250同士の間のガスカーテン150を用いて、個々の反応性ガスポート125、135の周囲に大まかに画定されている。図5に示している実施形態により、8つの別個のガスカーテン150を間に有する、8つの別個の処理領域250が構成されている。1つの処理チャンバは、少なくとも2つの処理領域を有しうる。一部の実施形態では、少なくとも3つ、4つ、5つ、6つ、7つ、8つ、9つ、10、11、又は12の処理領域が存在する。
処理中に、基板は、どの所与の時点においても、1を上回る数の処理領域250に曝露されうる。しかし、別々の処理領域に曝露されている部分は、その2つを分離するガスカーテンを有することになる。例えば、基板の先行エッジが第2反応性ガスポート135を含む処理領域に入った場合、基板の中央部はガスカーテン150の下にあり、かつ、基板の後続エッジは第1反応性ガスポート125を含む処理領域内にあることになる。
処理チャンバ100に接続されたファクトリインターフェース280が図示されており、ファクトリインターフェース280は、例えばロードロックチャンバでありうる。基板60は、参照フレームを提供するために、ガス分配アセンブリ220に重ね合わせて図示されている。基板60は、多くの場合、サセプタアセンブリ上に置かれて、ガス分配アセンブリ120(ガス分配プレートとも称される)の表側面121の付近に保持されうる。基板60は、ファクトリインターフェース280を介して、処理チャンバ100内の、基板支持体又はサセプタアセンブリの上へとローディングされる(図3参照)。処理領域内に配置された基板60が図示されうるが、それは、この基板が、第1反応性ガスポート125に隣接して、かつ2つのガスカーテン150aと150bとの間に、配置されているからである。基板60を経路127に沿って回転させることにより、この基板は、処理チャンバ100をぐるりと、反時計回りに移動することになる。ゆえに、基板60は、第1処理領域250aから第8処理領域250hまでの処理領域に曝露される(第1から第8までの領域の間に全ての処理領域が含まれる)。図示されているガス分配アセンブリを使用する、処理チャンバを回る各サイクルでは、基板60は、第1反応性ガス及び第2反応性ガスの、4つのALDサイクルに曝露されることになる。
図5のようなバッチ処理装置における従来型のALDシーケンスでは、空間的に分離されたインジェクタからの化学物質Aと化学物質Bの流れはそれぞれ、インジェクタ間のポンプ/パージセクションを用いて維持される。この従来型のALDシーケンスは開始・終了パターンを有するが、このパターンが、堆積された膜の不均一性をもたらすことがある。発明者らは、驚くべきことに、空間的ALDのバッチ処理チャンバ内で実施される時間ベースのALDプロセスによって、より均一性の高い膜が提供されることを発見した。基本プロセスが、ガスA、反応性ガスがない状態、ガスB、反応性ガスがない状態、に曝露していくというものであれば、このプロセスは、膜に開始・終了パターンが形成されることを避けるために、インジェクタの下で基板をスイープして、化学物質Aと化学物質Bのそれぞれで基板を飽和させるためのものである。発明者らは、驚くべきことに、ターゲット膜厚が薄く(例えば20ALDサイクル未満で)、開始・終了パターンがウエハ内均一性性能に著しい影響を与える場合には、時間ベースの手法が特に有利であることを見い出した。発明者らは、本書に記載のSiCN、SiCO、及びSiCONの膜を作り出すための反応プロセスが、時間領域(time−domain)プロセスでは達成されない可能性があることも発見した。処理チャンバをパージするためにある時間量が使用されることにより、基板表面からの材料の剥離がもたらされる。説明している空間的ALDプロセスでは、ガスカーテンの下にある時間が短いので、この剥離は発生しない。
したがって、本開示の実施形態は、処理チャンバ100を含む、処理方法を対象としており、処理チャンバ100は、複数の処理領域250a〜250hを有し、各処理領域がガスカーテン150によって隣接した領域から区切られている(例えば図5に示している処理チャンバ)。処理チャンバの中のガスカーテンと処理領域の数は、ガス流の構成に応じて、任意の好適な数でありうる。図5に示している実施形態は、8つのガスカーテン150と、8つの処理領域250a〜250hとを有する。ガスカーテンの数は、処理領域の数と概して同じか、又はそれを上回る。例えば、領域250aが反応性ガス流を有さず、ローディングエリアとしての役割を果たすにすぎない場合、処理チャンバは、7つの処理領域と8つのガスカーテンとを有することになる。
複数の基板60が、基板支持体(例えば、図1及び図2に示しているサセプタアセンブリ140)の上に配置される。複数の基板60は、処理ために、処理領域を回るように動かされる。通常、ガスカーテン150は、チャンバ内に反応性ガスが流れ込んでいない期間を含め、処理中ずっと稼働している(ガスが流れ、真空はオンになっている)。
第1反応ガスAが処理領域250のうちの一又は複数に流し込まれている間、第1反応ガスAが流入しない全ての処理領域250には、不活性ガスが流し込まれる。例えば、第1反応性ガスが処理領域250bから処理領域250hまでの処理領域に流し込まれている場合、不活性ガスは、処理領域250aに流入することになる。不活性ガスは、第1反応性ガスポート125又は第2反応性ガスポート135を通じて流されうる。
処理領域内の不活性ガス流は、一定であることも、変動することもある。一部の実施形態では、反応性ガスは不活性ガスと共流する。不活性ガスは、キャリア及び希釈剤として作用する。キャリアガスに対する反応性ガスの量は少ないことから、共流によって、隣接した領域間の圧力差を低減することで、処理領域間のガス圧のバランスを取ることがより容易になりうる。
本開示の実施形態の一部は、インジェクタモジュールを対象としている。インジェクタモジュールは、空間的ALD処理チャンバに関連して説明されているが、このモジュールは、空間的ALDチャンバに限定されず、ガス流の均一性向上が有益である任意のインジェクタ用途に適用可能でありうることが、当業者には理解されよう。
本開示の実施形態の一部は、モジュラー型プラズマ源アセンブリ(すなわち、容易に処理システム内に挿入され、処理システムから取り外されうるソース)を、有利に提供する。かかるソースは、そのハードウェアの全て又は大部分を、原子層堆積プロセスと同じ圧力レベル(典型的には1〜50Torr)で動作させうる。本開示の実施形態の一部は、ウエハ表面全体でのイオンフラックスの改善を伴う、プラズマ源を提供する。一部の実施形態では、プラズマ源は、ウエハ表面に対して実質的に垂直に並べられた3つのプレートの間の容量性のソースを含む。一部の実施形態では、外側のプレートは接地され、内側のプレートは電力供給される。プレートの間でプラズマが作り出されうると同時に、ガス化学種が、プレートの間を、ウエハ表面に向かって流れる。プラズマは、実質的に源に閉じ込められ、電力供給されているプレートからスパッタリングされてウエハ表面に到達する材料を最少化する。本開示の実施形態の一部は、ホット電極からスパッタリングされた材料による基板の汚染を最少化するか又はなくすプラズマ源を、有利に提供する。一部の実施形態は、基板表面を実質的に変化させない、ソフトプラズマ(soft plasma)も有利に提供する。一又は複数の実施形態は、電気的リターンパスが基板を通過することを可能にせずにプラズマを生成しうる、装置を提供する。本開示の実施形態の一部は、ガス分配アセンブリに付加されることも、ガス分配アセンブリから取り外されることも可能な、モジュラー型遠隔プラズマ源を提供する。遠隔プラズマ源は、基板又は基板支持体を電極として使用せずに、プラズマを生成する。
RFホット電極(電力供給される電極)と接地プレート(リターン電極と称される)との間の間隙は、変更されうる。一部の実施形態では、この間隙は、約4mm〜約15mmの範囲内であり、調整可能でありうる。RFホット電極の幅も変更されうる。例えば、プレートは、イオンを加速させるためにテーパされうる。使用の際に、RFホット電極とリターン電極との間の間隙に流入するガス状種はイオン化される。このイオン化種は次いで、基板表面に接触しうる。様々な実施形態によって形成されるプラズマは、基板表面を実質的に変化させないソフトプラズマである。
図6から図17までを参照するに、本開示の一又は複数の実施形態は、モジュラー型容量結合プラズマ源300を対象としている。この明細書及び付随する特許請求の範囲で使用される場合、「モジュラー型(modular)」という語は、プラズマ源300が、処理チャンバに取り付けられることも、処理チャンバから取り外されることも可能であることを意味する。モジュラー型のソースは通常、一人で移動させることも、取り外すことも、取り付けることも可能である。
図6は、本開示の一又は複数の実施形態による、プラズマ源アセンブリ300の断面図を示している。図6に示しているプラズマ源アセンブリ300は、ガス入口315と表側面312とを有する、ハウジング310を含む。ガス入口315により、ガスの流れが、流れ経路318に沿ってハウジング310を通り、表側面312の開口313から出るように、移動することが可能になる。図示している実施形態は、便宜上中心からずれて描かれているガス入口315を有しているが、ガス入口315はハウジング310の中心にありうることが、当業者には理解されよう。加えて、一部の実施形態は、流れ経路318を通るガス流の均一性を向上させるための、プレナム316を含む。一部の実施形態のプレナム316は、ガスがプラズマキャビティ(間隙340、340b)に均一に到達することを可能にするために、少なくとも部分的に、複数の貫通孔及び/又はプレナムを有する誘電体で充填される。これらの貫通孔及び/又はプレナムは、プラズマブレークダウンを防止するのに十分なほど小さい、寸法を有する。一部の実施形態では、貫通孔は、約1mm、0.95mm、0.9mm、0.85mm、0.8mm、0.75mm、0.7mm、0.65mm、又は0.6mmに等しいか又はそれを下回る、直径を有する。
プラズマ源アセンブリ300は、1つのRFホット電極320と、少なくとも1つのリターン電極330とを含む。リターン電極330は、RFホット電極320と共に完結回路を形成する、任意の導電性材料である。リターン電極330は電子が流れるための経路を提供しうることが、当業者には理解されよう。このように使用される「リターン(return)」という語は、この電極がプラズマ成分の電気的経路の一部であることを意味しており、電流又は電子が流れる方向を暗示するものではない。
図6から図8を参照するに、RFホット電極320は、第1表面322と、第1表面322の反対側の第2表面324とを有する。図6はプラズマ源アセンブリ300の断面を示している一方、図7及び図8は、電極の部分斜視図を示している。このように使用する場合、第1表面322と第2表面324とは、厚さTのRFホット電極320の両側にある。RFホット電極320は通常、高さH、厚さT、及び長さLを有する、長方形のプリズムとして成形される。RFホット電極320は、流れ経路318に実質的に平行に配向された、第1表面322を有する。このように使用する場合、「実質的に平行(substantially parallel)」という語は、この表面が、平行(0°と定義される)から±10°以内であることを意味する。
リターン電極330も、RFホット電極320と同様に成形される。リターン電極は、流れ経路318に実質的に平行に配向されている、第1表面332を有する。リターン電極330の第1表面332は、間隙340を形成するよう、RFホット電極320の第1表面322から離間している。
リターン電極330、330bは、アルミニウム、ステンレス鋼、及び銅を含むがそれらに限定されるわけではない、任意の好適な材料でありうる。リターン電極330、330bは、任意の好適な電気的特性を有しうる。一部の実施形態では、リターン電極330、330bは接地電極である。接地電極は、電気接地と電気的に接触している、任意の導電性材料である。
一部の実施形態では、リターン電極330、330bは、RFホット電極320とは別の、電力供給される電極である。このように使用される場合、「RFホット電極とは別の(different from the RF hot electrode)」とは、電気的特性又は電位がRFホット電極とは異なっていることを意味する。例えば、生成されるプラズマの駆動力は、ウエハとの相互作用を最少化するために、移相器を使用して、単一のソースからプッシュ−プル方式で微調整されうる。この種の実施形態では、RFホット電極320は、例えば、リターン電極330とは位相が180°異なっていることがある。
図7に示しているように、プラズマ源アセンブリの実施形態の一部は、第2リターン電極330bを更に備える。第2リターン電極330bは、流れ経路318に実質的に平行に配向されている、第1表面332bを有する。第2リターン電極330bの第1表面332bは、間隙340bを形成するよう、RFホット電極320の第2表面324から離間している。間隙340と間隙340bは、同じ寸法を有しても、異なる寸法を有してもよい。一部の実施形態では、RFホット電極320とリターン電極330、330bとの間の間隙340、340bは、約4mm〜約15mmの範囲内、若しくは約5mm〜約14mmの範囲内、若しくは約7mm〜約13mmの範囲内、若しくは約9mm〜約12mmの範囲内であるか、又は約11mmである。
図9を参照するに、一部の実施形態では、RFホット電極320とリターン電極330、330bとの間の間隙340、340bは、電極の高さHに沿って変化する。図示している実施形態では、厚さTは、ガス入口315に隣接したところで、表側面312に隣接したところよりも厚くなる。換言すると、間隙340、340bのサイズは、ガス入口315に隣接したところで、表側面312に隣接したところよりも小さくなる。いかなる特定の動作理論にも拘束されるものではないが、RFホット電極320の厚さがテーパされることにより、ウエハに向けてイオンが加速されうると考えられている。
RFホット電極320の厚さTは、例えば電極材料に応じて、任意の好適な厚さでありうる。一部の実施形態では、RFホット電極は、約3mm〜約11mmの範囲内、若しくは約4mm〜約10mmの範囲内、若しくは約6mm〜約9mmの範囲内の厚さ、又は約8mmの厚さを有する。
RFホット電極320の高さHも変更されうる。一部の実施形態では、RFホット電極320の高さHは、約8mm〜約40mmの範囲内、若しくは約9mm〜約35mmの範囲内、若しくは約10mm〜約30mmの範囲内、若しくは約11mm〜約25mmの範囲内、若しくは約12mm〜約20mmの範囲内、若しくは約13mm〜約15mmの範囲内であるか、又は約14mmである。
一部の実施形態では、プラズマ源アセンブリ300のハウジング310はくさび形である。図10A及び図10Bは、くさび形のハウジング310を包含する、2つの実施形態を示している。図10Aでは、RFホット電極320及びリターン電極330は、ハウジング310の主軸308に沿って延在している。主軸308は、このように使用される場合、ハウジング310の内周エッジ123の中央と外周エッジ124の中央との間の軸のことである。図10Bでは、RFホット電極320及びリターン電極330は、ハウジング310の主軸308に対して垂直に延在している。
RFホット電極320とリターン電極330との間の間隔は、プラズマ源アセンブリ全体を通じて、実質的に同じであっても、変動してもよい。例えば、一部の実施形態では、RFホット電極とリターン電極とは、くさび形のハウジング310の外周エッジ124のところで、内周エッジ123の付近よりも遠くに離間している。
図11は、RFホット電極320がハウジング310の中で蛇行形状を有している、本開示の別の実施形態を示している。このように使用する場合、「蛇行形状(serpentine shape)」という語は、電極が曲がりくねった形状を有することを意味する。この形状は、ハウジング310の形状に適合しうる。例えば、図11のハウジング310はくさび形であり、RFホット電極320は、外周エッジ124の付近で内周エッジ123の付近よりも大きくなっている、蛇行形状を有する。リターン電極330は、蛇行形状の長さに沿って実質的に同じ間隙340を維持するよう、RFホット電極320を補完する形状を有する。このように使用する場合、「実質的に同じ間隙(substantially the same gap)」という文言は、全長に沿った間隙が、平均間隙の10%を上回って変動しないことを意味する。端部誘電体350が、RFホット電極320とリターン電極330との間に配置されうる。端部誘電体350は、RFホット電極320とリターン電極330との電気接続を最少化しうる、任意の好適な材料でありうる。
図12は、RFホット電極320が、ハウジング310の主軸308に対して垂直に延在する複数のフィンガ328を有する、本開示の別の実施形態を示している。図示している実施形態は4つのフィンガ328を有しているが、RFホット電極320は、例えばハウジング310のサイズに応じて、任意の好適な数のフィンガ328を有しうることが、当業者には理解されよう。リターン電極330がRFホット電極320を補完する形状を有することにより、リターン電極330にも複数のフィンガ338がある。一部の実施形態では、リターン電極330は、RFホット電極320とリターン電極330との間に実質的に同じ間隙を維持するよう、成形される。図12に示しているくさび形のハウジング310は、一番内側のフィンガ328及び一番外側のフィンガ328の付近に、中間のフィンガの付近の間隙よりも大きな間隙を有している。この変動は、これらの領域におけるハウジング310の形状よるものであってよく、又は、プラズマ密度を制御するためのものでありうる。
一部の実施形態は、RFホット電極320の下側エッジ329に隣接した、クラディング360を含む。図13を参照するに、RFホット電極320は、2つのリターン電極330の間に図示されている。クラディング360が、RFホット電極320の下側エッジ329を、基板60及びサセプタアセンブリ140から分離させている。クラディング360の存在は、一部の実施形態では、RFホット電極320のスパッタリングによる基板60の汚染を防止又は最少化することに役立つ。クラディング360は、誘電体(セラミック材料など)を含むがそれらに限定されるわけではない、任意の好適な材料で作製されうる。クラディング360のサイズは、RFホット電極320の下側エッジ329を基板60の近辺から離すよう、調整されうる。一部の実施形態では、クラディング360は、約10mm〜約25mmの範囲内、若しくは約13mm〜約20mmの範囲内の長さL、又は約17mmの長さLを有する。
図14は、本開示の別の実施形態を示している。RFホット電極320は、下側エッジ329に隣接したクラディング360を有する。(例えば、接地するか又は電力供給される)リターン電極331が、クラディング360に隣接しており、このスペーサを基板60及びサセプタアセンブリから分離させている。いかなる特定の動作理論にも拘束されるものではないが、クラディング360とリターン電極331とを組み合わせることで、RFホット電極320と基板との直接的な相互作用が最少化されると考えられている。図14には2つのRFホット電極320及び2つのリターン電極330を図示しているが、任意の好適な数のRFホット電極320及びリターン電極330が存在しうることが、当業者には理解されよう。
図1、図2、図8、及び図15を参照するに、本開示の実施形態の一部は、サセプタアセンブリ140及びガス分配アセンブリ120を含む処理チャンバ100を対象としている。図15は、本開示の一又は複数の実施形態による処理チャンバ100の断面図を示している。サセプタアセンブリ140は、中心軸161の周りで複数の基板60を支持し、回転させるための上面141を有する。
ガス分配アセンブリ120は、サセプタアセンブリ140の上面141に向けてガスの流れを導くようサセプタアセンブリ140の上面141に面している、表側面121を有する。一部の実施形態のガス分配アセンブリ120は、くさび形のハウジング310(図10Aから図12参照)を有する、プラズマ源アセンブリ300を含む。くさび形のハウジングは、ハウジング310の主軸308を画定する、内周エッジ123と外周エッジ124とを有する。ハウジング310は、第1側部371、第2側部372、ガス入口315、及び表側面312を有する。流れ経路は、ガスが、ガス入口315からハウジング310を通って流れ、表側面312から出るように辿る経路として、画定される。
プラズマ源アセンブリ300は、流れ経路に実質的に平行に配向された第1表面322を伴う、少なくとも1つのRFホット電極320を有する。少なくとも1つのリターン電極330は、ハウジング310の中にあり、かつ第1表面332を有する。第1表面332は、流れ経路に平行に配向されており、間隙340を形成するようRFホット電極320の第1表面322から離間している。プラズマ源アセンブリ300のくさび形のハウジング310の表側面312は、サセプタアセンブリ140の上面141から、約1mm〜約5mmの範囲内、若しくは約1.5mm〜約4mmの範囲内の距離、又は約2mmの距離を保って、配置される。図15に示している実施形態は、プラズマ源アセンブリを有する処理チャンバの実現可能な一構成の例にすぎず、本開示の範囲を限定すると解釈すべきではない。
図6を再度参照するに、一部の実施形態は同軸RFフィードライン380を含み、同軸RFフィードライン380は、ハウジング310を通過し、かつ、間隙340内でプラズマを生成するために、RFホット電極320に電力を提供する。同軸RFフィードライン380は、絶縁体386によって分離された、外側導体382と内側導体384とを含む。内側導体384はRFホット電極320と電気通信可能であり、外側導体382は、電気接地又はRFホット電極とは異なる位相の電源(図示せず)と電気通信可能である。この明細書及び付随する特許請求の範囲で使用される場合、「電気通信(electrical communication)」という語は、構成要素同士が、直接的に或いは中間構成要素を通じて、電気抵抗がほとんどなくなるように接続されていることを意味する。内側導体384と外側導体382との間の間隙は、誘電体で充填されてよく、この誘電体は、セラミックであってよいが、任意の好適な誘電体材料でありうる。
同軸RFフィードライン380は、外側導体382がリターン電極330で終端するように構築されうる。内側導体384は、RFホット電極320で終端しうる。一部の実施形態では、ガス入口315は、同軸フィードの外周の周囲で、ハウジングを通っている。RFフィードは、同軸伝送ラインの形態でありうる。外側導体はリターン電極に接続され/終端されてよく、内側導体はRFホット電極に接続される。リターン電極330は、金属ガスケットを含むがそれに限定されるわけではない任意の好適な方法で、金属ハウジングに接続されうる。これは、リターン電流の対称形状を確保するのに役立つ。全てのリターン電流は、フィードの外側導体を昇流し、RFノイズを最少化する。一部の実施形態では、RFフィードは、対称RFフィード電流をRFホット電極に提供し、かつ対称リターン電流を提供するよう、設計される。全てのリターン電流は外側導体を昇流し、RFノイズは最少化され、かつ、工程に対するソース設置の影響が最少化される。
回転式サセプタ(プラテン)を使用するいかなる処理システムにおいても、図6から図8に示しているもののような線形放射状プラズマ源では、プラズマ曝露(処理)は、ウエハの内側直径部(ID)において、ウエハの外側直径部(OD)よりも大きくなる。同軸フィードがホット電極のおおよそ中心に接続されているシステムでは、IDでの曝露とODでの曝露との間の相違は、約2.7倍になりうる。一般に、同軸フィードは、ホット電極の大体中心において、ホット電極に接続される。この接続構成は、ウエハのIDとODとにおける均一なプラズマ曝露を提供しないことがある。本開示の一又は複数の実施形態は、単純な線形設計のプラズマ源を有利に提供する。一部の実施形態は、内側直径部のフィードを、高周波又は超高周波で有利に提供し、ウエハのIDからODへとプラズマフラックスを増大させる。
図15及び図16を参照するに、垂直プラズマ源(VPS)は、ウエハのIDからODに延在し、かつそれを超えて延在する、電力供給される電極(ホット電極)とリターン電極とを有する、線形プラズマ源でありうる。ホット電極とリターン電極との間の間隙は、IDからODに至るこれらの電極の長さに沿って、実質的に均一でありうる。
一部の実施形態の電極は、金属汚染を最少化するために、誘電体材料から作製された内側クラディング及び外側クラディングによって封入される。ウエハがプラズマに曝露される、クラディングの底部とウエハ/サセプタとの間の間隙は維持される。
通常、プラズマアセンブリにおいて生成される電場(及びプラズマフラックス)はRFフィードの付近で最大になり、場の強度は、RFフィードからの距離にしたがって減少する。線形の垂直プラズマ源では、驚くべきことに、最小の電場及びプラズマ密度はRFフィードの下方に発生する。いかなる特定の動作理論にも拘束されるものではないが、これは、RF電力の周波数にしたがって増大する、電磁効果によるものと考えられている。発明者らは、RFフィードをホット電極のID端部に向けて移動させることで、曝露の不均一性を相殺しうることを見い出した。
電源390は、任意の好適な周波数で稼働しうる。周波数電力が大きくなることによってプラズマ密度の変動が起こることがあるが、これにより、サセプタの場所によるIDとODとの間の曝露の相違が相殺されうることが、見い出されている。一部の実施形態では、電源390は、高周波(3〜30MHz)で、又は超高周波(30〜300MHz)で稼働する。一部の実施形態では、電源390は60MHzで稼働する。
図15から図17を参照するに、本開示の一又は複数の実施形態は、プラズマ源アセンブリ300を対象としている。プラズマ源アセンブリ300は、図17に示しているハウジング310を含む。一部の実施形態のハウジング310は、(使用される可能性がある)電力接続部又はガスライン接続部を除く、プラズマ源アセンブリの全ての構成要素を保持又は支持する。プラズマ源アセンブリは、1つのハウジング内で組み合わされてモジュラーになりうる。これにより、このアセンブリが、動かされることも、処理装置に追加されることも、処理装置から取り外されることも、可能になる。一部の実施形態のハウジング310は、図4又は図5に示しているように、ガス分配アセンブリ120内に収まるよう、くさび形である。ハウジング310はくさび形でありうるが、プラズマが形成されるプラズマキャビティ又は間隙の形状は、線形でありうる。図15に示している実施形態では、便宜上、ハウジングは図示されていない。
図16は、一部の実施形態のプラズマ源アセンブリ300の部分側方断面図を示している。ハウジング310は内周エッジ123と外周エッジ124とを有する。内周エッジ123及び外周エッジ124は、図4及び図5に示しているガス分配アセンブリ120と位置合わせされうる。図17に示しているように、ハウジング310は、ガス入口315を含み、ガス入口315からの流れ経路318を形成して、ガスの流れがハウジング310を通過し、プラズマ源アセンブリ300の表側面312の開口313から出ることを可能にしうる。表側面312は、ハウジング310、RFホット電極320、リターン電極330、又は、サセプタアセンブリからある距離を保って配置されうる任意の好適な材料によって、形成されうる。一部の実施形態では、表側面312は別個の構成要素同士の組み合わせから形成され、これにより、材料の混合がもたらされる。
プラズマ源アセンブリは、RFホット電極320であって、第1表面322と、第1表面322の反対側の第2表面324とを含む狭長型本体321を有する、RFホット電極320を含む。第1表面322と第2表面324が、RFホット電極320の幅を画定する。一部の実施形態では、第1表面322と第2表面324とは実質的に平行である。このように使用する場合、実質的に平行という語は、これらの表面が、平行であることから±10°、±9°、±8°、±7°、±6°、±5°、±4°、±3°、±2°、又は±1°の範囲内にある、主平面を形成することを意味する。一部の実施形態では、RFホット電極320の幅は、約2mm〜約20mmの範囲内、若しくは約3mm〜約15mmの範囲内、若しくは約4mm〜約10mmの範囲内、若しくは約5mm〜約9mmの範囲内、若しくは約6mm〜約8mmの範囲内であるか、又は約7mmである。
RFホット電極320の狭長型本体321は、内周端部323と外周端部325とを有する。RFホット電極320の内周端部323は、ハウジング310内の、ハウジング310の内周エッジ123の付近に配置される。RFホット電極320の外周端部325は、ハウジング310内の、ハウジング310の外周エッジ124の付近に配置される。内周端部323と外周端部325が、RFホット電極320の長さLを画定する。図16に示している実施形態は、RFホット電極320と大体同じ長さを有するハウジング310を図示している。これは実現可能な一構成を表わしているにすぎず、本開示の範囲を限定すると解釈すべきではない。一部の実施形態のハウジングは、RFホット電極の両端を越えて延在し、RFホット電極の少なくとも一部を包み込むことがある。一部の実施形態のRFホット電極320の長さLは、約160mm〜約440mmの範囲内である。RFホット電極320の長さLは、処理されるべき基板の幅の差し渡しにわたるよう構成されうる。例えば、処理される基板が200mm直径のウエハであれば、RFホット電極は、約160mm〜約440mmの範囲内、又は約180mm〜約220mmの範囲内、又は約190mm〜約210mmの範囲内、又は約195mm〜約205mmの範囲内の、長さLを有しうる。処理される基板が300mm直径のウエハであれば、RFホット電極は、約160mm〜約440mmの範囲内、又は約260mm〜約440mmの範囲内、又は約280mm〜約320mmの範囲内、又は約290mm〜約310mmの範囲内、又は約295mm〜約305mmの範囲内の、長さLを有しうる。
リターン電極330は、リターン電流が流れること、又は、リターン電流がRFホット電極とは逆極性の電圧を提供することを、可能にするのに適している、任意の構成要素でありうる。「リターン電極(return electrode)」という語は、RFホット電極と共に完結回路を形成する電気接続を表わすために使用されており、電流又は電子が流れる方向を暗示していると解釈すべきではない。一部の実施形態のリターン電極330はハウジング310である。一部の実施形態では、リターン電極330は、ハウジング310の中の別個の構成要素である。リターン電極330は、ハウジング310と同じ材料から作製されうるが、ハウジング310から電気的に絶縁されうる。又は、リターン電極330は、ハウジング310とは異なる材料から作製されることもある。図示している実施形態では、リターン電極330は、ハウジング310とは異なる材料である。一部の実施形態のリターン電極330は、ハウジングの内周エッジから外周エッジまで延在する、狭長型本体を有する。リターン電極は、プラズマが形成されうる間隙340を設けるよう、RFホット電極320から離間している。
RFフィード380が、電源390とRFホット電極320とを接続する。RFフィード380は、図6に示しているもののような、同軸RFフィードラインでありうる。図16に示しているように、RFフィード380は、RFホット電極320の内周エッジ323から距離Deのところで、RFホット電極に接続する。一部の実施形態の距離Dは、RFホット電極320の長さLの約25%以下となる。一部の実施形態では、距離Dは、RFホット電極320の長さLの約20%、15%、10%、5%、4%、3%、2%、又は1%以下となる。
図17に示しているように、一部の実施形態では、RFホット電極320は、RFホット電極320が基板又はサセプタアセンブリに対して直接露出しないように配置された、RFホット電極クラディング360を有する。このように使用する場合、「直接露出しない(not exposed directly)」及び類似の文言は、RFホット電極320から放出される原子が、直線経路を進行して基板表面に衝突することが不可能であることを意味する。図示している実施形態では、RFホット電極クラディング360は、RFホット電極320の露出している側部及び表面の全体を包み込んでいる。一部の実施形態のRFホット電極クラディング360は、ケイ素と酸化ケイ素のうちの一又は複数を含む。一部の実施形態では、RFホット電極クラディング360は、石英を含むか、又は実質的に石英からなる。一部の実施形態では、RFホット電極クラディング360は、スパッタリングされて処理中のウエハの汚染物質になることがない材料から、作製される。RFホット電極クラディング360の材料は、実施されるプロセス又は堆積に依拠しうる。
一部の実施形態では、リターン電極330は、リターン電極クラディング361を含む。一部の実施形態のリターン電極クラディング361は、リターン電極330が、基板又はサセプタの表面に対して直接露出しないように配置される。一部の実施形態では、リターン電極クラディング361は、ケイ素、酸化ケイ素、酸化アルミニウムのうちの一又は複数を含む。
一部の実施形態のリターン電極クラディング361は、RFホット電極クラディング360とは異なる材料を含む。一部の実施形態では、RFホット電極クラディング360とリターン電極クラディング361とは、同じ材料から作製される。一部の実施形態では、RFホット電極クラディング360は石英を含み、リターン電極クラディングは酸化アルミニウムを含む。一部の実施形態では、RFホット電極クラディング360は実質的に石英からなり、かつ/又は、リターン電極クラディングは実質的に石英又は酸化アルミニウムからなるこのように使用される場合、「実質的に〜からなる(consists essentially of)」という文言は、対象のクラディングの組成のうち、上述の材料が、重量ベースで約95%、98%、又は99%以上であることを、意味する。
RFホット電極クラディング360及びリターン電極クラディング361が、プラズマ源アセンブリ300の表側面312を形成しうる。RFホット電極クラディング360から基板60までの距離Gは、リターン電極クラディング361から基板60までの距離Gと同じであっても、異なっていてもよい。
一部の実施形態のプラズマ源アセンブリ300はプラズマを提供し、RFホット電極320内周端部323で生成されるイオンフラックスは、RFホット電極320の外周端部325で生成されるイオンフラックスよりも少なくなる。
本発明の更なる実施形態は、基板を処理する方法を対象としている。基板60は、ガス分配アセンブリ120に隣接して、サセプタアセンブリ140の上に配置される。ガス分配アセンブリ120は、本開示の一又は複数の実施形態によるプラズマ源アセンブリを含む。ハウジング310のガス入口315を通じて、RFホット電極320とリターン電極330との間の間隙340に、ガスが流し込まれる。内周端部323から測定して、RFホット電極320の長さLの25%以内のところに配置されたRFフィード380を通じて、RFホット電極320が励起されて、間隙340内にプラズマが形成される。プラズマはハウジング310の表側面312から流出して、基板60がプラズマに曝露される。
アルゴンプラズマが、100W、60MHzで、かつ6.5Tの圧力で生成された。RFフィードは、RFホット電極の内周端部の端から測定して、RFホット電極の長さの5%以内のところに配置された。プラズマ密度、イオンフラックス、及びエッチング速度は、ウエハIDからウエハODへと増大するよう保持された。
種々の電力設定で、アルゴンプラズマのイオンフラックスが測定された。ウエハに統合された(integrated)イオンフラックスは、図18に示しているように、ウエハIDからウエハODへと増大することが示された。
IDフィード/VPSプラズマ源を使用して、60MHz、400°C、300W、及び6.5Torrで、ALD二酸化ケイ素膜が堆積された。SiO2のウエハ内均一性は2%未満だった。希HF(1:100)内での熱SiO2堆積における湿式エッチング速度(WERR)は約1.9だった。
IDフィード/VPSプラズマ源を使用して、60MHz、500°C、100W、及び6.5Torrで、ALD窒化ケイ素膜が堆積された。SiNのウエハ内均一性は2%未満であり、希HF内での湿式エッチング速度は約4.5Å/minだった。
本開示の実施形態の一部は、処理チャンバであって、その中に、弓形経路に沿って配置された少なくとも1つの容量結合プラズマ源を備える、処理チャンバを対象としている。この明細書及び付随する特許請求の範囲で使用される場合、「弓形経路(arcuatepath)」という語は、円形又は楕円形の経路の少なくとも一部分を辿る任意の経路を意味する。弓形経路は、少なくとも約5°、10°、15°、20°、30°、45°、又は60°である経路の一部分に沿った、基板の動きを含みうる。
本開示の更なる実施形態は、複数の基板を処理する方法を対象としている。複数の基板が、処理チャンバ内の基板支持体上にローディングされる。基板上に膜を堆積させるために、複数の基板の各々をガス分配アセンブリに沿って動かすよう、基板支持体は回転する。基板支持体は、容量結合プラズマ源に隣接したプラズマ領域に基板を動かすよう回転し、このプラズマ領域において実質的に均一なプラズマ曝露が行われる。所定の厚さの膜が形成されるまで、これが反復される。
カルーセルの回転は、連続的でも非連続的でもよい。連続処理においては、ウエハがインジェクタの各々に順次曝露されるように、ウエハは絶え間なく回転している。非連続処理においては、ウエハは、インジェクタ領域に動いて停止し、次いで、インジェクタ間の領域に動いて停止しうる。例えば、カルーセルは、ウエハが、インジェクタ間領域からインジェクタを越えて動き(又は、インジェクタに隣接して停止し)、続いて、カルーセルが再度止まりうる次のインジェクタ間領域へと動くように、回転しうる。インジェクタ間で止まることで、層の堆積と堆積との間の追加的な処理(例えばプラズマへの曝露)のための時間が提供されうる。
プラズマの周波数は、使用される特定の反応種に応じて微調整されうる。好適な周波数は、400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、100MHz、121MHz、及び162MHzを含むが、それらに限定されるわけではない。
一又は複数の実施形態によると、層の形成前及び/又は形成後に、基板は処理を経る。この処理は、同じチャンバ内で実施されても、一又は複数の別個の処理チャンバ内で実施されてもよい。一部の実施形態では、基板は、第1チャンバから、更なる処理のために、別の第2チャンバに動かされる。基板は、第1チャンバから別の処理チャンバに直接動かされても、又は、第1チャンバから一又は複数の移送チャンバに動かされ、次いで別の処理チャンバに動かされてもよい。したがって、処理装置は、移送ステーションと連通している、複数のチャンバを備えうる。この種の装置は「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」などと称されうる。
通常、クラスタツールは、様々な機能(基板の中心決め及び配向付け、ガス抜き、アニーリング、堆積、並びに/又はエッチングを含む)を実施する複数のチャンバを備える、モジュラー型システムである。一又は複数の実施形態によると、クラスタツールは、少なくとも、第1チャンバ及び中央移送チャンバを含む。中央移送チャンバはロボットを収納してよく、このロボットは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送しうる。移送チャンバは、典型的には、真空条件に維持され、一つのチャンバから、別のチャンバ及び/又はクラスタツールのフロントエンドに配置されたロードロックチャンバに基板を往復搬送するための、中間ステージを提供する。本開示に適合しうる2つの周知のクラスタツールは、Centura(登録商標)とEndura(登録商標)であり、両方ともカリフォルニア州Santa ClaraのAppliedMaterials,Inc.から入手可能である。しかし、実際のチャンバの構成及び組合せは、本書に記載のプロセスの具体的なステップを実施するという目的のために、変更されうる。使用されうるその他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、熱処理(RTPなど)、プラズマ窒化、ガス抜き、配向付け、ヒドロキシル化、及びその他の基板プロセスを含むが、それらに限定されるわけではない。クラスタツールのチャンバ内でプロセスを実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染が回避されうる。
一又は複数の実施形態によると、基板は、連続的に真空又は「ロードロック(load lock)」の条件下にあり、一つのチャンバから次のチャンバに動かされる時に周囲空気に曝露されない。ゆえに、移送チャンバは、真空下にあり、真空圧力のもとで「ポンプダウン(pumped down)」される。処理チャンバ又は移送チャンバの中には不活性ガスが存在しうる。一部の実施形態では、基板の表面上に層を形成した後、反応体の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。一又は複数の実施形態によると、反応体が堆積チャンバから移送チャンバ及び/又は更なる処理チャンバに移動するのを防止するために、パージガスが堆積チャンバの出口部に注入される。ゆえに、不活性ガスの流れがチャンバの出口にカーテンを形成する。
処理中に、基板は加熱されうるか、又は冷却されうる。かかる加熱又は冷却は、基板支持体(例えばサセプタ)の温度を変化させること、及び加熱された又は冷却されたガスを基板表面に流すことを含むがそれらに限定されるわけではない、任意の好適な手段によって実現されうる。一部の実施形態では、基板支持体は、伝導により基板温度を変化させるよう制御されうる、ヒータ/クーラを含む。一又は複数の実施形態では、基板温度を局所的に変化させるために、用いられるガス(反応性ガス或いは不活性ガス)が加熱されるか、又は冷却される。一部の実施形態では、ヒータ/クーラは、基板温度を対流によって変化させるために、基板表面に隣接してチャンバ内に配置される。
基板は、処理中に、静止していても回転していてもよい。回転する基板は、連続的に、又は非連続に段階的に、回転しうる。例えば、基板は、プロセス全体を通して回転していても、種々の反応性ガス又はパージガスへの曝露と曝露の間に少しずつ回転してもよい。処理中に基板を(連続的に或いは段階的に)回転させることは、例えばガス流形状の局所的可変性の影響を最少化することによって、より均一な堆積又はエッチングを行う上で役立ちうる。
上記の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決まる。

Claims (14)

  1. 内周エッジ、外周エッジ、及び表側面を有するハウジングであって、ガス入口を含み、前記ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、前記表側面の開口から出ることを可能にする、ハウジングと、
    記ハウジングの中のRFホット電極であって、前記RFホット電極の本体が、前記ハウジングの前記内周エッジの付近に内周端部を有し、前記ハウジングの前記外周エッジの付近に外周端部を有するように延在し、かつRFホット電極の長さを画定している、RFホット電極と、
    前記ハウジングの前記内周エッジと前記外周エッジとの間に延在する本体を有するリターン電極であって、プラズマが形成されうる間隙を設けるよう、前記RFホット電極から離間している、リターン電極と、
    前記RFホット電極の前記内周端部から、前記RFホット電極の長さの25%以下の距離のところで前記RFホット電極に接続される、RFフィードと
    前記RFホット電極が露出しないように配置された、RFホット電極クラディングとを備える、
    プラズマ源アセンブリ。
  2. 前記RFホット電極クラディングが、ケイ素と酸化ケイ素のうちの一又は複数を含む、請求項に記載のプラズマ源アセンブリ。
  3. 前記RFホット電極クラディングが、スパッタリングされて処理中のウエハの汚染物質になることがない材料を含む、請求項1または2に記載のプラズマ源アセンブリ。
  4. 前記リターン電極が露出しないように配置された、リターン電極クラディングを更に備える、請求項1から3のいずれか一項に記載のプラズマ源アセンブリ。
  5. 前記リターン電極クラディングが、ケイ素、酸化ケイ素、酸化アルミニウムのうちの一又は複数を含む、請求項に記載のプラズマ源アセンブリ。
  6. 内周エッジ、外周エッジ、及び表側面を有するハウジングであって、ガス入口を含み、前記ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、前記表側面の開口から出ることを可能にする、ハウジングと、
    前記ハウジングの中のRFホット電極であって、前記RFホット電極の本体が、前記ハウジングの前記内周エッジの付近に内周端部を有し、前記ハウジングの前記外周エッジの付近に外周端部を有するように延在し、かつRFホット電極の長さを画定している、RFホット電極と、
    前記ハウジングの前記内周エッジと前記外周エッジとの間に延在する本体を有するリターン電極であって、プラズマが形成されうる間隙を設けるよう、前記RFホット電極から離間している、リターン電極と、
    前記RFホット電極の前記内周端部から、前記RFホット電極の長さの25%以下の距離のところで前記RFホット電極に接続される、RFフィードとを備え、
    前記RFホット電極の前記内周端部で生成されるイオンフラックスは、前記RFホット電極の前記外周端部で生成されるイオンフラックスよりも少なくなる
    ラズマ源アセンブリ。
  7. 内周エッジ、外周エッジ、及び表側面を有するハウジングであって、ガス入口を含み、前記ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、前記表側面の開口から出ることを可能にする、ハウジングと、
    前記ハウジングの中のRFホット電極であって、前記RFホット電極の本体が、前記ハウジングの前記内周エッジの付近に内周端部を有し、前記ハウジングの前記外周エッジの付近に外周端部を有するように延在し、かつRFホット電極の長さを画定している、RFホット電極と、
    前記ハウジングの前記内周エッジと前記外周エッジとの間に延在する本体を有するリターン電極であって、プラズマが形成されうる間隙を設けるよう、前記RFホット電極から離間している、リターン電極と、
    前記RFホット電極の前記内周端部から、前記RFホット電極の長さの25%以下の距離のところで前記RFホット電極に接続される、RFフィードとを備え、
    前記RFホット電極のそれぞれの側に1つのリターン電極がある状態で、2つのリターン電極が存在し、各リターン電極は、間隙を形成するよう、前記RFホット電極から離間している
    ラズマ源アセンブリ。
  8. 前記リターン電極が前記ハウジングである、請求項1から7のいずれか一項に記載のプラズマ源アセンブリ。
  9. 前記RFホット電極の前記内周端部から、前記RFホット電極の長さの5%以下の距離のところで、前記RFフィードが前記RFホット電極に接続される、請求項1から8のいずれか一項に記載のプラズマ源アセンブリ。
  10. 前記RFホット電極と前記リターン電極との間の前記間隙が、4mm〜15mmの範囲内の幅を有する、請求項1から9のいずれか一項に記載のプラズマ源アセンブリ。
  11. 処理チャンバ内のサセプタアセンブリであって、中心軸の周りで複数の基板を支持し、回転させるための上面を有する、サセプタアセンブリと、
    前記サセプタアセンブリの前記上面に向けてガスの流れを導くよう前記サセプタアセンブリの前記上面に面している表側面を有し、かつプラズマ源アセンブリを含む、ガス分配アセンブリとを備える、処理チャンバであって、前記プラズマ源アセンブリが、
    内周エッジ、外周エッジ、及び表側面を有するハウジングであって、ガス入口を含み、前記ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、前記表側面の開口から出ることを可能にする、ハウジングと、
    記ハウジング内のRFホット電極であって、前記RFホット電極の本体が、第1表面と第2表面を有し、前記ハウジングの前記内周エッジの付近内周端部、及び前記ハウジングの前記外周エッジの付近外周端部を有するように延在し、かつRFホット電極の長さを画定している、RFホット電極と、
    前記ハウジングの前記内周エッジと前記外周エッジとの間に延在する本体を有する、前記ハウジング内の第1リターン電極であって、プラズマが形成されうる第1間隙を設けるよう、前記RFホット電極の前記第1表面から離間している、第1リターン電極と、
    前記ハウジングの前記内周エッジと前記外周エッジとの間に延在する本体を有する、前記ハウジング内の第2リターン電極であって、プラズマが形成されうる第2間隙を設けるよう、前記RFホット電極の前記第2表面から離間している、第2リターン電極と、
    前記RFホット電極の前記内周端部から、前記RFホット電極の長さの25%以下の距離のところで前記RFホット電極に接続される、RFフィードとを備えており、
    前記プラズマ源アセンブリの前記ハウジングの前記表側面は、前記サセプタアセンブリの前記上面から、1mm〜5mmの範囲内の距離を保って配置され、前記RFホット電極の前記内周端部で生成されるイオンフラックスは、前記RFホット電極の前記外周端部で生成されるイオンフラックスよりも少なくなる、
    処理チャンバ。
  12. 前記RFホット電極が前記サセプタアセンブリに対して直接露出しないように配置された、RFホット電極クラディングを更に備える、請求項11に記載の処理チャンバ。
  13. 前記第1リターン電極及び前記第2リターン電極が前記サセプタアセンブリに対して直接露出しないように配置された、リターン電極クラディングを更に備える、請求項12に記載の処理チャンバ。
  14. 基板を処理する方法であって、
    基板を、ガス分配アセンブリに隣接して、サセプタアセンブリ上に配置することを含み、前記ガス分配アセンブリがプラズマ源アセンブリを含み、前記プラズマ源アセンブリは、
    内周エッジ、外周エッジ、及び表側面を有するハウジングであって、ガス入口を含み、前記ガス入口からの流れ経路を形成して、ガスの流れがハウジングを通過し、前記表側面の開口から出ることを可能にする、ハウジングと、
    記ハウジング内のRFホット電極であって、前記RFホット電極の本体が、第1表面と第2表面を有し、前記ハウジングの前記内周エッジの付近内周端部、及び前記ハウジングの前記外周エッジの付近外周端部を有するように延在し、かつRFホット電極の長さを画定している、RFホット電極と、
    前記ハウジングの前記内周エッジと前記外周エッジとの間に延在する本体を有する、前記ハウジング内の第1リターン電極であって、プラズマが形成されうる第1間隙を設けるよう、前記RFホット電極の前記第1表面から離間している、第1リターン電極と、
    前記ハウジングの前記内周エッジと前記外周エッジとの間に延在する本体を有する、前記ハウジング内の第2リターン電極であって、プラズマが形成されうる第2間隙を設けるよう、前記RFホット電極の前記第2表面から離間している、第2リターン電極と、
    前記RFホット電極の前記内周端部から、前記RFホット電極の長さの25%以下の距離のところで前記RFホット電極に接続される、RFフィードとを備えており、前記方法は更に、
    前記ハウジングの前記ガス入口を通じて、前記RFホット電極と前記第1リターン電極との間の前記第1間隙、及び、前記RFホット電極と前記第2リターン電極との間の前記第2間隙に、ガスを流入させることと、
    前記第1間隙及び前記第2間隙の中でプラズマを形成するために、前記RFホット電極を励起させることであって、前記プラズマは、前記RFホット電極の前記内周端部で生成されるイオンフラックスであって、前記RFホット電極の前記外周端部で生成されるイオンフラックスよりも少ないイオンフラックスを有する、前記RFホット電極を励起させることと、
    前記基板を前記プラズマに曝露することとを含む、
    方法。
JP2019557813A 2017-05-15 2018-05-15 回転式サセプタ向けのプラズマ源 Active JP6880233B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762506570P 2017-05-15 2017-05-15
US62/506,570 2017-05-15
PCT/US2018/032710 WO2018213272A2 (en) 2017-05-15 2018-05-15 Plasma source for rotating susceptor

Publications (2)

Publication Number Publication Date
JP2020520532A JP2020520532A (ja) 2020-07-09
JP6880233B2 true JP6880233B2 (ja) 2021-06-02

Family

ID=64097401

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019557813A Active JP6880233B2 (ja) 2017-05-15 2018-05-15 回転式サセプタ向けのプラズマ源

Country Status (6)

Country Link
US (2) US10903056B2 (ja)
JP (1) JP6880233B2 (ja)
KR (2) KR102226827B1 (ja)
CN (1) CN110622278B (ja)
TW (1) TWI733021B (ja)
WO (1) WO2018213272A2 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
TWI733021B (zh) * 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
WO2019021713A1 (ja) * 2017-07-28 2019-01-31 住友電気工業株式会社 シャワーヘッド及びその製造方法
FI130861B1 (fi) * 2020-10-12 2024-04-26 Beneq Oy Atomikerroskasvatuslaitteisto ja menetelmä
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866871A (en) 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
WO2001052302A1 (en) * 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
JP3962280B2 (ja) * 2002-05-21 2007-08-22 積水化学工業株式会社 放電プラズマ処理装置
KR100814584B1 (ko) * 2003-03-06 2008-03-17 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 장치 및 방법
KR100979186B1 (ko) * 2007-10-22 2010-08-31 다이나믹솔라디자인 주식회사 용량 결합 플라즈마 반응기
TWI440405B (zh) 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
EP2299789A4 (en) 2008-05-22 2013-11-06 Emd Corp PLASMA GENERATING APPARATUS AND PLASMA PROCESSING APPARATUS
US8994270B2 (en) * 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR20110054726A (ko) 2009-11-18 2011-05-25 주성엔지니어링(주) 기판처리장치
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
JP2013254723A (ja) 2012-05-11 2013-12-19 Hitachi High-Technologies Corp プラズマ処理装置
MX2015003569A (es) 2012-09-19 2016-06-21 Apjet Inc Aparato y metodo para procesar plasma bajo presion atmosferica.
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
WO2015023945A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP6552206B2 (ja) * 2015-02-02 2019-07-31 東京エレクトロン株式会社 排気管無害化方法及び成膜装置
CN108028164B (zh) * 2015-09-11 2020-12-29 应用材料公司 具有开槽接地板的等离子体模块
US10121655B2 (en) * 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
TWI733021B (zh) * 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
CN111492459B (zh) * 2017-12-15 2023-07-14 应用材料公司 用于来自竖直等离子体源的改进等离子体暴露的成形电极

Also Published As

Publication number Publication date
KR102302006B1 (ko) 2021-09-14
JP2020520532A (ja) 2020-07-09
US20210166923A1 (en) 2021-06-03
WO2018213272A3 (en) 2019-01-03
TWI733021B (zh) 2021-07-11
KR20190124330A (ko) 2019-11-04
CN110622278B (zh) 2022-02-11
WO2018213272A2 (en) 2018-11-22
US10903056B2 (en) 2021-01-26
TW201901733A (zh) 2019-01-01
KR20210028754A (ko) 2021-03-12
CN110622278A (zh) 2019-12-27
KR102226827B1 (ko) 2021-03-11
US20180330927A1 (en) 2018-11-15
US11315769B2 (en) 2022-04-26

Similar Documents

Publication Publication Date Title
US20210210312A1 (en) Symmetric Plasma Source to Generate Pie-Shaped Treatment
JP6880233B2 (ja) 回転式サセプタ向けのプラズマ源
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
US11315763B2 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
JP7002655B2 (ja) 低周波バイアスを利用した誘電体膜の形状選択的な堆積
US20230307213A1 (en) Vertically adjustable plasma source

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210406

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210430

R150 Certificate of patent or registration of utility model

Ref document number: 6880233

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250