KR20200089342A - 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착 - Google Patents

저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착 Download PDF

Info

Publication number
KR20200089342A
KR20200089342A KR1020207020556A KR20207020556A KR20200089342A KR 20200089342 A KR20200089342 A KR 20200089342A KR 1020207020556 A KR1020207020556 A KR 1020207020556A KR 20207020556 A KR20207020556 A KR 20207020556A KR 20200089342 A KR20200089342 A KR 20200089342A
Authority
KR
South Korea
Prior art keywords
plasma
processing
gas
susceptor assembly
low frequency
Prior art date
Application number
KR1020207020556A
Other languages
English (en)
Other versions
KR102405729B1 (ko
Inventor
케니치 오노
케이이치 타나카
리-쿤 시아
츠토무 타나카
드미트리 에이. 드질노
마리오 디. 실베티
존 씨. 포스터
라케쉬 라마다스
마이크 무르타그
알렉산더 브이. 가라크첸코
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200089342A publication Critical patent/KR20200089342A/ko
Application granted granted Critical
Publication of KR102405729B1 publication Critical patent/KR102405729B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L27/11551
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Abstract

막을 증착하고 처리 또는 식각하기 위한 장치 및 방법들이 설명된다. 배치 처리 챔버는, 적어도 하나의 플라즈마 처리 구역을 갖는 복수의 처리 구역들을 포함한다. 증착된 막의 방향성 처리 또는 식각을 수행하기 위해 저주파수 바이어스를 간헐적으로 인가하기 위한 저주파수 바이어스 발생기가 서셉터 조립체에 연결된다.

Description

저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
본 개시내용의 실시예들은 일반적으로, 기판들을 처리하기 위한 장치에 관한 것이다. 더 상세하게는, 본 개시내용의 실시예들은, 배치(batch) 처리 챔버들을 이용하여 막들을 증착하고 선택적으로 식각하기 위한 장치 및 방법들에 관한 것이다.
마이크로전자 기술의 발전은, 3차원(3D) 구조들의 노출된 표면들을 덮는 형상추종적 막들을 요구한다. SiO, SiN, 및 SiCN과 같은 유전체 막들의 원자 층 증착(ALD) 기법은, 구조들을 후속 프로세스 단계들로부터 보호하기 위한, 비아들, 접촉 홀들, 트렌치들, 및 3D NAND들 내부의 형상추종적 피복(coverage)에 대한 업계의 선택이 되었다.
ALD 막들이 일반적으로 수평 및 수직 표면들 둘 모두를 덮는 것을 목표로 하지만, 구조들의 최상부 및 최하부, 또는 수평 표면들이 덮이지 않은 채 남아 있는 동안 측벽들을 선택적으로 덮거나, 또는 반대로, 측벽이 덮이지 않은 채 있는 동안 수평 평면만을 덮는 것에 대한 최근의 관심이 존재한다. 그러한 기법들은, 후속 프로세스들에서, 덮인 평면들이 물질들 또는 임계 치수(CD)의 변화로부터 보호되는 동안의 덮이지 않은 표면들에서의 기저 물질들의 선택적 개질을 가능하게 할 것이다. 예컨대, 에피택셜 Si 또는 SiGe 위의 접촉 홀들에서의 선택적 측벽 증착은, 최하부 Si 또는 SiGe의 규화 및 후속하는 표면 산화물 제거 동안 그들의 측별들이 이러한 프로세스들 전체에 걸쳐 보호되는 것을 가능하게 한다.
현재, 측벽 증착을 달성하기 위해, 형상추종적 ALD 막이 증착되고 후속하여 건식 식각 프로세스를 엑스-시튜로 겪는다. 그러나, 이러한 프로세스 흐름과 관련하여 몇몇 문제들이 식별된다. 이러한 문제들 중에는, 건식 식각 프로세스가, 최하부 기저 층을 식각하는 것을 방지하기 위한 종료점 제어의 어려움으로 인해, 플라즈마 손상에 기인하여 디바이스 열화를 야기한다는 사실이 있다. 부가적으로, 현재의 프로세스들은, 이러한 프로세스를 달성하기 위해 고가의 건식 식각 툴들을 요구한다.
따라서, 관련 기술분야에는, 부가적인 장비/단계들 및 비용들을 최소화하는 선택적 측벽 증착을 위한 장치 및 방법들에 대한 필요성이 존재한다.
본 개시내용의 하나 이상의 실시예는 처리 챔버들에 관한 것이다. 처리 챔버는:
최상부 표면 및 중심 축을 갖는 서셉터 조립체 ― 서셉터 조립체는, 서셉터 조립체의 중심 축을 중심으로, 최상부 표면 상에 위치된 복수의 기판들을 회전시킴 ―;
복수의 처리 구역들을 포함하는 가스 분배 조립체 ― 각각의 처리 구역은 가스 커튼에 의해 인접한 처리 구역들로부터 분리되고, 처리 구역들 중 적어도 하나는, 주 증착 발생기 주파수로 동작하는 주 증착 발생기를 갖는 플라즈마 처리 구역을 포함함 ―; 및
서셉터 조립체에 저주파수 바이어스를 인가하기 위해 서셉터 조립체에 전기적으로 연결되는 저주파수 바이어스 발생기를 포함한다.
본 개시내용의 부가적인 실시예들은 막을 증착하는 방법들에 관한 것이다. 방법들은:
적어도 하나의 기판을 서셉터 조립체의 최상부 표면 상에 위치시키는 단계;
복수의 처리 구역들 내로 가스들의 유동들을 제공하는 단계 ― 각각의 처리 구역은 가스 커튼에 의해 인접한 처리 구역들로부터 분리되고, 복수의 처리 구역들은 복수의 열 처리 구역들을 포함하고, 복수의 처리 구역들 중 적어도 하나는, 주 증착 발생기가 연결되는 플라즈마 처리 구역임 ―;
플라즈마 처리 구역 내에 플라즈마를 형성하기 위해 주 증착 발생기에 전력을 제공하는 단계; 및
서셉터 조립체에 저주파수 바이어스를 인가하기 위해 서셉터 조립체에 전기적으로 연결되는 저주파수 바이어스 발생기에 전력을 제공하는 단계를 포함한다.
본 개시내용의 추가적인 실시예들은 막을 증착하는 방법들에 관한 것이다. 방법들은:
적어도 하나의 기판을 처리 챔버 내의 서셉터 조립체의 최상부 표면 상에 위치시키는 단계;
기판 표면을 제1 횟수의 증착 사이클에 노출시키는 단계 ― 각각의 증착 사이클은,
기판 표면을 처리 챔버의 제1 처리 구역에서 제1 반응성 가스에 노출시키는 것,
기판 표면을 제1 처리 구역으로부터 가스 커튼을 통해 제2 처리 구역으로 이동시키는 것,
기판 표면을 제2 처리 구역에서 제2 반응성 가스에 노출시키는 것,
기판 표면을 제2 처리 구역으로부터 가스 커튼을 통해 플라즈마 처리 구역으로 이동시키는 것, 및
기판 표면을 플라즈마 처리 구역에서 플라즈마 프로세스에 노출시키는 것을 포함함 ―; 및
기판 표면을 제2 횟수의 처리 사이클에 노출시키는 단계를 포함하며, 각각의 처리 사이클은:
서셉터 조립체에 저주파수 바이어스를 제공하는 것, 및
기판 표면을, 서셉터 조립체에 인가되는 저주파수 바이어스와 함께 주 증착 발생기를 이용하여 생성된 주 주파수 플라즈마를 사용하는 바이어싱된 플라즈마 처리 구역에서, 바이어싱된 플라즈마 프로세스에 노출시키는 것을 포함한다.
본 개시내용의 실시예들의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 실시예들의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 기판 처리 시스템의 개략적인 단면도를 도시한다.
도 2는 본 개시내용의 하나 이상의 실시예에 따른 기판 처리 시스템의 사시도를 도시한다.
도 3은 본 개시내용의 하나 이상의 실시예에 따른 기판 처리 시스템의 개략도를 도시한다.
도 4는 본 개시내용의 하나 이상의 실시예에 따른 가스 분배 조립체의 정면의 개략도를 도시한다.
도 5는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시한다.
도 6은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 개략적인 단면도를 도시한다.
도 7은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 부분 사시도를 도시한다.
도 8은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 부분 등각도를 도시한다.
도 9는 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 개략적인 저면도를 도시한다.
도 10은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 개략적인 측면도를 도시한다.
도 11은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체를 갖는 처리 챔버의 부분 등각도를 도시한다.
도 12는 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체 전극들의 부분 측단면 개략도를 도시한다.
도 13은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 부분 단면도를 도시한다.
도 14a는 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 단면도를 도시한다.
도 14b는 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체의 측단면도를 도시한다.
도 15는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략적인 단면도를 도시한다.
도 16은 본 개시내용의 하나 이상의 실시예에 따른 인터페이스 박스의 개략도를 도시한다.
도 17은 본 개시내용의 하나 이상의 실시예의 장치를 사용하는 예시적인 처리 방법을 도시한다.
본 개시내용의 실시예들은, 처리량을 최대화하고 처리 효율을 개선하기 위해 연속적인 기판 증착을 위한 기판 처리 시스템을 제공한다. 기판 처리 시스템은 또한, 증착-전 및 증착-후 플라즈마 처리들에 사용될 수 있다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이,"기판" 및 "웨이퍼"라는 용어는 상호교환가능하게 사용되고, 이들 둘 모두는, 프로세스가 작용하는 표면 또는 표면의 일부분을 지칭한다. 기판에 대한 언급은 또한, 문맥상 명확히 달리 표시하지 않는 한, 기판의 일부분만을 지칭할 수 있다는 것이 관련 기술분야의 통상의 기술자들에 의해 또한 이해될 것이다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 이상의 막 또는 피쳐가 상부에 증착 또는 형성된 기판, 및 베어(bare) 기판 둘 모두를 의미할 수 있다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물" 등의 용어들은, 기판 표면과 반응하는 종을 포함하는 가스를 의미하도록 상호교환가능하게 사용된다. 예컨대, 제1 "반응성 가스"는 단순히 기판의 표면 상에 흡착될 수 있고, 제2 반응성 가스와의 추가적인 화학 반응에 이용가능할 수 있다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "감소된 압력"이라는 용어는 약 100 Torr 미만, 또는 약 75 Torr 미만, 또는 약 50 Torr 미만, 또는 약 25 Torr 미만의 압력을 의미한다. 예컨대, 약 1 Torr 내지 약 25 Torr의 범위 내에 있는 것으로서 정의된 "중간 압력"은 감소된 압력이다.
회전식 플래튼 챔버들은 많은 응용들에서 고려되고 있다. 그러한 챔버에서, 하나 이상의 웨이퍼가 회전식 홀더("플래튼") 상에 배치된다. 플래튼이 회전함에 따라, 웨이퍼들은 다양한 처리 영역들 사이에서 이동한다. 예컨대, ALD에서, 처리 영역들은 웨이퍼를 전구체들 및 반응물들에 노출시킬 것이다. 게다가, 플라즈마 노출은 반응물로서 사용되거나, 향상된 막 성장을 위해 막 또는 기판 표면을 처리하거나 또는 막 특성들을 개질하기 위해 사용될 수 있다. 본 개시내용의 일부 실시예들은, 회전식 플래튼 ALD 챔버를 사용할 때 ALD 막들의 균일한 증착 및 후처리(예컨대, 치밀화)를 제공한다.
회전식 플래튼 ALD 챔버들은 전체 웨이퍼가 제1 가스에 노출되고 퍼지된 다음 제2 가스에 노출되는 통상의 시간-도메인 프로세스들에 의해, 또는 웨이퍼의 일부분들은 제1 가스에 노출되고 일부분들은 제2 가스에 노출되며 이러한 가스 스트림들을 통한 웨이퍼의 이동이 층을 증착하는 공간적 ALD에 의해 막들을 증착할 수 있다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "파이-형상" 및 "쐐기-형상"이라는 용어들은 원의 일 구획인 몸체를 설명하기 위해 상호교환가능하게 사용된다. 예컨대, 쐐기-형상 세그먼트는 원 또는 디스크-형상 구조의 일부일 수 있고, 다수의 쐐기-형상 세그먼트들은 원형 몸체를 형성하도록 연결될 수 있다. 일 구획은, 원의 2개의 반지름과 교차하는 호로 에워싸인 원의 일부로서 정의될 수 있다. 파이-형상 세그먼트의 내측 가장자리는 한 지점에 도달할 수 있거나, 평평한 가장자리로 절단되거나 둥글 수 있다. 일부 실시예들에서, 일 구획은 링 또는 환상체의 일부분으로서 정의될 수 있다.
기판들의 경로는 가스 포트들에 수직일 수 있다. 일부 실시예들에서, 가스 주입기 조립체들 각각은, 기판이 횡단하는 경로에 실질적으로 수직인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함하며, 가스 포트들의 전방 가장자리는 플래튼과 실질적으로 평행하다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직"이라는 용어는, 기판들의 일반적인 이동 방향이 가스 포트들로부터의 가스들의 유동에 대략적으로 수직(예컨대, 약 45° 내지 90°)인 평면을 따른다는 것을 의미한다. 쐐기-형상 가스 포트의 경우, 가스 포트의 세장형 축은, 포트의 길이를 따라 쐐기-형상 가스 포트의 내측 가장자리(좁은 가장자리)로부터 외측 가장자리(더 넓은 가장자리)로 연장되는 포트의 폭의 중간 지점으로서 정의되는 선으로 간주될 수 있다.
도 1은, 주입기들 또는 주입기 조립체로 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 처리 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는, 처리 챔버에서 사용되는 임의의 유형의 가스 전달 디바이스이다. 가스 분배 조립체(120)는, 서셉터 조립체(140)에 대면하는 전방 표면(121)을 포함한다. 전방 표면(121)은, 서셉터 조립체(140)를 향해 가스들의 유동을 전달하기 위해 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 도시된 실시예들에서는 실질적으로 원형인 외측 둘레 가장자리(124)를 포함한다.
사용되는 가스 분배 조립체(120)의 특정 유형은, 사용되는 특정 프로세스에 따라 다를 수 있다. 본 개시내용의 실시예들은, 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 유형의 처리 시스템과 함께 사용될 수 있다. 다양한 유형들의 가스 분배 조립체들(예컨대, 샤워헤드들)이 이용될 수 있지만, 본 개시내용의 실시예들은 특히, 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 ALD 가스 분배 조립체들에 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행"이라는 용어는, 가스 채널들의 세장형 축이 동일한 일반적인 방향으로 연장된다는 것을 의미한다. 가스 채널들의 평행도에 약간의 불완전성들이 존재할 수 있다. 복수의 실질적으로 평행한 가스 채널들은, 적어도 하나의 제1 반응성 가스(A) 채널, 적어도 하나의 제2 반응성 가스(B) 채널, 적어도 하나의 퍼지 가스(P) 채널 및/또는 적어도 하나의 진공(V) 채널을 포함할 수 있다. 제1 반응성 가스(A) 채널(들), 제2 반응성 가스(B) 채널(들) 및 퍼지 가스(P) 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면을 향해 지향된다. 가스 유동 중 일부는, 웨이퍼의 표면을 거쳐 수평으로 이동하여, 퍼지 가스(P) 채널(들)을 통해 처리 구역 밖으로 이동한다. 가스 분배 조립체의 일 단부로부터 다른 단부로 이동하는 기판은, 프로세스 가스들 각각에 차례로 노출되어, 기판 표면 상에 층을 형성할 것이다.
일부 실시예들에서, 가스 분배 조립체(120)는, 단일 주입기 유닛으로 구성되는 강성의 고정식 몸체이다. 하나 이상의 실시예에서, 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별 구획들(예컨대, 주입기 유닛들(122))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들과 함께 단일 조각 몸체 또는 다중-구획 몸체가 사용될 수 있다.
서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 위치된다. 서셉터 조립체(140)는, 최상부 표면(141), 및 최상부 표면(141)에 있는 적어도 하나의 함몰부(142)를 포함한다. 서셉터 조립체(140)는 또한 최하부 표면(143) 및 가장자리(144)를 갖는다. 함몰부(142)는, 처리되는 기판들(60)의 형상 및 크기에 따라 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시된 실시예에서, 함몰부(142)는 웨이퍼의 최하부를 지지하기 위한 평평한 최하부를 갖지만, 함몰부의 최하부는 다를 수 있다. 일부 실시예들에서, 함몰부는 함몰부의 외측 둘레 가장자리 주위에 계단 구역들을 가지며, 이 계단 구역들은 웨이퍼의 외측 둘레 가장자리를 지지하도록 크기가 정해진다. 계단들에 의해 지지되는 웨이퍼의 외측 둘레 가장자리의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 후면측 상에 이미 존재하는 피쳐들의 존재에 따라 다를 수 있다.
일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(140)의 최상부 표면(141)에 있는 함몰부(142)는, 함몰부(142)에서 지지되는 기판(60)이 서셉터(140)의 최상부 표면(141)과 실질적으로 동일 평면 상에 있는 최상부 표면(61)을 갖도록 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상에 있는"이라는 용어는, 웨이퍼의 최상부 표면과 서셉터 조립체의 최상부 표면이 ±0.2 mm 내에서 동일 평면 상에 있다는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다. 일부 실시예들의 함몰부(142)는 웨이퍼의 내경(ID)이 서셉터의 중심(회전 축)으로부터 약 170 mm 내지 약 185 mm의 범위 내에 위치하도록 웨이퍼를 지지한다. 일부 실시예들에서, 함몰부(142)는 웨이퍼의 외경(OD)이 서셉터의 중심(회전 축)으로부터 약 470 mm 내지 약 485 mm의 범위 내에 위치되도록 웨이퍼를 지지한다.
도 1의 서셉터 조립체(140)는, 서셉터 조립체(140)를 상승, 하강, 및 회전시킬 수 있는 지지 포스트(160)를 포함한다. 서셉터 조립체는, 지지 포스트(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 구성요소들을 포함할 수 있다. 지지 포스트(160)는, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 감소시켜 서셉터 조립체(140)를 적절한 위치로 이동시키는 주요 수단일 수 있다. 서셉터 조립체(140)는 또한, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 미리 결정된 갭(170)을 생성하기 위해 서셉터 조립체(140)에 대해 미세-조정들을 행할 수 있는 정밀 조정 액추에이터들(162)을 포함할 수 있다. 일부 실시예들에서, 갭(170) 거리는, 약 0.1 mm 내지 약 5.0 mm의 범위, 또는 약 0.1 mm 내지 약 3.0 mm의 범위, 또는 약 0.1 mm 내지 약 2.0 mm의 범위, 또는 약 0.2 mm 내지 약 1.8 mm의 범위, 또는 약 0.3 mm 내지 약 1.7 mm의 범위, 또는 약 0.4 mm 내지 약 1.6 mm의 범위, 또는 약 0.5 mm 내지 약 1.5 mm의 범위, 또는 약 0.6 mm 내지 약 1.4 mm의 범위, 또는 약 0.7 mm 내지 약 1.3 mm의 범위, 또는 약 0.8 mm 내지 약 1.2 mm의 범위, 또는 약 0.9 mm 내지 약 1.1 mm의 범위 내에 있거나, 약 1 mm이다.
도면들에 도시된 처리 챔버(100)는, 서셉터 조립체(140)가 복수의 기판들(60)을 유지할 수 있는 캐러셀-유형 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 별개의 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은, 웨이퍼가 주입기 유닛 아래로 이동됨에 따라, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 주입기 유닛(122)이, 서셉터 조립체(140) 위에서 서셉터 조립체(140)의 대략적으로 대향하는 측들 상에 위치된 것으로 도시된다. 이러한 수의 주입기 유닛들(122)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 더 적은 주입기 유닛(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상을 추종하는 형상을 형성하기 위한 충분한 수의 파이-형상 주입기 유닛(122)이 존재한다. 일부 실시예들에서, 개별 파이-형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 로봇이 기판들(60)을 적재/하적하기 위해서 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 구역에 접근하는 것을 허용하기 위해, 하나의 세그먼트가 상승될 수 있다.
웨이퍼들이 동일한 프로세스 흐름을 경험하도록 다수의 웨이퍼들을 동시에 처리하기 위해, 다수의 가스 주입기들을 갖는 처리 챔버들이 사용될 수 있다. 예컨대, 도 3에 도시된 바와 같이, 처리 챔버(100)는 4개의 가스 주입기 조립체 및 4개의 기판(60)을 갖는다. 처리의 착수 시에, 기판들(60)은 주입기 조립체들(30) 사이에 위치될 수 있다. 서셉터 조립체(140)를 45°만큼 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래의 점선 원에 의해 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이, 막 증착을 위해 가스 분배 조립체(120)로 이동되는 것을 초래할 것이다. 부가적인 45° 회전은 기판들(60)을 주입기 조립체들(30)로부터 멀어지게 이동시킬 것이다. 공간적 ALD 주입기들을 이용하여, 주입기 조립체에 대한 웨이퍼의 이동 동안 웨이퍼 상에 막이 증착된다. 일부 실시예들에서, 서셉터 조립체(140)는, 기판들(60)이 가스 분배 조립체들(120) 아래에서 정지하는 것을 방지하는 증분들로 회전된다. 기판들(60) 및 가스 분배 조립체들(120)의 수는 동일하거나 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들과 동일한 수의 처리되는 웨이퍼들이 존재한다. 하나 이상의 실시예에서, 처리되는 웨이퍼들의 수는, 가스 분배 조립체들의 수의 분율 또는 정수배이다. 예컨대, 4개의 가스 분배 조립체가 존재하는 경우, 처리되는 4x개의 웨이퍼가 존재하며, 여기서, x는 1 이상의 정수 값이다.
도 3에 도시된 처리 챔버(100)는 단지 하나의 가능한 구성을 나타낼 뿐이며, 본 개시내용의 범위를 제한하는 것으로 고려되지 않아야 한다. 여기서, 처리 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 처리 챔버(100) 둘레에 균등하게 이격된 4개의 가스 분배 조립체(주입기 조립체(30)로 또한 지칭됨)가 존재한다. 도시된 처리 챔버(100)는 팔각형이지만, 이는 하나의 가능한 형상이고, 본 개시내용의 범위를 제한하는 것으로 고려되지 않아야 한다는 것을 관련 기술분야의 통상의 기술자들은 이해할 것이다. 도시된 가스 분배 조립체들(120)은 사다리꼴이지만, 단일 원형 구성요소이거나 또는 도 2에 도시된 것과 같이 복수의 파이-형상 세그먼트들로 구성될 수 있다.
도 3에 도시된 실시예는 로드 록 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이러한 챔버(180)는, 예컨대 기판들(기판들(60)로 또한 지칭됨)이 처리 챔버(100)에 적재되는 것/처리 챔버(100)로부터 하적되는 것을 허용하기 위해, 처리 챔버(100)의 측부에 연결된다. 기판을 서셉터 상으로 이동시키기 위해, 웨이퍼 로봇이 챔버(180)에 위치될 수 있다.
캐러셀(예컨대, 서셉터 조립체(140))의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 처리에서, 웨이퍼들은, 웨이퍼들이 주입기들 각각에 차례로 노출되도록 끊임없이 회전한다. 불연속적인 처리에서, 웨이퍼들은 주입기 구역으로 이동되어 정지될 수 있으며, 그런 다음, 주입기들 사이의 구역(84)으로 이동되어 정지될 수 있다. 예컨대, 캐러셀은, 웨이퍼들이 주입기를 거쳐 주입기-간 구역으로부터 이동하고(또는, 주입기에 인접해서 정지함) 캐러셀이 다시 일시정지될 수 있는 다음 주입기-간 구역으로 이동하도록 회전할 수 있다. 주입기들 사이에서 일시정지되는 것은, 각각의 층 증착 사이의 부가적인 처리 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
도 4는, 주입기 유닛(122)으로 지칭될 수 있는 가스 분배 조립체(220)의 일 구획 또는 일부분을 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 조합되어 사용될 수 있다. 예컨대, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛(122)들 4개가 조합되어, 단일 가스 분배 조립체(220)를 형성한다. (명확성을 위해, 4개의 주입기 유닛을 분리하는 선들은 도시되지 않는다.) 도 4의 주입기 유닛(122)이, 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여, 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135) 둘 모두를 갖지만, 주입기 유닛(122)이 이러한 구성요소들 전부를 필요로 하지는 않는다.
도 4 및 도 5 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는, 복수의 구획들(또는 주입기 유닛들(122))을 포함할 수 있고, 각각의 구획은 동일하거나 상이하다. 가스 분배 조립체(220)는 처리 챔버 내에 위치되며, 가스 분배 조립체(220)의 전방 표면(121)에 있는 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145) 및 진공 포트들(155)은, 가스 분배 조립체(220)의 내측 둘레 가장자리(123)에 인접한 영역으로부터 외측 둘레 가장자리(124)에 인접한 영역을 향해 연장된다. 도시된 복수의 가스 포트들은, 제1 반응성 가스 포트(125), 제2 반응성 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
도 4 또는 도 5에 도시된 실시예들을 참조하면, 포트들이 적어도 내측 둘레 구역 주위로부터 적어도 외측 둘레 구역 주위로 연장되는 것으로 언급되지만, 그 때, 포트들은 내측 구역으로부터 외측 구역으로 단지 방사상으로 연장되는 것 이상으로 연장될 수 있다. 포트들은, 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌈에 따라, 접선방향으로 연장될 수 있다. 도 4 및 도 5에 도시된 실시예에서, 쐐기-형상 반응성 가스 포트들(125, 135)은, 내측 둘레 구역 및 외측 둘레 구역에 인접해 있는 것을 포함하는 모든 가장자리들 상에서 진공 포트(145)에 의해 둘러싸인다.
도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은, 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 반응성 가스 포트(135) 및 진공 포트(145)에 노출되거나 또는 이들을 "겪을(see)" 것이다. 따라서, 도 4에 도시된 경로(127)의 종단에서, 기판은 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135)로부터의 가스 스트림들에 노출되어 층을 형성한다. 도시된 주입기 유닛(122)은 사분원을 구성하지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는, 도 4의 주입기 유닛(122) 4개가 연속해서 연결되어 조합된 것으로 고려될 수 있다.
도 4의 주입기 유닛(122)은, 반응성 가스들을 분리하는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 반응성 가스들이 혼합되는 것을 분리하는, 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에 도시된 가스 커튼(150)은, 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155), 및 제2 반응성 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은, 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 최소화하는 데 사용될 수 있다.
도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들 및 진공의 조합은, 복수의 처리 구역들(250)로의 분리를 형성한다. 처리 구역들은 개별 반응성 가스 포트들(125, 135) 주위에 개략적으로 정의되며, 250 사이에 가스 커튼(150)이 있다. 도 5에 도시된 실시예는 8개의 별개의 처리 구역(250)을 구성하며, 이들 사이에 8개의 별개의 가스 커튼(150)이 있다. 처리 챔버는 적어도 2개의 처리 구역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개 또는 12개의 처리 구역이 존재한다.
처리 동안, 기판은 임의의 주어진 시간에 하나 초과의 처리 구역(250)에 노출될 수 있다. 그러나, 상이한 처리 구역들에 노출되는 부분들은 그 둘을 분리하는 가스 커튼을 가질 것이다. 예컨대, 기판의 선단 가장자리가, 제2 반응성 가스 포트(135)를 포함하는 처리 구역에 진입하는 경우, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고, 기판의 후단 가장자리는 제1 반응성 가스 포트(125)를 포함하는 처리 구역 내에 있을 것이다.
예컨대 로드 록 챔버일 수 있는 팩토리 인터페이스(280)가 처리 챔버(100)에 연결된 것으로 도시된다. 기판(60)은, 기준 프레임을 제공하기 위해 가스 분배 조립체(220) 위에 겹쳐져 있는 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)(가스 분배판으로 또한 지칭됨)의 전방 표면(121) 근처에 유지되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은, 팩토리 인터페이스(280)를 통해, 처리 챔버(100) 내로, 기판 지지부 또는 서셉터 조립체(도 3 참조) 상에 적재된다. 기판(60)은 처리 구역 내에 위치되는 것으로 도시될 수 있는데, 그 이유는, 기판이 제1 반응성 가스 포트(125)에 인접하게 그리고 2개의 가스 커튼(150a, 150b) 사이에 위치되기 때문이다. 경로(127)를 따라 기판(60)을 회전시키는 것은, 기판을 처리 챔버(100) 주위로 반시계방향으로 이동시킬 것이다. 따라서, 기판(60)은 제1 처리 구역(250a) 내지 제8 처리 구역(250h)(이들 사이의 모든 처리 구역들을 포함함)에 노출될 것이다. 도시된 가스 분배 조립체를 사용하는 처리 챔버 주위에서의 각각의 사이클에 대해, 기판(60)은 제1 반응성 가스 및 제2 반응성 가스의 4개의 ALD 사이클에 노출될 것이다.
도 5의 것과 같이, 배치 프로세서에서의 종래의 ALD 시퀀스는, 사이에 펌프/퍼지 구역이 있는 공간적으로 분리된 주입기들로부터의 화학물질 A 및 B의 유동을 각각 유지한다. 종래의 ALD 시퀀스는 시작 및 종료 패턴을 갖는데, 이는 증착된 막의 불균일성을 초래할 수 있다. 본 발명자들은 놀랍게도, 공간적 ALD 배치 처리 챔버에서 수행되는 시간 기반 ALD 프로세스가, 더 높은 균일성을 갖는 막을 제공한다는 것을 알게 되었다. 가스 A에 노출, 반응성 가스에 노출되지 않음, 가스 B에 노출, 반응성 가스에 노출되지 않음의 기본 프로세스는, 주입기들 아래의 기판을 스위핑하여 표면을 화학물질 A 및 B로 각각 포화시켜, 막에서 시작 및 종료 패턴 형태를 갖는 것을 피할 것이다. 본 발명자들은 놀랍게도, 시간 기반 접근법은, 시작 및 종료 패턴이 웨이퍼 내 균일성 성능에 상당한 영향을 미치는 경우인, 목표 막 두께가 얇을 때(예컨대, 20번 미만의 ALD 사이클) 특히 유익하다는 것을 발견했다. 본 발명자들은 또한, 본원에 설명된 바와 같이, SiCN, SiCO 및 SiCON 막들을 생성하기 위한 반응 프로세스가 시간-도메인 프로세스로는 달성될 수 없다는 것을 알게 되었다. 처리 챔버를 퍼지하기 위해 사용되는 시간량은 기판 표면으로부터의 물질의 박리를 초래한다. 박리는, 설명된 공간적 ALD 프로세스로는 발생하지 않는데, 그 이유는, 가스 커튼 아래에서의 시간이 짧기 때문이다.
도 6 내지 도 14b를 참조하면, 본 개시내용의 하나 이상의 실시예는 모듈식 용량성 결합 플라즈마 소스들(300)에 관한 것이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "모듈식"이라는 용어는, 플라즈마 소스(300)가 처리 챔버에 부착되거나 그로부터 제거될 수 있다는 것을 의미한다. 모듈식 소스는 일반적으로 한 사람에 의해 이동, 제거 또는 부착될 수 있다.
도 6은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체(300)의 단면을 도시한다. 도 6에 도시된 플라즈마 소스 조립체(300)는 가스 유입구(315) 및 전면(312)을 갖는 하우징(310)을 포함한다. 가스 유입구(315)는, 가스의 유동이 유동 경로(318)를 따라 하우징(310)을 통해 전면(312)에 있는 개구(313) 밖으로 이동할 수 있게 한다. 설명의 목적들을 위해, 도시된 실시예에서는 예시된 가스 유입구(315)가 중심을 벗어나 있지만, 관련 기술분야의 통상의 기술자들은 가스 유입구(315)가 하우징(310)에서 중심에 놓일 수 있다는 것을 이해할 것이다. 부가적으로, 일부 실시예들은 유동 경로(318)를 통한 가스 유동의 균일성을 증가시키기 위해 플레넘(316)을 포함한다. 일부 실시예들의 플레넘(316)은, 가스가 플라즈마 공동(갭(340, 340b))에 균일하게 도달할 수 있게 하기 위해 복수의 관통 홀들 및/또는 플레넘들을 갖는 유전체로 적어도 부분적으로 채워진다. 관통 홀들 및/또는 플레넘들은 플라즈마 브레이크다운을 방지할 만큼 충분히 작은 치수들을 갖는다. 일부 실시예들에서, 관통 홀들은 약 1 mm, 0.95 mm, 0.9 mm, 0.85 mm, 0.8 mm, 0.75 mm, 0.7 mm, 0.65 mm 또는 0.6 mm 이하의 직경들을 갖는다.
플라즈마 소스 조립체(300)는 RF 핫 전극(320) 및 적어도 하나의 복귀 전극(330)을 포함한다. 복귀 전극(330)은 RF 핫 전극(320)과 함께 완전한 회로를 형성하는 임의의 전도성 물질이다. 관련 기술분야의 통상의 기술자들은, 복귀 전극(330)이, 전자들이 유동하기 위한 통로를 제공할 수 있다는 것을 이해할 것이다. 이러한 방식으로 사용되는 "복귀"라는 용어는 전극이 플라즈마 성분들의 전기적 통로의 일부라는 것을 의미하며, 전류 또는 전자들의 유동을 위한 방향을 암시하지 않는다.
도 6 내지 도 8을 참조하면, RF 핫 전극(320)은, 제1 표면(322), 및 제1 표면(322)에 대향하는 제2 표면(324)을 갖는다. 도 6은 플라즈마 소스 조립체(300)의 단면을 도시하는 한편, 도 7 및 도 8은 전극들의 부분 사시도를 도시한다. 이와 관련하여 사용되는 바와 같이, 제1 표면(322) 및 제2 표면(324)은 RF 핫 전극(320)의 두께(T)의 대향하는 측들 상에 있다. RF 핫 전극(320)은 일반적으로, 높이(H), 두께(T), 및 길이(L)를 갖는 직사각형 프리즘으로서 형상화된다. RF 핫 전극(320)은, 유동 경로(318)와 실질적으로 평행하게 배향되는 제1 표면(322)을 갖는다. 이와 관련하여 사용되는 바와 같이, "실질적으로 평행"이라는 용어는 표면이 평행(0°로서 정의됨)의 ±10° 내에 있다는 것을 의미한다.
복귀 전극(330)은 RF 핫 전극(320)과 유사하게 형상화된다. 복귀 전극은, 유동 경로(318)와 실질적으로 평행하게 배향되는 제1 표면(332)을 갖는다. 복귀 전극(330)의 제1 표면(332)은 RF 핫 전극(320)의 제1 표면(322)으로부터 이격되어 갭(340)을 형성한다.
복귀 전극(330, 330b)은, 알루미늄, 스테인리스 강, 및 구리를 포함하지만 이에 제한되지 않는 임의의 적합한 물질일 수 있다. 복귀 전극(330, 330b)은 임의의 적합한 전기적 특성들을 가질 수 있다. 일부 실시예들에서, 복귀 전극(330, 330b)은 접지 전극이다. 접지 전극은 전기 접지와 전기 접촉하는 임의의 전도성 물질이다.
일부 실시예들에서, 복귀 전극(330, 330b)은, RF 핫 전극(320)과 상이한, 전력을 공급받는 전극이다. 이러한 방식으로 사용되는 바와 같이, "RF 핫 전극과 상이"는, 전기적 특성들 또는 전위가 RF 핫 전극과 상이하다는 것을 의미한다. 예컨대, 웨이퍼와의 상호작용을 최소화하기 위해, 생성된 플라즈마의 구동 전력은 위상 변위기를 사용하여 단일 소스로부터 푸시-풀 방식으로 조정될 수 있다. 이러한 종류의 실시예들에서, RF 핫 전극(320)은, 예컨대, 복귀 전극(330)과 위상이 180° 다를 수 있다.
도 7에 도시된 바와 같이, 플라즈마 소스 조립체의 일부 실시예들은 제2 복귀 전극(330b)을 더 포함한다. 제2 복귀 전극(330b)은 유동 경로(318)와 실질적으로 평행하게 배향되는 제1 표면(332b)을 갖는다. 제2 복귀 전극(330b)의 제1 표면(332b)은 RF 핫 전극(320)의 제2 표면(324)으로부터 이격되어 갭(340b)을 형성한다. 갭(340) 및 갭(340b)은 동일하거나 상이한 치수들을 가질 수 있다. 일부 실시예들에서, RF 핫 전극(320)과 복귀 전극(330, 330b) 사이의 갭(340, 340b)은 약 4 mm 내지 약 15 mm의 범위, 또는 약 5 mm 내지 약 14 mm의 범위, 또는 약 7 mm 내지 약 13 mm의 범위, 또는 약 9 mm 내지 약 12 mm의 범위 내에 있거나, 약 11 mm이다.
RF 핫 전극(320)의 두께(T)는, 예컨대 전극 물질에 따른 임의의 적합한 두께일 수 있다. 일부 실시예들에서, RF 핫 전극은 약 3 mm 내지 약 11 mm의 범위, 또는 약 4 mm 내지 약 10 mm의 범위, 또는 약 6 mm 내지 약 9 mm의 범위 내에 있거나 약 8 mm인 두께를 갖는다.
RF 핫 전극(320)의 높이(H)는 변할 수 있다. 일부 실시예들에서, RF 핫 전극(320)의 높이(H)는 약 8 mm 내지 약 40 mm의 범위, 또는 약 9 mm 내지 약 35 mm의 범위, 또는 약 10 mm 내지 약 30 mm의 범위, 또는 약 11 mm 내지 약 25 mm의 범위, 또는 약 12 mm 내지 약 20 mm의 범위, 또는 약 13 mm 내지 약 15 mm의 범위 내에 있거나, 약 14 mm이다.
일부 실시예들에서, 플라즈마 소스 조립체(300)의 하우징(310)은 쐐기-형상이다. 도 9는, 쐐기-형상 하우징(310)을 포함하는 실시예를 도시한다. 도시된 바와 같이, RF 핫 전극(320) 및 복귀 전극(330)은, 하우징(310)의 주축(308)을 따라 연장된다. 이러한 방식으로 사용되는 바와 같은 주축(308)은, 내측 둘레 가장자리(123)를 형성하는 호의 중간 및 외측 둘레 가장자리(124)를 형성하는 호의 중간을 통해 연장되는 축을 지칭한다.
RF 핫 전극들(320) 및 복귀 전극들(330) 사이의 간격은, 플라즈마 소스 조립체에서 주축(308)을 따라 실질적으로 동일할 수 있거나 다를 수 있다. 예컨대, 일부 실시예들에서, RF 핫 전극 및 복귀 전극은, 쐐기-형상 하우징(310)의 외측 둘레 가장자리(124)에서 내측 둘레 가장자리(123) 근처보다 더 이격된다.
일부 실시예들은, RF 핫 전극(320)의 하부 가장자리(329)에 인접한 클래딩(360)을 포함한다. 도 10을 참조하면, RF 핫 전극(320)은 2개의 복귀 전극(330) 사이에 있는 것으로 예시된다. 클래딩(360)은 RF 핫 전극(320)의 하부 가장자리(329)를 기판(60) 및 서셉터 조립체(140)로부터 분리한다. 일부 실시예들에서, 클래딩(360)의 존재는 RF 핫 전극(320)의 스퍼터링이 기판(60)을 오염시키는 것을 방지하거나 최소화하는 데 도움이 된다. 클래딩(360)은 유전체들(예컨대, 세라믹 물질들)을 포함하지만 이에 제한되지 않는 임의의 적합한 물질로 구성될 수 있다. 클래딩(360)의 크기는 기판(60) 근방으로부터 RF 핫 전극(320)의 하부 가장자리(329)를 이동시키도록 조정될 수 있다. 일부 실시예들에서, 클래딩(360)은 약 10 mm 내지 약 25 mm의 범위, 또는 약 13 mm 내지 약 20 mm의 범위 내에 있거나 약 17 mm인 길이(Ls)를 갖는다.
도 1, 도 2, 도 8, 및 도 11을 참조하면, 본 개시내용의 일부 실시예들은, 서셉터 조립체(140) 및 가스 분배 조립체(120)를 포함하는 처리 챔버(100)에 관한 것이다. 도 11은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버(100)의 등각도를 도시한다. 서셉터 조립체(140)는, 복수의 기판들(60)을 지지하고 중심 축(161)을 중심으로 회전시키기 위한 최상부 표면(141)을 갖는다.
가스 분배 조립체(120)는, 가스들의 유동을 서셉터 조립체(140)의 최상부 표면(141)을 향해 지향시키기 위한, 서셉터 조립체(140)의 최상부 표면(141)에 대면하는 전방 표면(121)을 갖는다. 일부 실시예들의 가스 분배 조립체(120)는 쐐기-형상 하우징(310)을 갖는 플라즈마 소스 조립체(300)를 포함한다. 쐐기-형상 하우징은, 하우징(310)의 주축(308)을 정의하는 내측 둘레 가장자리(123) 및 외측 둘레 가장자리(124)를 갖는다. 하우징(310)은, 제1 측부(371), 제2 측부(372), 가스 유입구(315), 및 전면(312)을 갖는다. 유동 경로는, 가스 유입구(315)로부터 하우징(310)을 통해 유동하여 전면(312)에서 빠져나가는 가스가 따르는 경로로서 정의된다.
플라즈마 소스 조립체(300)는 유동 경로와 실질적으로 평행하게 배향되는 제1 표면(322)을 갖는 적어도 하나의 RF 핫 전극(320)을 갖는다. 도시된 실시예에서, 3개의 RF 핫 전극(320)이 존재한다. 적어도 하나의 복귀 전극(330)은 하우징(310) 내에 있고, 유동 경로와 평행하게 배향되고 RF 핫 전극(320)의 제1 표면(322)으로부터 이격되어 갭(340)을 형성하는 제1 표면(332)을 갖는다. 플라즈마 소스 조립체(300)의 쐐기-형상 하우징(310)의 전면(312)은 서셉터 조립체(140)의 최상부 표면(141)으로부터 약 1 mm 내지 약 5 mm의 범위, 또는 약 1.5 mm 내지 약 4 mm의 범위 내에 있거나 약 2 mm인 거리에 위치된다. 도 15에 도시된 실시예는 플라즈마 소스 조립체를 갖는 처리 챔버의 단지 예시적인 하나의 가능한 구성일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 고려되어서는 안 된다.
다시 도 6을 참조하면, 일부 실시예들은, 하우징(310)을 통과하고 RF 핫 전극(320)이 갭(340)에서 플라즈마를 생성하기 위한 전력을 제공하는 동축 RF 피드 라인(380)을 포함한다. 동축 RF 피드 라인(380)은 절연체(386)에 의해 분리되는 외측 전도체(382) 및 내측 전도체(384)를 포함한다. 내측 전도체(384)는 RF 핫 전극(320)과 전기 통신하고, 외측 전도체(382)는 RF 핫 전극과 상이한 위상 전원(도시되지 않음) 또는 전기 접지와 전기 통신한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전기 통신"이라는 용어는, 전기 저항이 거의 없도록 구성요소들이 직접 또는 중간 구성요소를 통해 연결된다는 것을 의미한다. 내측 전도체(384)와 외측 전도체(382) 사이의 갭은, 세라믹일 수 있지만 임의의 적합한 유전체 물질일 수 있는 유전체로 채워질 수 있다.
동축 RF 피드 라인(380)은 외측 전도체(382)가 복귀 전극(330) 상에서 종결되도록 구성될 수 있다. 내측 전도체(384)는 RF 핫 전극(320) 상에서 종결될 수 있다. 일부 실시예들에서, 가스 유입구(315)는 동축 피드의 외부 둘레 주위에서 하우징에 피딩된다. RF 피드는 동축 송신 라인의 형태일 수 있다. 외측 전도체는 복귀 전극에서 연결/종결될 수 있고, 내측 전도체는 RF 핫 전극에 연결된다. 복귀 전극(330)은, 금속 개스킷을 포함하지만 이에 제한되지 않는 임의의 적합한 방법에 의해 금속 하우징에 연결될 수 있다. 이는, 복귀 전류들의 대칭적인 기하학적 구조를 보장하는 데 도움이 된다. 모든 복귀 전류들은 피드의 외측 전도체까지 유동하여 RF 잡음이 최소화된다. 일부 실시예들에서, RF 피드는 대칭적인 RF 피드 전류를 RF 핫 전극에 제공하고, 대칭적인 복귀 전류들을 제공하도록 설계된다. 모든 복귀 전류들이 외측 전도체까지 유동하여 RF 잡음이 최소화되고, 동작에 대한 소스 설치의 영향이 최소화된다.
도 6 내지 도 8에 도시된 것과 같은 선형 방사상 플라즈마 소스의 경우, 회전식 서셉터(플래튼)를 사용하는 임의의 처리 시스템에서, 플라즈마 노출(처리)은 웨이퍼의 외경(OD)과 비교하여 웨이퍼의 내경(ID)에서 더 크다. 동축 피드가 핫 전극의 대략적인 중심에 연결되는 시스템에서, ID와 OD 노출 사이의 차이는 약 2.7 배일 수 있다. 현재, 동축 피드는 전극의 중심 부근에서 핫 전극에 연결된다. 이러한 연결 구성은 웨이퍼의 ID 및 OD에서 균일한 플라즈마 노출을 제공하지 않을 수 있다. 본 개시내용의 하나 이상의 실시예는 유리하게, 단순한 선형 설계 플라즈마 소스를 제공한다. 일부 실시예들은 유리하게, 웨이퍼 ID로부터 OD로 증가하는 플라즈마 플럭스로, 높은 주파수 또는 매우 높은 주파수로 내경 피드를 제공한다.
도 11 및 도 12를 참조하면, 수직 플라즈마 소스(VPS)는, 웨이퍼의 ID로부터 OD까지 그리고 그를 넘어 연장되는 전력을 공급받는 전극(핫 전극) 및 복귀 전극을 갖는 선형 플라즈마 소스일 수 있다. 핫 전극과 복귀 전극 사이의 갭은 ID로부터 OD까지 전극들의 길이를 따라 실질적으로 균일할 수 있다.
일부 실시예들의 전극들은, 금속 오염물을 최소화하기 위해, 유전체 물질로 만들어진 내측 및 외측 클래딩에 의해 에워싸인다. "내측 클래딩"은, RF 핫 전극과 연관된 클래딩을 지칭하는 데 사용될 수 있고, "외측 클래딩"은, 복귀 전극과 연관된 클래딩을 지칭하는 데 사용될 수 있다. 클래딩의 최하부와 웨이퍼/플라즈마를 웨이퍼에 노출시키는 서셉터 사이에 갭이 유지된다.
일반적으로, 플라즈마 조립체에서 생성된 전기장(및 플라즈마 플럭스)은 RF 피드 근처에서 가장 크며, 필드 강도는 RF 피드로부터의 거리에 따라 감소한다. 선형 수직 플라즈마 소스에서, 최소 전기장 및 플라즈마 밀도는 놀랍게도 RF 피드 아래에서 발생한다. 임의의 특정 동작 이론에 의해 얽매임이 없이, 이는 RF 전력의 주파수에 따라 증가하는 전자기 효과들에 기인하는 것으로 여겨진다. 본 발명자들은, RF 피드를 핫 전극의 ID 단부를 향해 이동시키는 것이 노출 불균일성을 보상할 수 있다는 것을 발견했다.
전원(390)은 임의의 적합한 주파수로 동작될 수 있다. 더 높은 주파수의 전력은, 서셉터 회전으로 인한 ID와 OD 사이의 상이한 노출을 보상할 수 있는 플라즈마 밀도 변동을 생성할 수 있는 것으로 밝혀졌다. 일부 실시예들에서, 전원(390)은 높은 주파수(20 - 30 MHz)로, 또는 매우 높은 주파수(30 - 100 MHz)로 동작된다. 일부 실시예들에서, 전원(390)은 60 MHz로 동작된다.
도 11 내지 도 13을 참조하면, 본 개시내용의 하나 이상의 실시예는 플라즈마 소스 조립체(300)에 관한 것이다. 플라즈마 소스 조립체(300)는 도 13에 도시된 하우징(310)을 포함한다. 일부 실시예들의 하우징(310)은, 사용되었을 수 있는 전력 연결 또는 가스 라인 연결들을 제외한 플라즈마 소스 조립체의 구성요소들 전부를 유지하거나 지지한다. 하나의 하우징에 결합된 플라즈마 소스 조립체는 모듈식일 수 있어서, 조립체가 이동되거나 처리 장치에 부가되거나 그로부터 제거될 수 있게 된다. 일부 실시예들의 하우징(310)은, 도 4 또는 도 5에 도시된 것과 같은 가스 분배 조립체(120)에 맞춰진 쐐기-형상이다. 하우징(310)은 쐐기-형상일 수 있지만, 플라즈마가 형성되는 갭 또는 플라즈마 공동의 형상은 선형일 수 있다. 도 11에 예시된 실시예는 설명의 목적들을 위해 하우징을 도시하지 않는다.
도 12는 일부 실시예들의 플라즈마 소스 조립체(300)의 부분 측단면도를 도시한다. 하우징(310)은 도 4 및 도 5에 예시된 가스 분배 조립체(120)와 정렬될 수 있는 내측 둘레 가장자리(123) 및 외측 둘레 단부(124)를 갖는다. 도 13에 도시된 바와 같이, 하우징(310)은 가스 유입구(315)를 포함할 수 있으며, 가스 유입구는, 하우징(310)을 통과하여 플라즈마 소스 조립체(300)의 전면(312)에 있는 개구(313) 밖으로의 가스의 유동을 허용하기 위한 가스 유입구(315)로부터의 유동 경로(318)를 형성하기 위한 것이다. 전면(312)은, 하우징(310), RF 핫 전극(320), 복귀 전극(330), 또는 서셉터 조립체로부터 일정 거리에 위치될 수 있는 임의의 적합한 물질에 의해 형성될 수 있다. 일부 실시예들에서, 전면(312)은 물질들의 혼합물을 초래하는 별개의 성분들의 조합으로 형성된다.
플라즈마 소스 조립체는, 제1 표면(322) 및 제1 표면(322)에 대향하는 제2 표면(324)을 포함하는 세장형 몸체(321)를 갖는 RF 핫 전극(320)을 포함한다. 제1 표면(322) 및 제2 표면(324)은 RF 핫 전극(320)의 폭을 정의한다. 일부 실시예들에서, 제1 표면(322) 및 제2 표면(324)은 실질적으로 평행하다. 이와 관련하여 사용되는 바와 같이, 실질적으로 평행하다는 용어는, 표면들이, 평행한 것의 ±10°, ±9°, ±8°, ±7°, ±6°, ±5°, ±4°, ±3°, ±2° 또는 ±1° 내에 있는 주요 평면들을 형성한다는 것을 의미한다. 일부 실시예들에서, RF 핫 전극(320)의 폭은, 약 2 mm 내지 약 20 mm의 범위, 또는 약 3 mm 내지 약 15 mm의 범위, 또는 약 4 mm 내지 약 10 mm의 범위, 약 5 mm 내지 약 9 mm의 범위, 또는 약 6 mm 내지 약 8 mm의 범위 내에 있거나 약 7 mm이다.
RF 핫 전극(320)의 세장형 몸체(321)는 내측 둘레 단부(323) 및 외측 둘레 단부(325)를 갖는다. RF 핫 전극(320)의 내측 둘레 단부(323)는 하우징(310) 내에서 하우징(310)의 내측 둘레 가장자리(123) 근처에 위치된다. RF 핫 전극(320)의 외측 둘레 가장자리(325)는 하우징(310) 내에서 하우징(310)의 외측 둘레 가장자리(124) 근처에 위치된다. 내측 둘레 단부(323) 및 외측 둘레 단부(325)는 RF 핫 전극(320)의 길이(L)를 정의한다. 도 12에 예시된 실시예는, RF 핫 전극(320)과 거의 동일한 길이를 갖는 하우징(310)을 도시한다. 이는 단지 하나의 가능한 구성을 나타낼 뿐이며, 본 개시내용의 범위를 제한하는 것으로 고려되지 않아야 한다. 일부 실시예들의 하우징은 RF 핫 전극의 단부들을 넘어 연장되며, RF 핫 전극의 적어도 일부 주위를 감쌀 수 있다. 일부 실시예들의 RF 핫 전극(320)의 길이(L)는 약 160 mm 내지 약 440 mm의 범위 내에 있다. RF 핫 전극(320)의 길이(L)는 처리될 기판의 폭에 걸쳐 있도록 구성될 수 있다. 예컨대, 처리되는 기판들이 200 mm 직경 웨이퍼들인 경우, RF 핫 전극은, 약 160 mm 내지 약 440 mm의 범위, 또는 약 180 mm 내지 약 220 mm의 범위, 또는 약 190 mm 내지 약 210 mm의 범위, 또는 약 195 mm 내지 약 205 mm의 범위 내의 길이(L)를 가질 수 있다. 처리되는 기판들이 300 mm 직경의 웨이퍼들인 경우, RF 핫 전극은, 약 160 mm 내지 약 440 mm의 범위, 또는 약 260 mm 내지 약 440 mm의 범위, 또는 약 280 mm 내지 약 320 mm의 범위, 또는 약 290 mm 내지 약 310 mm의 범위, 또는 약 295 mm 내지 약 305 mm의 범위 내의 길이(L)를 가질 수 있다.
복귀 전극(330)은, 복귀 전류가 흐를 수 있게 하거나 RF 핫 전극과 반대 극성 전압을 제공하기에 적합한 임의의 구성요소일 수 있다. "복귀 전극"이라는 용어는, RF 핫 전극과 함께 완전한 회로를 형성하는 전기적 연결을 표현하기 위해 사용되며, 전류 또는 전자들의 흐름에 대한 방향을 암시하는 것으로 고려되어서는 안 된다. 일부 실시예들의 복귀 전극(330)은 하우징(310)이다. 일부 실시예들에서, 복귀 전극(330)은 하우징(310) 내의 별개의 구성요소이다. 복귀 전극(330)은 하우징(310)과 동일한 물질로 만들어질 수 있지만 하우징(310)으로부터 전기적으로 격리될 수 있거나, 복귀 전극(330)은 하우징(310)과 상이한 물질로 만들어질 수 있다. 예시된 실시예들에서, 복귀 전극(330)은 하우징(310)과 상이한 물질이다. 일부 실시예들의 복귀 전극(330)은 하우징의 내측 둘레 가장자리로부터 외측 둘레 가장자리로 연장되는 세장형 몸체를 갖는다. 복귀 전극은, RF 핫 전극(320)으로부터 이격되어 플라즈마가 형성될 수 있는 갭(340)을 제공한다.
RF 피드(380)는 전원(390)을 RF 핫 전극(320)에 연결한다. RF 피드(380)는 도 6에 도시된 것과 같은 동축 RF 피드 라인일 수 있다. 도 12에 예시된 바와 같이, RF 피드(380)는 RF 핫 전극(320)의 내측 둘레 가장자리(323)로부터 거리(De)에서 RF 핫 전극에 연결된다. 일부 실시예들의 거리(De)는 RF 핫 전극(320)의 길이(L)의 약 25% 이하이다. 일부 실시예들에서, 거리(De)는 RF 핫 전극(320)의 길이(L)의 약 20 %, 15 %, 10 %, 5 %, 4 %, 3 %, 2 % 또는 1 % 이하이다.
도 13에 예시된 바와 같이, 일부 실시예들에서, RF 핫 전극(320)은, RF 핫 전극(320)이 기판 또는 서셉터 조립체에 직접 노출되지 않도록 위치되는 RF 핫 전극 클래딩(360)을 갖는다. 이러한 방식으로 사용되는 바와 같이, "직접 노출되지 않는" 등의 용어는, RF 핫 전극(320)으로부터 방출된 원자가 직선 경로로 이동하여 기판의 표면에 충돌할 수 없다는 것을 의미한다. 도시된 실시예에서, RF 핫 전극 클래딩(360)은 RF 핫 전극(320)의 모든 노출된 측부들 및 표면들 주위를 감싼다. 일부 실시예들의 RF 핫 전극 클래딩(360)은 규소 또는 산화규소 중 하나 이상을 포함한다. 일부 실시예들에서, RF 핫 전극 클래딩(360)은 석영을 포함하거나 본질적으로 석영으로 이루어진다. 일부 실시예들에서, RF 핫 전극 클래딩(360)은, 처리되는 웨이퍼 상에 오염물로서 스퍼터링되지 않는 물질로 만들어진다. RF 핫 전극 클래딩(360) 물질들은, 수행되는 프로세스 또는 증착에 의존할 수 있다.
일부 실시예들에서, 복귀 전극(330)은 복귀 전극 클래딩(361)을 포함한다. 일부 실시예들의 복귀 전극 클래딩(361)은, 복귀 전극(330)이 기판 또는 서셉터 표면에 직접 노출되지 않도록 위치된다. 일부 실시예들에서, 복귀 전극 클래딩(361)은 규소, 산화규소 또는 산화알루미늄 중 하나 이상을 포함한다.
일부 실시예들의 복귀 전극 클래딩(361)은 RF 핫 전극 클래딩(360)과 상이한 물질을 포함한다. 일부 실시예들에서, RF 핫 전극 클래딩(360) 및 복귀 전극 클래딩(361)은 동일한 물질로 만들어진다. 일부 실시예들에서, RF 핫 전극 클래딩(360)은 석영을 포함하고, 복귀 전극 클래딩은 산화알루미늄을 포함한다. 일부 실시예들에서, RF 핫 전극 클래딩(360)은 본질적으로 석영으로 이루어지고/거나 복귀 전극 클래딩은 본질적으로 산화알루미늄으로 이루어진다. 이러한 방식으로 사용되는 바와 같이, "본질적으로 ~로 이루어진다"라는 용어는, 대상 클래딩의 조성이 중량 기준으로 언급된 물질의 약 95 %, 98 % 또는 99 % 이상이라는 것을 의미한다.
RF 핫 전극 클래딩(360) 및 복귀 전극 클래딩(361)은 플라즈마 소스 조립체(300)의 전면(312)을 형성할 수 있다. RF 핫 전극 클래딩(360)으로부터 기판(60)까지의 거리(Gh)는 복귀 전극 클래딩(361)으로부터 기판(60)까지의 거리(Gr)와 동일하거나 상이할 수 있다.
일부 실시예들의 플라즈마 소스 조립체(300)는, RF 핫 전극(320)의 외측 둘레 단부(325)에서 생성된 이온 플럭스보다 적은, RF 핫 전극(320)의 내측 둘레 단부(323)에서 생성된 이온 플럭스를 갖는 플라즈마를 제공한다.
본 개시내용의 부가적인 실시예들은 기판을 처리하는 방법들에 관한 것이다. 기판(60)은, 가스 분배 조립체(120)에 인접하게 서셉터 조립체(140) 상에 위치된다. 가스 분배 조립체(120)는, 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체를 포함한다. 가스는 하우징(310)의 가스 유입구(315)를 통해 RF 핫 전극(320)과 복귀 전극(330) 사이의 갭(340) 내로 유동된다. RF 핫 전극(320)은 갭(340) 내에 플라즈마를 형성하기 위해 내측 둘레 단부(323)로부터 측정된 RF 핫 전극(320)의 길이(L)의 25% 내에 위치된 RF 피드(380)를 통해 에너지를 공급받는다. 기판(60)을 플라즈마에 노출시키기 위해, 플라즈마는 하우징(310)의 전면(312) 밖으로 유동한다.
도 14a 및 도 14b는 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스 조립체(300)의 단면도 및 저면도를 각각 도시한다. 플라즈마 소스 조립체(300)는 RF 핫 전극(320) 및 복귀 전극(330)을 포함한다. 예시된 실시예에서, 복귀 전극(330)은 플라즈마 소스 조립체(300)의 하우징이다. RF 핫 전극은 유전체(370)에 의해 복귀 전극(330)으로부터 격리된다. 동축 피드 라인의 내측 전도체(384)는, 외경 단부(325)에 대해 내경 단부(323) 근처에서 RF 핫 전극(320)에 연결된다.
예시된 실시예에서, 클래딩(360)은, RF 핫 전극(320)의 하부 가장자리(329) 및 측부 가장자리(328) 주위에 감싸진다. RF 핫 전극(320)의 길이를 따라, 내경 단부(323)로부터 외경 단부(325)까지, 클래딩(360)은, RF 핫 전극(320)과 다른 구성요소들 사이에 어떠한 직접적인 가시선도 존재하지 않도록 인접한 구성요소들로부터 RF 핫 전극(320)을 차폐한다.
외측 클래딩(361)은, 복귀 전극(330)과 갭(340) 사이에 외측 클래딩(361)이 존재하도록 복귀 전극(330) 상에 위치된다. 도시된 바와 같이, 외측 클래딩(361)은, 복귀 전극(330)의 전방 부분 주위를 감싸 복귀 전극의 스퍼터링을 방지할 수 있다.
따라서, 본 개시내용의 실시예들은, 복수의 처리 구역들(250a 내지 250h)을 갖는 처리 챔버(100)를 포함하는 처리 방법들에 관한 것이며, 각각의 처리 구역은 가스 커튼(150)에 의해 인접 구역으로부터 분리된다. 예컨대, 처리 챔버는 도 5에 도시된다. 처리 챔버 내의 가스 커튼들 및 처리 구역들의 수는, 가스 유동들의 배열에 따른 임의의 적합한 수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼(150) 및 8개의 처리 구역(250a-250h)을 갖는다. 가스 커튼들의 수는 일반적으로, 처리 구역들의 수 이상이다. 예컨대, 구역(250a)이 어떠한 반응성 가스 유동도 갖지 않고 단지 적재 영역의 역할만 하는 경우, 처리 챔버는 7개의 처리 구역 및 8개의 가스 커튼을 가질 것이다.
복수의 기판들(60)이 기판 지지부, 예컨대, 도 1 및 도 2에 도시된 서셉터 조립체(140) 상에 위치된다. 복수의 기판들(60)은 처리를 위해 처리 구역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여 처리 전반에 걸쳐 관여된다(가스가 유동하고 진공이 온(on) 됨).
제1 반응성 가스(A)는 처리 구역들(250) 중 하나 이상 내로 유동되는 한편, 불활성 가스는, 제1 반응성 가스(A)가 그 내부로 유동되지 않는 임의의 처리 구역(250) 내로 유동된다. 예컨대, 제1 반응성 가스가 처리 구역들(250b) 내지 처리 구역(250h) 내로 유동하는 경우, 불활성 가스는 처리 구역(250a) 내로 유동할 것이다. 불활성 가스는 제1 반응성 가스 포트(125) 또는 제2 반응성 가스 포트(135)를 통해 유동될 수 있다.
처리 구역들 내에서의 불활성 가스 유동은 일정하거나 또는 변할 수 있다. 일부 실시예들에서, 반응성 가스는 불활성 가스와 공동-유동된다. 불활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비해 반응성 가스의 양이 적으므로, 공동-유동은 인접 구역들 간의 압력 차이들을 감소시킴으로써 처리 구역들 간의 가스 압력들을 균형화하는 것을 더 용이하게 할 수 있다.
일부 실시예들에서, 처리 구역 중 적어도 하나는 플라즈마 소스 조립체를 포함한다. 일부 실시예들은, 전력을 공급받는 판(RF 핫 전극)과 그룹 판(복귀 전극) 사이에 플라즈마가 생성될 수 있는 원격 플라즈마 소스를 사용한다. 가스 종은 판들 사이에서 유동하고, 에너지를 공급받아 서셉터 조립체의 표면에 인접하게 플라즈마를 형성한다. 플라즈마는 실질적으로 소스에 국한될 수 있으며, 전력을 공급받는 판으로부터의 스퍼터링된 물질이 웨이퍼 표면에 도달하는 것을 최소화한다. 일부 실시예들은 또한 유리하게, 실질적으로 기판 표면을 변화시키지 않는 연질(soft) 플라즈마를 제공한다. 하나 이상의 실시예는 전기적 복귀 경로가 기판을 통과할 수 있게 하지 않으면서 플라즈마를 생성할 수 있는 장치를 제공한다. 본 개시내용의 일부 실시예들은 가스 분배 조립체에 부가되거나 그로부터 제거될 수 있는 모듈식 원격 플라즈마 소스들을 제공한다. 원격 플라즈마 소스는 기판 또는 기판 지지부를 전극으로서 사용하지 않으면서 플라즈마를 생성한다.
RF 핫 전극(전력을 공급받는 전극)과 접지 판(복귀 전극으로 지칭됨) 사이의 갭은 변할 수 있다. 일부 실시예들에서, 갭은 약 3 mm 내지 약 15 mm의 범위 내에 있고, 조정가능할 수 있다. RF 핫 전극의 폭은 변할 수 있다. 예컨대, 판들은 이온들을 가속시키기 위해 테이퍼링될 수 있다. 사용 시, RF 핫 전극과 복귀 전극 사이의 갭에서 유동하는 가스 종은 이온화된다. 그런 다음, 이온화된 종은 기판 표면과 접촉할 수 있다. 일부 실시예들에서, 형성된 플라즈마는 기판 표면을 실질적으로 변화시키지 않는 연질 플라즈마이다.
본 개시내용의 하나 이상의 실시예는 선택적 측벽 증착 프로세스들 및 장치에 관한 것이다. 일부 실시예들에서, 저주파수(LF) 바이어스 능력은, 플라즈마 강화 원자 층 증착(PE-ALD) 배치 처리 툴들에 방향성 바이어스를 부가한다. 서셉터에 LF 바이어스를 인가하는 것은 유리하게, 수평 표면 상에서, 막 특성들을 선택적으로 개질하는 플라즈마 내부에 생성된 이온들 및 라디칼들의 유동의 방향성을 가능하게 할 수 있다. 형상추종적 막의 특성들의 기하학적 구조 의존성은, 상당한 비용을 부가하지 않고도 후속 습식 식각 프로세스 동안 수평 평면 막들의 선택적 식각(또는 선택적 식각 내성)을 가능하게 할 수 있다. 일부 실시예들은 유리하게, 다양한 응용들에 대해 막 특성들을 유연하게 최적화하는 프로세스 윈도우를 여는 인-시튜 LF 바이어스 능력을 장치에 제공한다.
도 15를 참조하면, 본 개시내용의 일부 실시예들은 처리 챔버들(500)에 관한 것이다. 처리 챔버(500)는, 샤프트(160)를 중심으로 회전하는 다수의 기판들(60)을 유지하기 위한 하나(또는 그 초과)의 서셉터(140), 및 챔버의 최하부에 부착되는 모터(163)를 포함한다. 웨이퍼들은, 방위각 방향으로 다수의 셀들(가스 포트들)을 포함하는 주입기(가스 분배 조립체(120)) 쪽으로 상향으로 향한다. 각각의 셀의 가스 유동은, 독립적인 질량 유동 제어기(MFC)들에 의해 별개로 제어될 수 있다. 각각의 셀은, 상이한 셀들로부터의 가스들의 혼합물을 방지하기 위해, 불활성 가스(예컨대, 질소) 커튼의 유동에 의해 분리된다. 서셉터를 회전시킴으로써, 웨이퍼들은 다수의 전구체들(가스들)에 순차적으로 노출되어 ALD 사이클들을 확립한다. 배치 처리 시스템 내의 셀들 중 일부는, RF 발생기(510) 및 매치 회로(512)를 부가함으로써 무선 주파수(RF) 플라즈마 처리 구역으로서 구성될 수 있다. 증착 동안 플라즈마 노출을 부가하는 것은, 막 특성을 조절하여 그 품질을 개선하거나 막의 일부를 의도적으로 열화시키는 데 유용할 수 있다. 가스 분배 조립체(120)는 (도 5에서 보이는 바와 같이) 복수의 처리 구역들을 포함한다. 처리 구역들 각각은 가스 커튼에 의해 인접한 처리 구역들로부터 분리되고, 처리 구역들 중 적어도 하나는 플라즈마 처리 구역(525)이다. 도 5에 도시된 단면도는, 처리 챔버의 대향하는 측들 상에 위치된 2개의 플라즈마 처리 구역(525)을 포함한다. 예컨대, 도 5의 명명법을 참조하면, 제4 처리 구역(250d) 및 제8 처리 구역(250h)은 플라즈마 처리 구역들일 수 있는 한편, 다른 처리 구역들은 열 처리 구역들로 지칭된다. "열 처리 구역"이라는 용어의 사용은 단지 그 구역에 어떠한 플라즈마도 존재하지 않는다는 것을 의미하며, 어떠한 온도도 암시하지 않는다. 플라즈마 처리 구역(525)은 가스 분배 조립체(120)의 쐐기-형상 구획을 포함하며, 가스 포트는 가스 커튼들에 의해 경계 지어진다.
주 증착 발생기(510)는, 매치 회로(512)를 통해 플라즈마 처리 구역(525)에 연결된다. 일부 실시예들의 주 증착 발생기(510)는, 주 증착 발생기 주파수로 동작한다. 일부 실시예들의 주 증착 발생기 주파수는 2 MHz 이상이다. 일부 실시예들에서, 주 증착 발생기 주파수는 약 13.56 MHz, 40 MHz, 60 MHz, 또는 100 MHz이다. 일부 실시예들에서, 주 증착 발생기(510)는 가스 분배 조립체(120)에 전력을 인가하고, 서셉터 조립체(140)는 복귀 전극으로서 작용한다. 주 증착 발생기(510)는, 비-플라즈마 처리 구역들(즉, 열 처리 구역들)에서 플라즈마를 점화하지 않고 플라즈마 처리 구역(525)에서 플라즈마를 점화하기 위한 충분한 에너지를 인가하도록 구성될 수 있다.
주 증착 발생기들(510)에 부가하여, 제2 "저주파수" 바이어스 발생기(540)가 서셉터 조립체(140)에 전기적으로 연결될 수 있다. 일부 실시예들에서, 저주파수 바이어스 발생기(540)는 인터페이스 박스(550)를 통해 서셉터 조립체(140)에 연결된다. 저주파수 바이어스 발생기(540)는, 서셉터 조립체(120)에 저주파수 바이어스를 인가하기 위해 서셉터 조립체(120)에 전기적으로 연결된다. 저주파수 바이어스는 임의의 적합한 저주파수일 수 있다. 일부 실시예들에서, 저주파수 바이어스는 약 2 MHz, 1 MHz, 또는 500 kHz 미만이다. 일부 실시예들에서, 저주파수 바이어스 발생기는 약 325 kHz의 주파수로 동작한다.
일부 실시예들에서, 도 15에 도시된 바와 같이, 저주파수 바이어스 발생기(540)는 인터페이스 박스(550)를 통해 서셉터 조립체(120)에 연결된다. 인터페이스 박스(550)의 기능은 도 16과 관련하여 설명된다. 통상의 기술자는, 열거된 기능들 및 구성요소들 전부가 포함되지는 않을 수 있거나, 부가적인 구성요소들 및 기능들이 포함될 수 있다는 것을 인지할 것이다. 예시된 실시예는 단지 하나의 가능한 구성을 나타낸다. 인터페이스 박스(550)는, DC 차단 커패시터(551)를 이용한 서셉터의 DC 격리를 포함할 수 있다. 일부 실시예들에서, 주 발생기 RF의 주 주파수뿐만 아니라 2차(및 가능하게는 3차) 고조파 주파수들을 차단하기 위해 RF 필터들(552a, 552b)이 포함될 수 있다. 2개의 RF 필터가 예시되지만, 예컨대, 차단되어야 할 고조파 주파수의 수에 따라 2개보다 더 많거나 더 적은 RF 필터가 포함될 수 있다. 일부 실시예들에서, 부가적인 저역 통과 필터(553)가 낮은 바이어스 주파수를 초과하는 RF 전력을 차단한다. 일부 실시예들에서, 서셉터 DC 전압(Vdc)(554) 및 저주파수 바이어스 전압(Vrms)(555)에 대한 판독 회로들이 입력/출력(599) 디바이스(예컨대, 컴퓨터 모니터)에 출력할 수 있다.
주 주파수 발생기(510)의 입력 전력은 챔버 내부에 플라즈마를 생성하는 데 사용된다. 주 주파수보다 훨씬 더 낮은 저주파수 바이어스 발생기(540)로부터의 제2 주파수(예컨대, 325 kHz 대 13.56 MHz)는, 플라즈마에서 생성되는 생성물들, 이를테면, 이온들 및 라디칼들의 유동들의 방향성을 향상시킨다. 일부 실시예들에서, 저주파수 바이어스 발생기의 주파수 및 전력은, 웨이퍼들의 수평 평면 상에 도달하는 에너지 또는 이온들 및 라디칼들의 수를 증가시키면서 수직 평면 상에서는 일정하게 유지하거나 감소시키도록 구성된다. 이는, 플라즈마 처리를 기하학적으로 조절함으로써, 하나의 연속적인 막의, 3차원 구조에서의 그의 면에 따른 상이한 특성들의 생성을 허용할 수 있다.
처리 챔버(500)의 일부 실시예들은 제어기(595)를 포함한다. 제어기(595)는 처리 챔버(500)의 다양한 구성요소들에 제공되고 결합되어 그들의 동작을 제어할 수 있다. 제어기(595)는, 전체 처리 챔버(500)를 제어하는 단일 제어기, 또는 처리 챔버(500)의 개별 부분들을 제어하는 다수의 제어기들일 수 있다. 일부 실시예들에서, 제어기(595)는, 중앙 처리 유닛(CPU)(596), 메모리(597), 지원 회로들(598), 및 입력/출력부(I/O)(599)를 포함한다. 제어기(595)는 처리 챔버(500)를 직접, 또는 특정 프로세스 챔버 및/또는 지원 시스템 구성요소들과 연관된 컴퓨터들(또는 제어기들)을 통해 제어할 수 있다. 제어기(595)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(595)의 메모리(597) 또는 컴퓨터 판독가능 매체는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 광학 저장 매체(예컨대, 컴팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소와 같은, 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 종래의 방식으로 프로세서를 지원하기 위해 지원 회로들(598)이 CPU(596)에 결합된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스는, 본원에 설명된 방식으로 처리 챔버(500) 또는 개별 처리 챔버들의 동작을 제어하도록 실행 또는 호출될 수 있는 소프트웨어 루틴으로서 메모리(597)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(596)에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 입력/출력부(599)는, 키보드들, 컴퓨터 마우스들, 디스플레이들, 및/또는 프린터들을 포함할 수 있다.
일부 실시예들에서, 제어기(595)는, 서셉터 조립체(140) 및 가스 분배 조립체(120)에 결합된다. 일부 실시예들의 제어기(595)는, 다양한 기능들 및 프로세스들을 제어하기 위한 하나 이상의 구성을 갖는다. 일부 실시예들에서, 제어기(595)는 또한, 주 증착 발생기(510) 및 저주파수 바이어스 발생기(540)에 연결되어 그들의 기능수행을 제어한다. 일부 실시예들에서, 구성들은, 중심 축을 중심으로 서셉터 조립체를 회전시키기 위한 제1 구성, 처리 구역들 각각 내로의 가스들의 유동들을 제어하기 위한 제2 구성, 주 증착 발생기에 대한 전력을 제어하기 위한 제3 구성, 및 저주파수 바이어스 발생기에 대한 전력을 제어하기 위한 제4 구성으로부터 선택된다.
본 개시내용의 하나 이상의 실시예는, 3D 구조의 측벽 상에 유전체 막을 선택적으로 증착하기 위한 방법들에 관한 것이다. 바이어스 하드웨어 설정은, 방향성 플라즈마 처리를 가능하게 할 수 있다. 일부 실시예들은, 플라즈마 처리 동안 가스 조성을 변경하는 것에 의한 선택적 수평 평면 증착에 관한 것이다.
도 15 및 도 17을 참조하면, 본 개시내용의 일부 실시예들은, 막을 증착하는 방법들(600)에 관한 것이다. 적어도 하나의 기판이 서셉터 조립체의 최상부 표면 상에 위치된다. 기판(610)은, 적어도 하나의 피쳐(614)가 형성된 표면(612)을 갖는다. 피쳐(614)는, 표면(612)으로부터 최하부(616)까지 깊이가 연장되고, 측벽들(618)을 갖는다. 피쳐의 폭은 임의의 적합한 폭일 수 있고, 피쳐의 깊이는 임의의 적합한 깊이일 수 있다.
처리 챔버 내의 복수의 처리 구역들 내로 가스들의 유동들이 제공된다. 플라즈마 처리 구역(525) 내에 플라즈마를 형성하기 위해 주 증착 발생기(510)에 전력이 제공된다. 서셉터 조립체(140)에 저주파수 바이어스를 제공하기 위해 저주파수 바이어스 발생기(540)에 전력이 공급된다. 일부 실시예들에서, 열 처리 구역들로의 반응성 가스들의 유동은, 저주파수 바이어스가 서셉터 조립체(140)에 인가되는 동안 중단된다.
기판 표면은, 제1 횟수의 증착 사이클과 그에 후속하는 제2 횟수의 처리 사이클에 노출될 수 있다. 각각의 증착 사이클은, 기판 표면을 처리 챔버의 제1 구역에서 제1 반응성 가스에 노출시키는 것, 기판 표면을 제1 처리 구역으로부터 가스 커튼을 통해 제2 처리 구역으로 이동시키는 것, 기판 표면을 제2 처리 구역에서 제2 반응성 가스에 노출시키는 것, 기판 표면을 제2 처리 구역으로부터 가스 커튼을 통해 플라즈마 처리 구역으로 이동시키는 것, 및 기판 표면을 플라즈마 처리 구역에서 플라즈마 프로세스에 노출시키는 것을 포함한다. 처리 사이클들 각각은, 서셉터 조립체에 저주파수 바이어스를 제공하는 것, 및 기판 표면을, 서셉터 조립체에 인가되는 저주파수 바이어스와 함께 주 증착 발생기를 이용하여 생성된 주 주파수 플라즈마를 사용하는 바이어싱된 플라즈마 처리 구역에서, 바이어싱된 플라즈마 프로세스에 노출시키는 것을 포함한다. 일부 실시예들에서, 처리 사이클은, 제1 처리 구역에서의 제1 반응성 가스 및 제2 처리 구역에서의 제2 반응성 가스의 유동을 중단하는 것을 더 포함한다. 일부 실시예들에서, 바이어싱된 플라즈마 처리 구역은 플라즈마 처리 구역과 동일하다.
제1 횟수의 증착 사이클은 임의의 적합한 횟수일 수 있다. 일부 실시예들에서, 제1 횟수의 증착 사이클은, 약 1 회 내지 약 500 회의 범위 또는 약 10 회 내지 약 250 회의 범위 내에 있다. 일부 실시예들에서, 제1 횟수의 증착 사이클은 약 100 회이다. 제2 횟수의 처리 사이클은 약 1 회 내지 약 50 회의 범위 내에 있을 수 있다. 일부 실시예들에서, 증착 사이클 횟수에 따라 하나의 처리 사이클만이 사용된다.
도 5 및 도 16을 참조하여 예시적인 프로세스가 설명된다. 제1 프로세스 구역(250a) 및 제5 프로세스 구역(250e)은 제1 반응성 가스를 전달하도록 구성된다. 제2 프로세스 구역(250b) 및 제6 프로세스 구역(250f)은 제2 반응성 가스를 전달하도록 구성된다. 제3 프로세스 구역(250c) 및 제7 프로세스 구역(250g)은 퍼지 가스를 전달하도록 구성된다. 제4 프로세스 구역(250d) 및 제8 프로세스 구역(250h)에는 주 증착 발생기(510)를 이용한 플라즈마 처리가 구성된다. 기판들은, 기판의 최상부 표면, 피쳐의 측벽들 및 최하부 상에 막(620)을 증착하기 위한 다수의 사이클들에 기판을 노출시키기 위해 서셉터 조립체의 중심 축을 중심으로 회전된다.
제1 프로세스 구역(250a), 제2 프로세스 구역(250b), 제5 프로세스 구역(250e), 및 제6 프로세스 구역(250f)으로의 반응성 가스들의 유동은 중단될 수 있고, 퍼지 가스가 유동될 수 있다. 퍼지 가스는 이러한(또는 다른) 부분들에서, 퍼지 가스 유동에 부가된 반응성 가스와 함께 일정하게 유동될 수 있다. 저주파수 바이어스 발생기(540)는 서셉터 조립체(140)에 저주파수 바이어스를 인가하기 위해 전력을 공급받을 수 있고, 기판들은 적어도 한 사이클의 처리에 노출될 수 있다. 한 사이클의 처리는 플라즈마 처리 구역에 대한 한 번의 노출이며, 두 번의 노출일 처리 챔버 주위에서의 완전한 사이클이 아니다. 처리 프로세스는 기판 표면(612) 및 피쳐(614)의 최하부(616)로부터 막(620)을 제거하여, 피쳐(614)의 측벽들(618) 상의 막(620)을 남긴다. 처리 플라즈마의 조성은, 증착 플라즈마의 조성과 동일하거나 상이할 수 있다. 일부 실시예들에서, 처리 플라즈마의 조성은 Ar, He, N2, H2, 또는 NH3 중 하나 이상을 포함한다. 일부 실시예들에서, 처리 플라즈마 조성은 증착 플라즈마 조성과 상이한다.
예들
바이어스를 인가함이 없이 ~400 Å SiN의 연속적인 막 증착에 의해 기준점(POR; point of reference) 샘플을 제조하였다. 처리된(Trt) 샘플들은 (바이어스를 이용하는 또는 바이어스가 없는) ~5 Å SiN 막 증착 단계 및 바이어스를 인가하는 처리 단계로 이루어진 50 회의 루프를 겪었다. 이러한 증착-처리 단계들을, 가스 혼합물을 증착 단계 동안의 Ar/N2로부터 처리 동안의 Ar/NH3으로 전환함으로써 동일한 챔버에서 연속적으로 행하였다.
이론에 의해 얽매임이 없이, 처리 프로세스는 막의 식각률(ER)을 증가시키는 막에 대한 플라즈마 손상을 유발하는 것으로 여겨진다. 3차원 구조들의 최상부 및 최하부 막들이 후속 식각 프로세스에 의해 그들의 측벽 상에서보다 더 용이하게 제거되어 선택적으로 측벽 상에 막이 남겨지도록 바이어스를 인가함으로써 플라즈마 처리의 방향성을 향상시켰다. 플라즈마 처리 효율을 최대화하기 위해, 증착 동안 플라즈마 처리를 주기적으로 적용하였다. 처리의 빈도(또는 각각의 증착 단계 사이의 막의 두께)는, 전형적으로는 수십 옹스트롬인 플라즈마 관통 깊이에 의해 결정될 수 있다.
(POR 막의 습식 식각률(WER)로 정규화된) 처리된 막들의 (희석된 1:100 HF에서의) WER을 평가하였다. 바이어스 전력이 증가함에 따라, 처리된 막들의 WER은 급격하게 증가하여 POR 샘플의 WER의 40 배 초과에 도달하였다. WER은 약 50 W를 초과하는 전력에서 포화되는 것으로 나타났으며, 이는, 프로세스 반복성에 유용할 수 있다.
증착-처리 SiN 막을 4 - 5 : 1의 종횡비(AR)를 갖는 피쳐를 가진 구조화된 웨이퍼 상에서 처리하였다. TEM 이미지들은, 처리된 샘플에서와 같이 SiN 막에 의해 구조들이 균일하게 덮이는 것을 보여주었다. 구조들의 측벽 상의 막들은 1 분의 식각 후에 선택적으로 유지된 한편, 구조들의 최상부 및 최하부 상의 막들은 식각되어 제거되었다.
증착 및 처리 단계들 동안 가스 조성을 변경함으로써 선택적 측벽 증착 프로세스를 선택적 "수평 평면 증착"으로 확장하였다. 증착 프로세스 동안 Ar/NH3 플라즈마를 사용하였고, 이는 저품질(높은 WER) 막으로 이어졌다. 처리 부분 동안 LF 바이어스를 인가함으로써 Ar/N2 플라즈마로 막을 처리하였다. Ar/N2 처리는 막 특성을 개선(낮은 WER)하여 그 결과 후속 습식 식각 프로세스 후에 수평 평면들 상에 SiN 막들이 선택적으로 남아있게 되었다. 약 5 Å의 증착 후에 처리 사이클을 수행하였다.
하나 이상의 실시예에 따르면, 기판은, 층을 형성하기 전에 그리고/또는 층을 형성한 후에, 처리를 받는다. 이러한 처리는, 동일한 챔버에서 또는 하나 이상의 별개의 처리 챔버에서 수행될 수 있다. 일부 실시예들에서, 기판은, 추가적인 처리를 위해, 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은, 제1 챔버로부터 별개의 처리 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제1 챔버로부터 하나 이상의 이송 챔버로 이동되고, 그런 다음, 별개의 처리 챔버로 이동될 수 있다. 따라서, 처리 장치는 이송 스테이션과 통신하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 식각을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예에 따르면, 클러스터 툴은, 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 록 챔버들과 처리 챔버들 사이에서 그리고 이들 간에서 기판들을 왕복시킬 수 있는 로봇을 수납할 수 있다. 이송 챔버는 전형적으로, 진공 조건으로 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로 그리고/또는 클러스터 툴의 전단부에 위치된 로드 록 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘 알려진 클러스터 툴은 센추라(Centura®) 및 엔듀라(Endura®)이고, 이들 둘 모두는, 캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에 설명된 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 처리 챔버들은, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화, 탈기, 배향, 히드록실화, 및 다른 기판 프로세스들을 포함하지만 이에 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염을, 후속 막을 증착하기 전의 산화 없이, 피할 수 있다.
하나 이상의 실시예에 따르면, 기판은 지속적으로 진공 또는 "로드 록" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pumped down)"된다. 불활성 가스들이 처리 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 이상의 실시예에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 처리 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
처리 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부(예컨대, 서셉터)의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도식으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예에서, 이용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류식으로 변화시키기 위해, 챔버 내에서 기판 표면에 인접하게 위치된다.
기판은 또한, 처리 동안, 정지상태이거나 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 작은 양만큼 회전될 수 있다. (연속적으로 또는 단계들로) 처리 동안 기판을 회전시키는 것은, 예컨대, 가스 유동 기하학적 구조들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 식각을 생성하는 것을 도울 수 있다.
전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 처리 챔버로서,
    최상부 표면 및 중심 축을 갖는 서셉터 조립체 ― 상기 서셉터 조립체는, 상기 서셉터 조립체의 상기 중심 축을 중심으로, 상기 최상부 표면 상에 위치된 복수의 기판들을 회전시킴 ―;
    복수의 처리 구역들을 포함하는 가스 분배 조립체 ― 각각의 처리 구역은 가스 커튼에 의해 인접한 처리 구역들로부터 분리되고, 상기 처리 구역들 중 적어도 하나는, 주 증착 발생기 주파수로 동작하는 주 증착 발생기를 갖는 플라즈마 처리 구역을 포함함 ―; 및
    상기 서셉터 조립체에 저주파수 바이어스를 인가하기 위해 상기 서셉터 조립체에 전기적으로 연결되는 저주파수 바이어스 발생기를 포함하는, 처리 챔버.
  2. 제1항에 있어서,
    상기 저주파수 바이어스 발생기는 약 325 kHz의 주파수를 갖는, 처리 챔버.
  3. 제1항 또는 제2항에 있어서,
    상기 저주파수 바이어스 발생기는 인터페이스 박스를 통해 상기 서셉터 조립체에 연결되는, 처리 챔버.
  4. 제3항에 있어서,
    상기 인터페이스 박스는, 상기 서셉터 조립체의 DC 격리를 위한 DC 차단 커패시터를 포함하는, 처리 챔버.
  5. 제3항에 있어서,
    상기 인터페이스 박스는, 상기 주 증착 발생기 주파수의 고조파들을 차단하기 위한 적어도 하나 RF 필터를 포함하는, 처리 챔버.
  6. 제3항에 있어서,
    상기 인터페이스 박스는, 저주파수를 초과하는 주파수들을 차단하기 위한 적어도 하나의 저역 통과 필터를 포함하는, 처리 챔버.
  7. 제1항 또는 제2항에 있어서,
    상기 주 증착 발생기 주파수는 약 13.56 MHz인, 처리 챔버.
  8. 제1항 또는 제2항에 있어서,
    상기 플라즈마 처리 구역은 원격 플라즈마를 생성하는, 처리 챔버.
  9. 제8항에 있어서,
    상기 플라즈마 처리 구역은, 상기 서셉터 조립체의 상기 최상부 표면 위로 일정 거리에 위치되는, RF 핫 전극 및 복귀 전극을 갖는 수직 플라즈마 소스를 포함하는, 처리 챔버.
  10. 제1항 또는 제2항에 있어서,
    상기 플라즈마 처리 구역은 직접 플라즈마를 생성하는, 처리 챔버.
  11. 제10항에 있어서,
    상기 주 증착 발생기는 상기 가스 분배 조립체에 전력을 인가하고, 상기 서셉터 조립체는 복귀 전극으로서 작용하고, 상기 주 증착 발생기는, 비-플라즈마 처리 구역들에서 플라즈마를 점화하지 않고 상기 플라즈마 처리 구역에서 플라즈마를 점화하기 위한 충분한 에너지를 인가하는, 처리 챔버.
  12. 제1항에 있어서,
    상기 서셉터 조립체, 상기 가스 분배 조립체, 상기 주 증착 발생기, 및 상기 저주파수 바이어스 발생기에 연결되어 이들의 기능수행을 제어하는 제어기를 더 포함하며, 상기 제어기는 상기 처리 챔버의 기능들을 제어하기 위한 하나 이상의 구성을 갖고, 상기 하나 이상의 구성은, 상기 중심 축을 중심으로 상기 서셉터 조립체를 회전시키기 위한 제1 구성, 상기 처리 구역들 각각 내로의 가스들의 유동들을 제어하기 위한 제2 구성, 상기 주 증착 발생기에 대한 전력을 제어하기 위한 제3 구성, 및 상기 저주파수 바이어스 발생기에 대한 전력을 제어하기 위한 제4 구성으로부터 선택되는, 처리 챔버.
  13. 막을 증착하는 방법으로서,
    적어도 하나의 기판을 서셉터 조립체의 최상부 표면 상에 위치시키는 단계;
    복수의 처리 구역들 내로 가스들의 유동들을 제공하는 단계 ― 각각의 처리 구역은 가스 커튼에 의해 인접한 처리 구역들로부터 분리되고, 상기 복수의 처리 구역들은 복수의 열 처리 구역들을 포함하고, 상기 복수의 처리 구역들 중 적어도 하나는, 주 증착 발생기가 연결되는 플라즈마 처리 구역임 ―;
    상기 플라즈마 처리 구역 내에 플라즈마를 형성하기 위해 상기 주 증착 발생기에 전력을 제공하는 단계; 및
    상기 서셉터 조립체에 저주파수 바이어스를 인가하기 위해 상기 서셉터 조립체에 전기적으로 연결되는 저주파수 바이어스 발생기에 전력을 제공하는 단계를 포함하는, 막을 증착하는 방법.
  14. 제13항에 있어서,
    상기 서셉터 조립체에 상기 저주파수 바이어스가 인가되는 동안 상기 복수의 열 처리 구역들로의 반응성 가스들의 유동들을 중단하는 단계를 더 포함하는, 막을 증착하는 방법.
  15. 제13항 또는 제14항에 있어서,
    상기 주 증착 발생기는 약 13.56 MHz의 주 증착 주파수로 동작하고, 상기 저주파수 바이어스는 약 325 kHz의 주파수를 갖는, 막을 증착하는 방법.
KR1020207020556A 2017-12-16 2018-12-14 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착 KR102405729B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762599688P 2017-12-16 2017-12-16
US62/599,688 2017-12-16
PCT/US2018/065620 WO2019118812A1 (en) 2017-12-16 2018-12-14 Geometrically selective deposition of dielectric films utilizing low frequency bias

Publications (2)

Publication Number Publication Date
KR20200089342A true KR20200089342A (ko) 2020-07-24
KR102405729B1 KR102405729B1 (ko) 2022-06-07

Family

ID=66816246

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207020556A KR102405729B1 (ko) 2017-12-16 2018-12-14 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착

Country Status (5)

Country Link
US (1) US11081318B2 (ko)
JP (1) JP7002655B2 (ko)
KR (1) KR102405729B1 (ko)
TW (1) TWI793218B (ko)
WO (1) WO2019118812A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220065978A (ko) 2020-11-13 2022-05-23 충남대학교산학협력단 고주파 펄스 소스 및 저주파 펄스 바이어스를 이용한 플라즈마 극고종횡비 식각 방법

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
JP7308774B2 (ja) * 2020-02-06 2023-07-14 東京エレクトロン株式会社 成膜方法及び成膜装置
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
KR102660299B1 (ko) 2021-12-29 2024-04-26 세메스 주식회사 기판 처리 장치, 고조파 제어 유닛 및 고조파 제어 방법
CN115852315A (zh) * 2022-12-20 2023-03-28 安徽纯源镀膜科技有限公司 一种用于提高退膜效率的设备及工艺

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120112260A (ko) * 2011-03-31 2012-10-11 도쿄엘렉트론가부시키가이샤 기판 처리 방법
KR20130141397A (ko) * 2012-06-15 2013-12-26 도쿄엘렉트론가부시키가이샤 성막 장치, 기판 처리 장치 및 성막 방법
KR20140101402A (ko) * 2011-12-01 2014-08-19 어플라이드 머티어리얼스, 인코포레이티드 다중-성분 필름 증착
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR20160090758A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분리된 인젝터 챔버를 사용하는 막들의 원자 층 증착
KR20170066229A (ko) * 2015-11-20 2017-06-14 어플라이드 머티어리얼스, 인코포레이티드 측방향 플라즈마/라디칼 소스
KR20170067825A (ko) * 2014-10-08 2017-06-16 어플라이드 머티어리얼스, 인코포레이티드 이중층 ald를 사용한 정확한 임계 치수 제어

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
KR101037043B1 (ko) * 2009-02-27 2011-05-26 성균관대학교산학협력단 반도체 기판의 비아 형성방법
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
KR101493254B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
JP2017504955A (ja) * 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
TW201610215A (zh) * 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
CN108780735B (zh) 2016-03-13 2023-04-21 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
US9644271B1 (en) * 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120112260A (ko) * 2011-03-31 2012-10-11 도쿄엘렉트론가부시키가이샤 기판 처리 방법
KR20140101402A (ko) * 2011-12-01 2014-08-19 어플라이드 머티어리얼스, 인코포레이티드 다중-성분 필름 증착
KR20130141397A (ko) * 2012-06-15 2013-12-26 도쿄엘렉트론가부시키가이샤 성막 장치, 기판 처리 장치 및 성막 방법
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR20170067825A (ko) * 2014-10-08 2017-06-16 어플라이드 머티어리얼스, 인코포레이티드 이중층 ald를 사용한 정확한 임계 치수 제어
KR20160090758A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분리된 인젝터 챔버를 사용하는 막들의 원자 층 증착
KR20170066229A (ko) * 2015-11-20 2017-06-14 어플라이드 머티어리얼스, 인코포레이티드 측방향 플라즈마/라디칼 소스

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220065978A (ko) 2020-11-13 2022-05-23 충남대학교산학협력단 고주파 펄스 소스 및 저주파 펄스 바이어스를 이용한 플라즈마 극고종횡비 식각 방법

Also Published As

Publication number Publication date
WO2019118812A1 (en) 2019-06-20
US11081318B2 (en) 2021-08-03
US20190189400A1 (en) 2019-06-20
KR102405729B1 (ko) 2022-06-07
JP2021507517A (ja) 2021-02-22
TWI793218B (zh) 2023-02-21
JP7002655B2 (ja) 2022-02-04
TW201936978A (zh) 2019-09-16

Similar Documents

Publication Publication Date Title
US9711330B2 (en) RF multi-feed structure to improve plasma uniformity
KR102405729B1 (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
US20210210312A1 (en) Symmetric Plasma Source to Generate Pie-Shaped Treatment
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
KR102456063B1 (ko) 수직 플라즈마 소스로부터의 개선된 플라즈마 노출을 위한 성형된 전극들
US11315769B2 (en) Plasma source for rotating susceptor
US20230307213A1 (en) Vertically adjustable plasma source

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant