KR20120112260A - 기판 처리 방법 - Google Patents

기판 처리 방법 Download PDF

Info

Publication number
KR20120112260A
KR20120112260A KR1020120033518A KR20120033518A KR20120112260A KR 20120112260 A KR20120112260 A KR 20120112260A KR 1020120033518 A KR1020120033518 A KR 1020120033518A KR 20120033518 A KR20120033518 A KR 20120033518A KR 20120112260 A KR20120112260 A KR 20120112260A
Authority
KR
South Korea
Prior art keywords
high frequency
voltage
frequency power
power supply
susceptor
Prior art date
Application number
KR1020120033518A
Other languages
English (en)
Other versions
KR102042588B1 (ko
Inventor
신지 히모리
노리카즈 야마다
타케시 오세
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120112260A publication Critical patent/KR20120112260A/ko
Application granted granted Critical
Publication of KR102042588B1 publication Critical patent/KR102042588B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

에칭에서의 가공 제어성을 향상시킬 수 있는 기판 처리 장치를 제공한다. 기판 처리 장치(10)는, 내부가 감압되는 챔버(11)와, 이 챔버(11) 내에 배치되어 웨이퍼(W)를 재치하는 서셉터(12)와, 플라즈마 생성용 고주파 전압을 서셉터(12)에 인가하는 HF 고주파 전원(18)과, 바이어스 전압 발생용 고주파 전압을 서셉터(12)에 인가하는 LF 고주파 전원(20)과, 직사각형파 형상의 직류 전압을 서셉터(12)에 인가하는 직류 전압 인가 유닛(23)을 구비한다.

Description

기판 처리 방법{SUBSTRATE PROCESSING METHOD}
본 발명은, 플라즈마를 이용하여 기판에 소정의 처리를 실시하는 기판 처리 방법에 관한 것이다.
플라즈마를 이용하여 기판으로서의 반도체 웨이퍼(이하, 단순히 ‘웨이퍼’라고 함)에 소정의 플라즈마 처리를 실시하는 기판 처리 장치는, 감압된 처리실과, 이 처리실 내에 배치된 재치대(載置臺)와, 이 재치대에 접속되어 비교적 높은 주파수의 고주파 전압(이하, ‘HF(High Frequency) 고주파 전압’이라고 함)을 재치대로서의 서셉터에 인가하는 HF 고주파 전원과, 서셉터에 접속되어 비교적 낮은 주파수의 고주파 전압(이하, ‘LF(Low Frequency) 고주파 전압’이라고 함)을 서셉터에 인가하는 LF 고주파 전원을 구비한다.
HF 고주파 전압은 처리실 내로 도입된 처리 가스를 여기하여 플라즈마를 발생시킨다. 또한, LF 고주파 전압은 서셉터에서 바이어스 전압을 발생시킨다. 이 때 서셉터에는 셀프 바이어스가 발생하고, 이 서셉터의 전위는 시간 평균하면 음의 전위가 되기 때문에 이온은 이 전위차에 의해 서셉터에 인입된다.
그런데, LF 고주파 전압을 인가하여 바이어스 전압을 서셉터에 발생시킬 경우, 서셉터에 인입되는 이온의 에너지의 분포는 LF 고주파 전압이 정현파이기 때문에, 도 9에 나타낸 바와 같이, 비교적 낮은 에너지의 피크와 비교적 높은 에너지의 피크를 가지고, 또한 어느 정도의 범위로 확산되는 것이 알려져 있다(예를 들면, 특허 문헌 1 참조).
일본특허공개공보 2009 - 187975 호(도 14)
그러나, 비교적 낮은 에너지의 이온에 의한 에칭은 등방성(等方性)이 강하고, 비교적 높은 에너지의 이온에 의한 에칭은 이방성(異方性)이 강하기 때문에, LF 고주파 전압을 인가하여 바이어스 전압을 서셉터에 발생시키면, 에칭에서 등방성을 우선하고자 할 경우에도 에칭의 이방성도 강해지고, 에칭에서 이방성을 우선하고자 할 경우에도 에칭의 등방성이 강해진다. 그 결과, 에칭에 의해 원하는 형상의 홀 또는 트렌치를 형성할 수 없다. 즉, LF 고주파 전압을 이용하여 서셉터에 바이어스 전압을 발생시키면, 에칭에서의 가공 제어성이 그다지 좋지 않다고 하는 문제가 있다.
본 발명의 목적은, 에칭에서의 가공 제어성을 향상시킬 수 있는 기판 처리 장치를 제공하는 것에 있다.
상기 목적을 달성하기 위하여, 청구항 1에 기재된 기판 처리 장치는, 내부가 감압되는 처리실과, 상기 처리실 내에 배치되어 기판을 재치하는 재치대와, 비교적 높은 주파수의 고주파 전압을 인가하는 제 1 고주파 전원과, 비교적 낮은 주파수의 고주파 전압을 상기 재치대에 인가하는 제 2 고주파 전원과, 직사각형파 형상의 직류 전압을 상기 재치대에 인가하는 직류 전압 인가 유닛을 구비하는 것을 특징으로 한다.
청구항 2에 기재된 기판 처리 장치는, 청구항 1에 기재된 기판 처리 장치에 있어서, 상기 제 2 고주파 전원 및 상기 재치대로부터 상기 직류 전압 인가 유닛을 접속 / 분리 가능한 접속 전환 스위치를 더 구비하는 것을 특징으로 한다.
청구항 3에 기재된 기판 처리 장치는, 청구항 1 또는 2에 기재된 기판 처리 장치에 있어서, 상기 제 1 고주파 전원으로부터의 상기 비교적 높은 주파수의 고주파 전압을 차단하는 로우 패스 필터를 더 구비하고, 상기 제 1 고주파 전원은 상기 재치대에 접속되고, 상기 로우 패스 필터는, 상기 제 1 고주파 전원 및 상기 제 2 고주파 전원의 사이, 그리고 상기 제 1 고주파 전원 및 상기 직류 전압 인가 유닛의 사이에 개재되는 것을 특징으로 한다.
청구항 4에 기재된 기판 처리 장치는, 청구항 1 또는 2에 기재된 기판 처리 장치에 있어서, 상기 처리실 내에 배치되어 상기 재치대와 대향하는 대향 전극을 더 구비하고, 상기 제 1 고주파 전원은 상기 대향 전극에 접속되는 것을 특징으로 한다.
청구항 5에 기재된 기판 처리 장치는, 청구항 1 내지 4 항 중 어느 한 항에 기재된 기판 처리 장치에 있어서, 상기 비교적 높은 주파수는 40 MHz ~ 300 MHz이며, 상기 비교적 낮은 주파수는 380 KHz ~ 20 MHz이며, 상기 직류 전압의 직사각형파 형상의 주파수는 3 MHz 이하인 것을 특징으로 한다.
본 발명에 의하면, 제 2 고주파 전원이 재치대에 비교적 낮은 주파수의 고주파 전압을 인가하고, 직류 전압 인가 유닛이 직사각형파 형상의 직류 전압을 재치대에 인가한다. 재치대에 비교적 낮은 주파수의 고주파 전압을 인가하여 바이어스 전압을 재치대에 발생시킬 경우, 어느 정도의 범위로 확산되어 분포하고 또한 비교적 낮은 에너지의 피크 및 비교적 높은 에너지의 피크를 가지는 이온의 에너지의 분포가 얻어지고, 재치대에 직사각형파 형상의 직류 전압을 인가하여 바이어스 전압을 재치대에 발생시킬 경우, 국소적으로 존재하고 또한 하나의 피크만을 가지는 이온의 에너지의 분포가 얻어진다. 또한, 이온의 에너지의 분포에서의 피크의 위치 또는 수에 의해 에칭에서의 이방성의 강도 및 등방성의 강도는 변화한다. 따라서, 제 2 고주파 전원으로부터의 출력치 및 직류 전압 인가 유닛으로부터의 출력치의 비율을 조정함으로써, 에칭에서의 이방성의 강도 및 등방성의 강도를 제어할 수 있고, 이로써 에칭에서의 가공 제어성을 향상시킬 수 있다.
도 1은 본 발명의 제 1 실시예에 따른 기판 처리 장치의 구성을 개략적으로 도시한 단면도이다.
도 2는 도 1에서의 직류 전압 인가 유닛의 회로 구성을 개략적으로 도시한 도이다.
도 3은 도 1에서의 직류 전압 인가 유닛이 인가하는 직사각형파 형상의 직류 전압을 설명하기 위한 도이다.
도 4는 도 1에서의 서셉터에 인입되는 이온의 에너지의 분포를 나타낸 그래프이다.
도 5는 도 1의 기판 처리 장치의 변형예의 구성을 개략적으로 도시한 단면도이다.
도 6은 본 발명의 제 2 실시예에 따른 기판 처리 장치의 구성을 개략적으로 도시한 단면도이다.
도 7은 도 6에서의 접속 전환 스위치의 변형예를 나타낸 도이며, 도 7의 (A)은 제 1 변형예를 나타내고, 도 7의 (B)은 제 2 변형예를 나타낸다.
도 8은 도 6의 기판 처리 장치의 변형예의 구성을 개략적으로 도시한 단면도이다.
도 9는 종래의 기판 처리 장치에서의 서셉터에 인입되는 이온의 에너지의 분포를 나타낸 그래프이다.
이하에, 본 발명의 실시예에 대하여 도면을 참조하여 설명한다.
도 1은, 본 실시예에 따른 기판 처리 장치의 구성을 개략적으로 도시한 단면도이다. 본 기판 처리 장치는, 기판으로서의 반도체 디바이스용의 웨이퍼(이하, 단순히 ‘웨이퍼’라고 함)에 플라즈마 에칭 처리를 실시한다.
도 1에서 기판 처리 장치(10)는, 예를 들면 직경이 300 mm인 웨이퍼(W)를 수용하는 챔버(11)를 가지고, 이 챔버(11) 내에는 웨이퍼(W)를 상면에 재치하는 원기둥 형상의 서셉터(12)(재치대)가 배치되어 있다. 기판 처리 장치(10)에서는, 챔버(11)의 내측벽과 서셉터(12)의 측면에 의해 측방 배기로(13)가 형성된다. 이 측방 배기로(13)의 도중에는 배기 플레이트(14)가 배치된다.
배기 플레이트(14)는 다수의 관통홀을 가지는 판 형상 부재이며, 챔버(11) 내부를 상부와 하부로 구획하는 구획판으로서 기능한다. 배기 플레이트(14)에 의해 구획된 챔버(11) 내부의 상부(이하, ‘처리실’이라고 함)(15)의 내부 공간에는 후술하는 바와 같이 플라즈마가 발생한다. 또한, 챔버(11) 내부의 하부(이하, ‘배기실(매니폴드)’라고 함)(16)에는 챔버(11) 내의 가스를 배출하는 배기관(17)이 접속된다. 배기 플레이트(14)는 처리실(15)에 발생하는 플라즈마를 포착 또는 반사하여 매니폴드(16)에의 누설을 방지한다.
배기관(17)에는 TMP(Turbo Molecular Pump) 및 DP(Dry Pump)(모두 도시하지 않음)가 접속되고, 이들 펌프는 챔버(11) 내를 진공 배기하여 감압한다. 구체적으로, DP는 챔버(11) 내를 대기압으로부터 중진공 상태까지 감압하고, TMP는 DP와 협동하여 챔버(11) 내를 중진공 상태보다 낮은 압력인 고진공 상태까지 감압한다. 또한, 챔버(11) 내의 압력은 APC 밸브(도시하지 않음)에 의해 제어된다.
챔버(11) 내의 서셉터(12)에는 HF 고주파 전원(18)(제 1 고주파 전원)이 HF 정합기(19)를 개재하여 접속되고, HF 고주파 전원(18)은 비교적 높은 주파수, 예를 들면 40 MHz ~ 300 MHz의 고주파 전압(이하, ‘플라즈마 생성용 고주파 전압’이라고 함)을 서셉터(12)에 인가한다. 또한, 서셉터(12)에는 LF 고주파 전원(20)(제 2 고주파 전원)이 LF 정합기(21) 및 로우 패스 필터(22)를 개재하여 접속되고, LF 고주파 전원(20)은 비교적 낮은 주파수, 예를 들면 380 KHz ~ 20 MHz의 고주파 전압(이하, ‘바이어스 전압 발생용 고주파 전압’이라고 함)을 서셉터(12)에 인가한다. 또한, 서셉터(12)에는 직류 전압 인가 유닛(23)이 로우 패스 필터(22)를 개재하여 접속되고, 직류 전압 인가 유닛(23)은 후술하는 직사각형파 형상의 직류 전압을 서셉터(12)에 인가한다. 고주파 전압 및 직류 전압이 인가되는 서셉터(12)는 하부 전극으로서 기능한다. 기판 처리 장치(10)에서는, HF 고주파 전원(18)으로부터 서셉터(12)까지의 배선과 로우 패스 필터(22)로부터 서셉터(12)까지의 배선이 교차하지 않기 때문에, 로우 패스 필터(22)는, 전기 회로적으로 HF 고주파 전원(18) 및 LF 고주파 전원(20)의 사이, 그리고 HF 고주파 전원(18) 및 직류 전압 인가 유닛(23)의 사이에 개재한다.
HF 정합기(19)는, 플라즈마 및 HF 고주파 전원(18) 간의 임피던스를 정합하여 플라즈마 생성용 고주파 전압의 서셉터(12)로의 인가 효율을 향상시키고, LF 정합기(21)는, 플라즈마 및 LF 고주파 전원(20) 간의 임피던스를 정합하여 바이어스 전압 발생용 고주파 전압의 서셉터(12)로의 인가 효율을 향상시킨다. 로우 패스 필터(22)는 플라즈마 생성용 고주파 전압을 차단하여 당해 플라즈마 생성용 고주파 전압이 LF 고주파 전원(20) 및 직류 전압 인가 유닛(23)으로 유입되는 것을 방지한다.
서셉터(12)에 인가된 플라즈마 생성용 고주파 전압은, 후술하는 처리 가스를 여기하여 처리실(15)에서 플라즈마를 발생시키고, 서셉터(12)에 인가된 바이어스 전압 발생용 고주파 전압 및 직사각형파 형상의 직류 전압은, 서셉터(12)에서 바이어스 전압을 발생시킨다. 상술한 바와 같이, 서셉터(12)에서 바이어스 전압은 음의 영역에서 변동하기 때문에, 플라즈마 중의 이온은 전위차에 의해 서셉터(12)에 인입된다.
서셉터(12)의 상부 주연부에는, 이 서셉터(12)의 중앙 부분이 도면 중 상방을 향해 돌출되도록 단차가 형성된다. 이 서셉터(12)의 중앙 부분의 선단에는 정전 전극판(24)을 내부에 가지는 유전체, 예를 들면 세라믹으로 이루어지는 원판 형상의 정전 척(25)이 배치되어 있다. 정전 전극판(24)에는 직류 전원(도시하지 않음)이 접속되어 있고, 정전 전극판(24)에 양의 직류 전압이 인가되면, 웨이퍼(W)에서의 정전 척(25)측의 면(이하, ‘이면’이라고 함)에는 음 전위가 발생하여 정전 전극판(24) 및 웨이퍼(W)의 이면 간에 전위차가 발생한다. 정전 전극판(24) 및 웨이퍼(W)의 이면 간의 전위차는 정전기력인 쿨롱력 또는 존슨 라벡력을 발생시키고, 정전기력에 의해 웨이퍼(W)는 정전 척(25)에 흡착 보지(保持)된다.
또한, 서셉터(12)는 내부에 냉매 유로로 이루어지는 냉각 기구(도시하지 않음)를 가지고, 이 냉각 기구는 플라즈마와 접촉하여 온도가 상승하는 웨이퍼(W)의 열을 흡수함으로써 웨이퍼(W)의 온도가 원하는 온도를 상회하는 것을 방지한다.
서셉터(12)는 전열 효율 및 전극 기능을 고려하여 도전체, 예를 들면 알루미늄으로 구성되지만, 도전체를 플라즈마가 발생하는 처리실(15) 내에 노출하는 것을 방지하기 위하여, 이 서셉터(12)는 측면을 유전체, 예를 들면 석영(SiO2)으로 이루어지는 측면 보호 부재(26)에 의해 덮인다.
또한 서셉터(12)의 상부에는, 정전 척(25)에 흡착 보지된 웨이퍼(W)를 둘러싸도록 환상(環狀)의 포커스 링(27)이 서셉터(12)의 단차 및 측면 보호 부재(26)에 재치되고, 또한 포커스 링(27)을 둘러싸도록 실드 링(28)이 측면 보호 부재(26)에 재치되어 있다. 포커스 링(27)은 실리콘(Si) 또는 탄화규소(SiC)로 이루어지고, 플라즈마의 분포역을 웨이퍼(W) 상뿐 아니라 이 포커스 링(27) 상까지 확대한다.
챔버(11)의 천장부에는 서셉터(12)와 대향하도록 샤워 헤드(29)가 배치된다. 샤워 헤드(29)는 표면이 절연막으로 덮인 도전체, 또는 단체(單體)의 반도체, 예를 들면 실리콘으로 이루어지는 원판 형상의 상부 전극판(30)(대향 전극)과, 이 상부 전극판(30)을 착탈 가능하게 지지(釣支)하는 쿨링 플레이트(31)와, 이 쿨링 플레이트(31)를 덮는 덮개체(32)를 가진다. 상부 전극판(30)은 두께 방향으로 관통하는 다수의 가스홀(33)을 가지는 원판 형상 부재로 이루어지고, 전기적으로 접지되어 있기 때문에, 상부 전극판(30)의 전위는 접지 전위이다. 쿨링 플레이트(31)의 내부에는 버퍼실(34)이 설치되고, 이 버퍼실(34)에는 처리 가스 도입관(35)이 접속되어 있다.
기판 처리 장치(10)는 제어부(36)를 더 구비하고, 이 제어부(36)는 내장하는 메모리 등에 기억된 프로그램에 따라 각 구성 요소의 동작을 제어하고, 플라즈마 에칭 처리를 실행한다. 구체적으로, 제어부(36)는 각 구성 요소의 동작을 제어하여 처리 가스 도입관(35)으로부터 버퍼실(34)로 공급된 처리 가스를 처리실(15)의 내부 공간으로 도입하고, 이 도입한 처리 가스를 HF 고주파 전원(18)에 인가된 플라즈마 생성용의 고주파 전압에 의해 여기하여 플라즈마를 생성하고, 플라즈마 중의 이온을 LF 고주파 전원(20) 및 직류 전압 인가 유닛(23)이 서셉터(12)에 발생시키는 바이어스 전압에 의해 웨이퍼(W)를 향해 인입하고, 또는 플라즈마 중의 라디칼을 웨이퍼(W)에 도달시켜 이 웨이퍼(W)에 플라즈마 에칭 처리를 실시한다.
도 2는, 도 1에서의 직류 전압 인가 유닛의 회로 구성을 개략적으로 도시한 도이다.
도 2에서, 직류 전압 인가 유닛(23)은 로우 패스 필터(22)에 접속되는 배선(37)으로부터 분기하는 2 개의 접지 배선(38, 39)을 가진다. 접지 배선(38)은, 배선(37)과의 분기점(37a)으로부터 접지(40)까지의 사이에 차례로, 예를 들면 FET(Field Effect Transistor)로 이루어지는 스위칭 소자(41) 및 직류 전원(42)을 가지고, 접지 배선(39)은, 분기점(37a)으로부터 접지(43)까지의 사이에, 예를 들면 FET로 이루어지는 스위칭 소자(44)를 가진다.
직류 전압 인가 유닛(23)에서는, 스위칭 소자(41, 44)가 동기하여 온 / 오프를 반복하는, 구체적으로, 스위칭 소자(41)가 온일 동안에는 스위칭 소자(44)가 오프가 되고, 스위칭 소자(44)가 온일 동안에는 스위칭 소자(41)가 오프가 된다. 그 결과, 직류 전압 인가 유닛(23)으로부터 인가되는 직류 전압은, 도 3에 나타낸 바와 같이 직사각형파를 나타낸다. 여기서, 직류 전원(42)은 음극이 분기점(37a)측의 접지 배선(38)에 접속되어 있기 때문에, 직류 전압 인가 유닛(23)으로부터 인가되는 직류 전압의 직사각형파는 소정의 음의 전위, 예를 들면 -500 V 및 접지 전위를 반복하는 직사각형파를 나타낸다. 기판 처리 장치(10)에서는, 직류 전압 인가 유닛(23)은, 스위칭 소자(41, 44)의 온 / 오프의 타이밍을 제어하여 주파수가 3 MHz 이하인 직사각형파 형상의 직류 전압을 서셉터(12)에 인가한다.
그런데, LF 고주파 전원(20)으로부터 바이어스 전압 발생용 고주파 전압을 서셉터(12)에 인가할 경우, 서셉터(12)에서 바이어스 전압이 발생하지만, 상술한 바와 같이 서셉터(12)가 음으로 대전하기 때문에, 바이어스 전압은 음의 영역에서 변동하고, 플라즈마 중의 이온은 음의 바이어스 전압과의 전위차에 의해 서셉터(12)에 인입된다. 이 때, 이온의 가속도는 전위차에 따라 변화하기 때문에, 서셉터(12)에 인입되는 이온의 에너지도 바이어스 전압과의 전위차에 따라 변화한다.
여기서, LF 고주파 전원(20)으로부터 인가되는 바이어스 전압 발생용 고주파 전압은 정현파를 나타내기 때문에, 바이어스 전압도 정현파를 나타낸다. 정현파를 나타내는 전압 변동에서는, 전압이 최소 전압 근방 및 최대 전압 근방에 머무는 시간이 길기 때문에, 이온 및 바이어스 전압의 전위차가 최대가 되는 시간 및 이 전위차가 최소가 되는 시간이 길어진다. 그 결과, 서셉터(12)에 인입되는 이온의 에너지가 최대가 되는 시간 및 최소가 되는 시간이 길어지고, 도 4의 그래프에서 파선으로 나타낸 바와 같이, 당해 이온의 에너지의 분포에서 최대치 근방의 피크와 최소치 근방의 피크가 발생한다. 또한, 바이어스 전압은 정현파의 최대치 및 최소치의 사이에서 서서히 변화하기 때문에, 이온 및 바이어스 전압의 전위차도 서서히 변화한다. 그 결과, 이온의 에너지도 최대치 및 최소치의 사이에서 서서히 변화하기 때문에, 이온의 에너지는, 도 4의 그래프에서 파선으로 나타낸 바와 같이, 최대치 및 최소치로 규정되는 범위에 걸쳐 분포한다.
한편, 직류 전압 인가 유닛(23)으로부터 직류 전압을 서셉터(12)에 인가할 경우도, 서셉터(12)에서 음의 영역에서 변동하는 바이어스 전압이 발생하지만, 당해 직류 전압이 직사각형파를 나타내기 때문에, 당해 바이어스 전압도 직사각형파를 나타낸다. 이 때, 바이어스 전압은 직사각형파를 나타내기 때문에, 바이어스 전압에는 최대치와 최소치밖에 존재하지 않지만, 여기서 바이어스 전압에서의 최대치의 전위를 이온의 전위와 동일하게 하면, 이온에는 바이어스 전압의 최소치와의 전위차만이 작용하게 된다. 그 결과, 서셉터(12)에 인입되는 이온의 에너지의 분포에서는, 도 4의 그래프에서 실선으로 나타낸 바와 같이, 바이어스 전압의 최소치와의 전위차에 대응하는 피크만이 존재한다. 즉, 직류 전압 인가 유닛(23)으로부터 직류 전압을 서셉터(12)에 인가하면, 서셉터(12)에 인입되는 이온의 에너지의 분포는 하나의 피크만을 가지고, 또한 확산되지 않고 국소적으로 존재한다.
본 실시예에서는, 플라즈마 에칭 처리의 내용에 따라 LF 고주파 전원(20)으로부터의 바이어스 전압 발생용 고주파 전압의 인가와, 직류 전압 인가 유닛(23)으로부터의 직류 전압의 인가를 나누어 사용한다. 구체적으로, 플라즈마 에칭 처리의 내용에 따라 LF 고주파 전원(20)으로부터의 출력치 및 직류 전압 인가 유닛(23)으로부터의 출력치의 비율을 조정한다. 예를 들면, 고주파 전압은 직류 전압에 비해 높은 전압치를 용이하게 실현할 수 있고, 이로써 바이어스 전압 및 이온의 전위차를 크게 하여 높은 에너지의 이온에 의한 에칭을 행할 수 있으므로, LF 고주파 전원(20)으로부터의 출력치의 비율을 크게 할 경우, 높은 에너지의 이온에 의한 에칭에 의해 난(難) 에칭재를 에칭할 수 있다.
한편, 직류 전압 인가 유닛(23)으로부터의 출력치의 비율을 크게 할 경우, 이온의 에너지의 분포는 하나의 피크만을 가지고 또한 확산되지 않도록 되므로, 등방성의 에칭 및 이방성의 에칭이 혼재하는 것을 방지할 수 있고, 또한 바이어스 전압의 최소치를 변경함으로써 이온의 에너지의 분포에서의 피크의 위치를 변경할 수 있으므로, 플라즈마 에칭 처리에서의 이방성 또는 등방성 중 어느 일방만을 강하게 할 수 있다.
본 실시예에 따른 기판 처리 장치(10)에 의하면, LF 고주파 전원(20)이 서셉터(12)에 정현파 형상의 바이어스 전압 발생용 고주파 전압을 인가하고, 직류 전압 인가 유닛(23)이 직사각형파 형상의 직류 전압을 서셉터(12)에 인가한다. 서셉터(12)에 바이어스 전압 발생용 고주파 전압을 인가하여 바이어스 전압을 서셉터(12)에 발생시킬 경우, 도 4의 그래프에서 파선으로 나타낸 바와 같이, 최대치 및 최소치로 규정되는 범위에 걸쳐 분포하고 또한 최소치 근방의 피크 및 최대치 근방의 피크를 가지는 이온의 에너지의 분포가 얻어지고, 서셉터(12)에 직사각형파 형상의 직류 전압을 인가하여 바이어스 전압을 서셉터(12)에 발생시킬 경우, 국소적으로 존재하고 또한 하나의 피크만을 가지는 이온의 에너지의 분포가 얻어진다. 또한, 이온의 에너지의 분포에서의 피크의 위치 또는 수에 의해 에칭에서의 이방성의 강도 및 등방성의 강도는 변화한다. 따라서, LF 고주파 전원(20)으로부터의 출력치 및 직류 전압 인가 유닛(23)으로부터의 출력치의 비율을 조정함으로써, 에칭에서의 이방성의 강도 및 등방성의 강도를 제어할 수 있고, 이로써 에칭에서의 가공 제어성을 향상시킬 수 있다.
또한 상술한 기판 처리 장치(10)에서는, 로우 패스 필터(22)는, HF 고주파 전원(18) 및 LF 고주파 전원(20)의 사이, 그리고 HF 고주파 전원(18) 및 직류 전압 인가 유닛(23)의 사이에 개재되므로, HF 고주파 전원(18)으로부터 플라즈마 생성용 고주파 전압이 LF 고주파 전원(20) 및 직류 전압 인가 유닛(23)으로 유입되는 것을 방지하여 LF 고주파 전원(20) 및 직류 전압 인가 유닛(23)이 플라즈마 생성용 고주파 전압에 의해 파괴되는 것을 방지할 수 있다. 또한, LF 고주파 전원(20) 및 직류 전압 인가 유닛(23)이 로우 패스 필터(22)를 공용할 수 있고, 이로써 기판 처리 장치(10)에서의 회로 구성을 간소화할 수 있다.
도 5는, 도 1의 기판 처리 장치의 변형예의 구성을 개략적으로 도시한 단면도이다.
도 5의 기판 처리 장치(45)에서는, HF 고주파 전원(18)이 HF 정합기(19)를 개재하여 서셉터(12)가 아닌 상부 전극판(30)에 접속된다. 또한, 서셉터(12)에는 LF 고주파 전원(20)이 LF 정합기(21)만을 개재하여 접속되고, 또한 직류 전압 인가 유닛(23)이 직접 접속된다. 이 외의 구성은 기판 처리 장치(10)와 동일하며, 도 5에서, 기판 처리 장치(10)와 대응하는 요소 및 부분에는 동일 부호를 부여하고 있다.
기판 처리 장치(45)에서는, HF 고주파 전원(18)이 서셉터(12)에 접속되지 않으므로, HF 고주파 전원(18)으로부터의 플라즈마 생성용 고주파 전압이 서셉터(12)를 개재하여 LF 고주파 전원(20) 및 직류 전압 인가 유닛(23)으로 유입되지 않는다. 따라서 기판 처리 장치(45)에서는, 서셉터(12) 및 LF 고주파 전원(20)의 사이, 그리고 서셉터(12) 및 직류 전압 인가 유닛(23)의 사이에 로우 패스 필터(22)를 설치할 필요가 없고, 이로써 기판 처리 장치(45)에서의 회로 구성을 간소화할 수 있다.
이어서, 본 발명의 제 2 실시예에 따른 기판 처리 장치에 대하여 설명한다.
도 6은, 본 실시예에 따른 기판 처리 장치의 구성을 개략적으로 도시한 단면도이다.
본 실시예에 따른 기판 처리 장치(46)는, 하기에 설명하는 접속 전환 스위치(47) 이외의 구성이 도 1의 기판 처리 장치(10)와 동일하며, 도 6에서, 기판 처리 장치(10)와 대응하는 요소 및 부분에는 동일 부호를 부여하고 있다.
상술한 도 1의 기판 처리 장치(10)에서는, 직류 전압 인가 유닛(23)이 서셉터(12)에 접속되어 있지만, 직류 전압 인가 유닛(23)에서는, 로우 패스 필터(22)에 접속되는 배선(37)이 2 개의 접지 배선(38, 39)에 의해 접지되기 때문에, 직류 전압 인가 유닛(23)이 서셉터(12)에 상시 접속되어 있을 경우, 서셉터(12)의 전위가 접지 전위에 가까워지고, 전기적으로 부유하고 있는 웨이퍼(W) 및 서셉터(12)의 전위차가 커져 웨이퍼(W) 및 서셉터(12) 간에 이상 방전이 발생할 우려가 있다.
또한 기판 처리 장치(10)에서는, 직류 전압 인가 유닛(23)이 LF 정합기(21)를 개재하여 LF 고주파 전원(20)에 접속되어 있기 때문에, LF 고주파 전원(20)으로부터의 바이어스 전압 발생용 고주파 전압이 직류 전압 인가 유닛(23)으로 유입되고, 직류 전압 인가 유닛(23) 내의 스위칭 소자(41, 44)가 바이어스 전압 발생용 고주파 전압에 의한 고부하에 의해 파괴될 우려가 있다.
이에 대응하여, 도 6의 기판 처리 장치(46)는, 로우 패스 필터(22) 및 LF 정합기(21)를 접속하는 배선(48)과, 직류 전압 인가 유닛(23)과의 사이에 개재되는 접속 전환 스위치(47)를 구비한다. 접속 전환 스위치(47)는 개폐 가능한 스위칭 소자를 가지는 스위치이며, LF 고주파 전원(20) 및 서셉터(12)로부터 직류 전압 인가 유닛(23)을 접속 / 분리 가능하며, 직류 전압 인가 유닛(23)으로부터의 직류 전압의 인가가 불필요한 플라즈마 에칭 처리, 예를 들면 높은 에너지의 이온에 의한 에칭 처리 동안, LF 고주파 전원(20) 및 서셉터(12)로부터 직류 전압 인가 유닛(23)을 분리한다. 또한, 이방성 또는 등방성 중 어느 일방만을 강하게 하는 플라즈마 에칭 처리 동안, LF 고주파 전원(20) 및 서셉터(12)를 직류 전압 인가 유닛(23)에 접속한다.
즉 기판 처리 장치(46)에서는, 필요에 따라 서셉터(12)로부터 직류 전압 인가 유닛(23)을 분리함으로써, 서셉터(12)가 직류 전압 인가 유닛(23)을 개재하여 접지 전위에 가까워지는 것을 방지하여 서셉터(12) 및 웨이퍼(W)의 전위차의 확대에 의한 이상 방전의 발생을 방지할 수 있고, 또한 필요에 따라 LF 고주파 전원(20)으로부터 직류 전압 인가 유닛(23)을 분리함으로써, LF 고주파 전원(20)으로부터 바이어스 전압 발생용 고주파 전압이 직류 전압 인가 유닛(23)으로 유입되는 것을 방지하여 이 직류 전압 인가 유닛(23) 내의 스위칭 소자(41, 44)가 파괴되는 것을 방지할 수 있다.
도 6의 기판 처리 장치(46)에서는, 접속 전환 스위치(47)가 배선(48) 및 직류 전압 인가 유닛(23)의 사이에 개재되었지만, 접속 전환 스위치의 형태는 이에 한정되지 않는다. 예를 들면, 기판 처리 장치(46)는 도 7의 (A)에 도시한 바와 같이, 로우 패스 필터(22)와, LF 정합기(21) 및 직류 전압 인가 유닛(23)과의 사이에 배치되어 로우 패스 필터(22)와 LF 정합기(21)를 개재한 LF 고주파 전원(20)과의 접속, 및 로우 패스 필터(22)와 직류 전압 인가 유닛(23)과의 접속 중 어느 일방만을 선택 가능한 접속 전환 스위치(49)를 구비해도 되고, 혹은 도 7의 (B)에 도시한 바와 같이, 로우 패스 필터(22) 및 LF 정합기(21)의 사이에 배치되어 로우 패스 필터(22)와 LF 정합기(21)를 개재한 LF 고주파 전원(20)과의 접속 / 분리를 제어 가능한 접속 전환 스위치(50a), 그리고 로우 패스 필터(22) 및 직류 전압 인가 유닛(23)의 사이에 배치되어 로우 패스 필터(22)와 직류 전압 인가 유닛(23)과의 접속 / 분리를 제어 가능한 접속 전환 스위치(50b)를 구비해도 된다.
또한 도 8에 도시한 바와 같이, HF 고주파 전원(18)이 HF 정합기(19)를 개재하여 서셉터(12)가 아닌 상부 전극판(30)에 접속되고, 서셉터(12)에는 LF 고주파 전원(20)이 LF 정합기(21)만을 개재하여 접속되고, 또한 직류 전압 인가 유닛(23)이 접속 전환 스위치(47)만을 개재하여 접속되어도 된다. 이에 의해, 도 5의 기판 처리 장치(45)와 마찬가지로, 로우 패스 필터(22)를 설치할 필요를 없앨 수 있고, 이로써 기판 처리 장치(46)에서의 회로 구성을 간소화할 수 있다.
이상, 본 발명에 대하여 상기 각 실시예를 이용하여 설명했지만, 본 발명은 상기 각 실시예에 한정되지 않는다.
본 발명의 목적은, 상술한 실시예의 기능을 실현하는 소프트웨어의 프로그램을 기록한 기억 매체를 컴퓨터 등에 공급하고, 컴퓨터의 CPU가 기억 매체에 저장된 프로그램을 독출하여 실행함으로써도 달성된다.
이 경우, 기억 매체로부터 독출된 프로그램 자체가 상술한 실시예의 기능을 실현하게 되어, 프로그램 및 그 프로그램을 기억한 기억 매체는 본 발명을 구성하게 된다.
또한, 프로그램을 공급하기 위한 기억 매체로서는, 예를 들면 RAM, NV-RAM, 플로피(등록 상표) 디스크, 하드 디스크, 광자기 디스크, CD-ROM, CD-R, CD-RW, DVD(DVD-ROM, DVD-RAM, DVD-RW, DVD+RW) 등의 광디스크, 자기 테이프, 불휘발성의 메모리 카드, 다른 ROM 등의 상기 프로그램을 기억할 수 있는 것이면 된다. 혹은, 상기 프로그램은 인터넷, 상용 네트워크, 혹은 로컬 에어리어 네트워크 등에 접속되는 미도시의 다른 컴퓨터 또는 데이터 베이스 등으로부터 다운로드함으로써 컴퓨터에 공급되어도 된다.
또한, 컴퓨터의 CPU가 독출한 프로그램을 실행함으로써 상기 각 실시예의 기능이 실현될 뿐 아니라, 그 프로그램의 지시에 기초하여, CPU 상에서 가동하고 있는 OS(오퍼레이팅 시스템) 등이 실제의 처리의 일부 또는 전부를 행하고, 이 처리에 의해 상술한 실시예의 기능이 실현되는 경우도 포함된다.
또한, 기억 매체로부터 독출된 프로그램이, 컴퓨터에 삽입된 기능 확장 보드 또는 컴퓨터에 접속된 기능 확장 유닛에 구비되는 메모리에 기입된 후, 이 프로그램의 지시에 기초하여, 그 기능 확장 보드 또는 기능 확장 유닛에 구비되는 CPU 등이 실제의 처리의 일부 또는 전부를 행하고, 이 처리에 의해 상술한 실시예의 기능이 실현되는 경우도 포함된다.
상기 프로그램의 형태는 오브젝트 코드, 인터프리터에 의해 실행되는 프로그램, OS에 공급되는 스크립트 데이터 등의 형태로 이루어져도 된다.
W : 웨이퍼
10, 45, 46 : 기판 처리 장치
11 : 챔버
12 : 서셉터
18 : HF 고주파 전원
20 : LF 고주파 전원
22 : 로우 패스 필터
23 : 직류 전압 인가 유닛
30 : 상부 전극판
47, 49, 50a, 50b : 접속 전환 스위치

Claims (5)

  1. 내부가 감압되는 처리실과,
    상기 처리실 내에 배치되어 기판을 재치(載置)하는 재치대와,
    비교적 높은 주파수의 고주파 전압을 인가하는 제 1 고주파 전원과,
    비교적 낮은 주파수의 고주파 전압을 상기 재치대에 인가하는 제 2 고주파 전원과,
    직사각형파 형상의 직류 전압을 상기 재치대에 인가하는 직류 전압 인가 유닛
    을 구비하는 것을 특징으로 하는
    기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 제 2 고주파 전원 및 상기 재치대로부터 상기 직류 전압 인가 유닛을 접속 / 분리 가능한 접속 전환 스위치를 더 구비하는 것을 특징으로 하는 기판 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 고주파 전원으로부터의 상기 비교적 높은 주파수의 고주파 전압을 차단하는 로우 패스 필터를 더 구비하고,
    상기 제 1 고주파 전원은 상기 재치대에 접속되고,
    상기 로우 패스 필터는, 상기 제 1 고주파 전원 및 상기 제 2 고주파 전원의 사이, 그리고 상기 제 1 고주파 전원 및 상기 직류 전압 인가 유닛의 사이에 개재되는 것을 특징으로 하는 기판 처리 장치.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 처리실 내에 배치되어 상기 재치대와 대향하는 대향 전극을 더 구비하고,
    상기 제 1 고주파 전원은 상기 대향 전극에 접속되는 것을 특징으로 하는 기판 처리 장치.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 비교적 높은 주파수는 40 MHz ~ 300 MHz이며, 상기 비교적 낮은 주파수는 380 KHz ~ 20 MHz이며, 상기 직류 전압의 직사각형파 형상의 주파수는 3 MHz 이하인 것을 특징으로 하는 기판 처리 장치.
KR1020120033518A 2011-03-31 2012-03-30 기판 처리 방법 KR102042588B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2011-079733 2011-03-31
JP2011079733A JP5864879B2 (ja) 2011-03-31 2011-03-31 基板処理装置及びその制御方法

Publications (2)

Publication Number Publication Date
KR20120112260A true KR20120112260A (ko) 2012-10-11
KR102042588B1 KR102042588B1 (ko) 2019-11-08

Family

ID=46925693

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120033518A KR102042588B1 (ko) 2011-03-31 2012-03-30 기판 처리 방법

Country Status (5)

Country Link
US (2) US20120247677A1 (ko)
JP (1) JP5864879B2 (ko)
KR (1) KR102042588B1 (ko)
CN (2) CN102737942B (ko)
TW (1) TWI591677B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200089342A (ko) * 2017-12-16 2020-07-24 어플라이드 머티어리얼스, 인코포레이티드 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6770868B2 (ja) * 2016-10-26 2020-10-21 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR102269344B1 (ko) * 2017-07-25 2021-06-28 주식회사 원익아이피에스 기판처리장치
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7045152B2 (ja) * 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20190036345A (ko) * 2017-09-27 2019-04-04 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
JP2019186098A (ja) * 2018-04-12 2019-10-24 東京エレクトロン株式会社 プラズマを生成する方法
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6846384B2 (ja) * 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
KR102592922B1 (ko) 2018-06-21 2023-10-23 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11424105B2 (en) 2019-08-05 2022-08-23 Hitachi High-Tech Corporation Plasma processing apparatus
JP7349910B2 (ja) * 2019-12-27 2023-09-25 住友重機械工業株式会社 負イオン生成装置、及び負イオン生成方法
JP7450455B2 (ja) * 2020-05-13 2024-03-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
JP2006270019A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP2009187975A (ja) 2008-02-01 2009-08-20 Toshiba Corp 基板のプラズマ処理装置及びプラズマ処理方法
US20090223933A1 (en) * 2004-07-30 2009-09-10 Tokyo Electron Limited Plasma processing apparatus and method
KR20100129373A (ko) * 2009-05-31 2010-12-09 위순임 복합형 플라즈마 반응기

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JP3445657B2 (ja) 1994-06-29 2003-09-08 株式会社神戸製鋼所 ダイヤモンド薄膜のecrプラズマエッチング方法
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
JP4334723B2 (ja) * 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
JP2003282535A (ja) * 2002-03-20 2003-10-03 Sanyo Electric Co Ltd 半導体装置の製造方法
JP4106948B2 (ja) * 2002-03-29 2008-06-25 東京エレクトロン株式会社 被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
CN1983518B (zh) * 2004-06-21 2011-06-08 东京毅力科创株式会社 等离子体处理装置和方法
CN1734712A (zh) * 2004-07-30 2006-02-15 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
CN100539000C (zh) * 2004-12-03 2009-09-09 东京毅力科创株式会社 电容耦合型等离子体处理装置
US7692916B2 (en) * 2005-03-31 2010-04-06 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method
JP5199595B2 (ja) * 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
KR20080111627A (ko) * 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
JP5063520B2 (ja) * 2008-08-01 2012-10-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
JP2006270019A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
US20090223933A1 (en) * 2004-07-30 2009-09-10 Tokyo Electron Limited Plasma processing apparatus and method
JP2009187975A (ja) 2008-02-01 2009-08-20 Toshiba Corp 基板のプラズマ処理装置及びプラズマ処理方法
KR20100129373A (ko) * 2009-05-31 2010-12-09 위순임 복합형 플라즈마 반응기

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200089342A (ko) * 2017-12-16 2020-07-24 어플라이드 머티어리얼스, 인코포레이티드 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착

Also Published As

Publication number Publication date
CN102737942A (zh) 2012-10-17
JP5864879B2 (ja) 2016-02-17
CN102737942B (zh) 2016-01-20
US20170110296A1 (en) 2017-04-20
KR102042588B1 (ko) 2019-11-08
US10032611B2 (en) 2018-07-24
CN105355532B (zh) 2018-08-28
US20120247677A1 (en) 2012-10-04
TWI591677B (zh) 2017-07-11
JP2012216608A (ja) 2012-11-08
TW201303954A (zh) 2013-01-16
CN105355532A (zh) 2016-02-24

Similar Documents

Publication Publication Date Title
KR102042588B1 (ko) 기판 처리 방법
JP4548560B2 (ja) フォーカスリングおよびそのための方法
JP5678351B2 (ja) 化学プロセスシステム
US20110303643A1 (en) Substrate processing method and substrate processing apparatus
KR20110139660A (ko) 기판 처리 방법
TW202349431A (zh) 以靜電方式夾持的邊緣環
US11600471B2 (en) Substrate support, plasma processing apparatus, and focus ring
TWI721156B (zh) 電漿處理裝置
TWI497585B (zh) 基板處理方法及基板處理裝置
US11798791B2 (en) Substrate support and plasma processing apparatus
JP2021141277A (ja) 載置台及びプラズマ処理装置
JP5923245B2 (ja) 基板除去方法及び記憶媒体
JP6114370B2 (ja) 基板処理装置及びその制御方法
KR101296156B1 (ko) 유전체로 코팅된 정전척
JP4885585B2 (ja) プラズマ処理装置、プラズマ処理方法及び記憶媒体
US20230298864A1 (en) Upper electrode and plasma processing apparatus
US20240062991A1 (en) Plasma processing apparatus and substrate processing method
JP2024033855A (ja) プラズマ処理装置
JP2023165222A (ja) 静電チャック、基板支持アセンブリ、及びプラズマ処理装置
JP2003152063A (ja) 静電チャック及び半導体製造装置
TW202004899A (zh) 蝕刻裝置、及蝕刻方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant