JP4548560B2 - フォーカスリングおよびそのための方法 - Google Patents

フォーカスリングおよびそのための方法 Download PDF

Info

Publication number
JP4548560B2
JP4548560B2 JP2000525906A JP2000525906A JP4548560B2 JP 4548560 B2 JP4548560 B2 JP 4548560B2 JP 2000525906 A JP2000525906 A JP 2000525906A JP 2000525906 A JP2000525906 A JP 2000525906A JP 4548560 B2 JP4548560 B2 JP 4548560B2
Authority
JP
Japan
Prior art keywords
focus ring
plasma processing
chuck
plasma
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000525906A
Other languages
English (en)
Other versions
JP2001527285A (ja
JP2001527285A5 (ja
Inventor
ディンドサ・ラジンダー
トクナガ・ケン
シング・ビクラム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2001527285A publication Critical patent/JP2001527285A/ja
Publication of JP2001527285A5 publication Critical patent/JP2001527285A5/ja
Application granted granted Critical
Publication of JP4548560B2 publication Critical patent/JP4548560B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Glass Compositions (AREA)
  • Adornments (AREA)
  • Special Wing (AREA)
  • Developing Agents For Electrophotography (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)

Description

【0001】
【発明の属する技術分野】
この発明は、半導体製品の製造に関し、特に、プラズマ処理システムにおける改良フォーカスリングおよびそのための方法に関する。
【0002】
【発明の背景】
半導体製品(集積回路またはフラットパネルディスプレイ等)の製造において、プラズマ処理の使用は周知である。プラズマ処理は一般に、プラズマ処理チャンバ内における基板(例えば、ガラスパネルまたは半導体ウェハ)の処理を含む。プラズマは、プラズマ処理チャンバのなかで、適切なエッチャントまたは堆積用のソースガスから生成され、基板表面上の材料層のエッチングまたは堆積を行う。
【0003】
議論を促進するため、図1に、基板上にプラズマ処理を施すのに適したプラズマ処理システムである誘導結合プラズマ処理システムの概略を示した。図の簡略化のため、図1およびその他の図面のいずれも正確な縮尺率をもとには描かれていない。本明細書では、誘導結合プラズマ処理システムに関して詳細な論議を展開するが、ここで開示する本発明は、堆積、洗浄、および/またはエッチングに適用される処理システムを含んだ周知のあらゆるプラズマ処理システムに利用し得る。エッチングシステムに関しては、本発明は、例えば誘導結合プラズマエッチング、ドライエッチング、反応性イオンエッチング(RIE)、磁気強化反応性イオンエッチング(MERIE)、電子サイクロトロン共鳴(ECR)エッチング等に利用し得る。ここで、上記事実は、プラズマへのエネルギ供給が、静電結合平行電極板、ECRマイクロ波プラズマソース、または、ヘリコン共振器、ヘリカル共振器、およびコイル構成(平面状または非平面状のいずれかによらない)等の誘電結合RFソースの、いずれを通じてなされるかによらない。なかでもECRおよび誘導結合プラズマ処理システムは、商業的に容易に入手可能である。TCP(商標)誘導結合プラズマシステム等の誘導結合プラズマシステムは、カリフォルニア州フリーモント市に所在のラム・リサーチ・コーポレーションから入手することができる。
【0004】
図1に示されるように、プラズマ処理システム100は、プラズマ処理チャンバ102を備えている。チャンバ102の上方には、電極104が配置されている。電極104は、図1の例ではコイルにより具現化されている。電極104は、従来の整合回路網108を介して高周波(RF)ジェネレータ106により通電される。図1の例では、RFジェネレータ106は、周波数が約13.56MHzのRFエネルギを供給するが、その他の適切な周波数を利用しても良い。
【0005】
プラズマ処理チャンバ102には、シャワーヘッド110が示されている。これは、ガス状のエッチャントまたは堆積用のソースガスを、自身と基板114の間に位置する領域112に放出するための、ガス分布装置を構成している。基板114は、プラズマ処理チャンバ102に導入され、基板保持チャック116上に配置される。このチャック116は、静電(ESC)チャック(単極または二極のいずれかに構成される)として具現化しても良い。チャック116は、メカニカルチャック、真空チャック、または単なるワークホルダでも良い。チャック116は第2の電極として作用し、整合回路網120を介して高周波(RF)ジェネレータ118によりバイアスをかけられる。図1の例のRFジェネレータ118もまた、周波数が約13.56MHzのRFエネルギを供給するが、その他の適切な周波数を利用しても良い。
【0006】
プラズマ処理を促進するため、エッチャントまたは堆積用のソースガスをシャワーヘッド110から流し、RFジェネレータ106,118から供給されるRFエネルギで点火する。プラズマ処理の最中には、副生物であるガスが、(適切なターボポンプ構成を使用して)排気ポート122を経てチャンバ102から排出される。プラズマ処理の完了後、基板114をプラズマ処理チャンバ102から取り除き、完全なフラットパネルディスプレイまたは集積回路を形成するための、追加の処理工程を実施する。
【0007】
図1には、フォーカスリング124も示されている。図1の例では、フォーカスリング124の一部分が、基板114の下に位置するとともに基板保持チャック116を部分的に覆っている。プラズマ処理技術の当業者には周知のように、フォーカスリングは、RF誘導プラズマ領域112からのイオンを基板114の表面上で焦点合わせすることにより、基板(特にエッジ部分の)処理の均一性を改良することができる。これは、RF電源が(高周波ジェネレータ118から)基板保持チャック116に供給されると、基板114およびフォーカスリング124上に等電位線が形成されるためである。これらの等電位線は静止しておらず、RFサイクルにともない変化する。場を時間平均すると、プラズマの全体部分が正で、基板114および基板保持チャック116の表面が負となる。幾何学的要因により、基板114のエッジ部分における等電位線は不均一である。フォーカスリングは、プラズマと作動電極(例えば、RFで作動するチャック116)の間でコンデンサとして作用することにより、大部分のRF結合が、基板114を介してその上のプラズマと行われるように仕向けることができる。
【0008】
プラズマ処理では、陽イオンが等電位線(図1に等電位線130として示される)を通過しながら加速し、基板114の表面に衝突することにより、望ましい処理効果(堆積または異方性エッチング等)を提供する。イオンの加速および基板114へのその衝撃は、一般に適切に制御されるのが望ましいが、このようなイオンの加速およびフォーカスリング124への衝撃は、フォーカスリング124を不当に腐食する恐れがある。従来技術では、フォーカスリングの腐食は典型的に不可避であると考えられてきたため、このような腐食が引き起こす影響(例えば微粒子汚染)を最小化することに、ほとんどの注意が向けられていた。例えば、従来技術のシステム設計者は、プラズマ処理チャンバの壁または基板114と類似の材料でフォーカスリング124を形成することにより、腐食が原因でチャンバ内に異なるタイプの微粒子汚染が導入されないように工夫している。従来技術では、フォーカスリング124の形成に酸化アルミニウム(Al23)を使用することが多い。
【0009】
しかし、良く知られているように、酸化アルミニウムは、高誘電率すなわち低インピーダンスの材料である。このため、フォーカスリング124の上面134とプラズマシースの間には大きな電位差が生じる。この電位差は、フォーカスリング124の上面134に沿って多数の等電位線130が存在することからも明らかである。上面134の上に多数の等電位線が存在すると、フォーカスリング124の上面134に、RF誘導プラズマ領域112からのイオンが強い力で衝突する。これは、イオンが、等電位線を通過する際にその等電位線と直交する方向に加速されるからである。
【0010】
イオンがフォーカスリング124の上面134におよぼす衝撃は、上述した汚染問題以外にも望ましくない影響をもたらす。例えば、フォーカスリング124が衝突イオンにより十分に腐食されると、今度はその下に配置されたチャック116がプラズマ材料の攻撃を受けるため、さらなる(そして異なるタイプの)微粒子汚染が引き起こされ、最終的にはチャック116を交換する必要が生じる。さらに、チャック116が静電(ESC)チャック(すなわち、静電力に依存してその上面に基板114をクランプするチャック)である場合には、チャックからプラズマへの電流漏れ(腐食されたフォーカスリング124を経てプラズマがチャック116に直接接触するため)により、ESCチャックが基板114をクランプする能力が損なわれる恐れが生じる。適切にクランプされていないと、プラズマ処理の最中に基板がチャックから外れたり、あるいは、基板とチャックの間の熱伝導が、十分な処理結果を保証するためには不十分になったりする恐れがある。
【0011】
以上からわかるように、プラズマ処理チャンバにおいて、フォーカスリングの腐食を軽減するための改良技術が望まれている。
【0012】
【発明の概要】
本発明の1つの実施形態は、プラズマ処理チャンバにおいて使用されるように構成されたフォーカスリングに関する。フォーカスリングは、基板保持チャックの少なくとも一部分に重なるように構成される。この基板保持チャックは、プラズマ処理の最中に高周波(RF)電源で作動されて、電極として作用する。フォーカスリングは、プラズマ処理の最中にプラズマ処理チャンバのプラズマ領域に露出する上面を有している。フォーカスリングはさらに、基板保持チャックの一部分に重なるチャック重なり部分を有しており、チャック重なり部分の少なくとも一部分は、フォーカスリングの残りの部分よりも低誘電率の第1の材料で形成される。
【0013】
本発明の別の実施形態は、プラズマ処理チャンバにおいて使用されるように構成されたフォーカスリングに関する。フォーカスリングは、基板保持チャックの少なくとも一部分に重なるように構成される。この基板保持チャックは、プラズマ処理の最中に高周波(RF)電源で作動されて、電極として作用する。フォーカスリングは、プラズマ処理の最中にプラズマ処理チャンバのプラズマ領域に露出する上面を有している。フォーカスリングはさらに、基板保持チャックの一部分に重なるチャック重なり部分を有する。チャック重なり部分は、フォーカスリングをプラズマ処理チャンバ内に設置した際に、基板保持チャックの一部分を少なくとも部分的に覆うような、伝導インサートを有する。
【0014】
本発明のさらに別の実施形態は、プラズマ処理チャンバにおいて使用されるように構成されたフォーカスリングの腐食を軽減するための方法に関する。フォーカスリングは、プラズマ処理の最中にプラズマ処理チャンバのプラズマ領域に露出するように構成された上面を有する。フォーカスリングはまた、基板保持チャックの少なくとも一部分に重なるように構成され、この基板保持チャックは、プラズマ処理の最中に高周波(RF)電源で作動されて、電極として作用する。この方法は、フォーカスリングのチャック重なり部分に、フォーカスリングの他の部分よりも低誘電率の低誘電率部分を形成する工程を含む。このフォーカスリングにおいて、チャック重なり部分は基板保持チャックの一部分に重なる。
【0015】
本発明の上記およびその他の特徴を、以下に続く発明の詳細な説明において、図と関連させながらさらに詳しく説明する。
【0016】
【発明の実施の形態】
添付の図面に示される本発明のいくつかの好ましい実施形態にもとづき、本発明を詳細に説明する。以下の説明では、本発明の完全な理解を促すために多くの項目を特定している。しかしながら、当業者には明らかなように、本発明は、これらの項目の一部または全てを特定しなくても実施することができる。また、本発明を不必要に不明瞭化するのを避けるため、周知の処理工程および/または構造の説明は省略した。
【0017】
本発明の特徴によれば、フォーカスリングは、その上面で等電位線(例えば、図1の等電位線130)を曲げることにより、プラズマからのイオンがフォーカスリング124に衝突する際のエネルギを小さくするように構成されている。フォーカスリングの上面に存在する等電位線の本数を減らすことにより、フォーカスリングの上面とプラズマの間の電位差を縮め、イオンがフォーカスリングの上面に衝突する際のエネルギを小さくすることができる。イオンによる衝撃が小さくなると、これに付随してフォーカスリングの腐食も軽減される。
【0018】
本発明の実施形態では、RF作動チャックを覆うフォーカスリングの少なくとも一部分を、低誘電率の材料または低誘電率の領域で形成し、その下に配置されるチャックからフォーカスリングを経てプラズマに至るまでのインピーダンスを増加させる。インピーダンスが増加すると、チャックからフォーカスリング上面にかけてより大きな電圧降下が生じるため、フォーカスリングの上面とプラズマの間の電位差を縮め、フォーカスリング上面にイオンが衝突する際のエネルギを小さくすることができる。
【0019】
上述した低誘電率の材料は、一般に、任意の適した材料で良い(従来技術の酸化アルミニウムよりも低誘電率の任意の適した材料等)。このような低誘電率の材料は、例えば、石英、プラスチック(例えばポリイミド)、窒化ホウ素、窒化アルミニウムを含む。本発明の実施形態では、上述した低誘電率の領域を、フォーカスリング自身に包括される真空間隙により具現化しても良い。別の実施形態では、フォーカスリング全体を低誘電率の材料で形成しても良い。
【0020】
さらに別の実施形態では、接地されたインサート(挿入部)がフォーカスリングに組み込まれる。接地されたインサートは少なくとも、フォーカスリングのうちチャックを覆う部分に配置されている。接地されたインサートは、自身に向けて有利に等電位線を曲げることにより、フォーカスリングの上面から等電位線を逸らすことができる。上述したように、フォーカスリングの上面に存在する等電位線の本数が少ないほど、イオンがフォーカスリングの上面に衝突する際のエネルギは小さくなり、フォーカスリングの腐食を軽減することができる。
【0021】
本発明の特徴および利点に関する議論を促進するため、図2に、従来技術によるフォーカスリング124、チャック116、および基板114を部分的に拡大して示した。図2に示されるように、フォーカスリング124は、基板保持チャック116の上に重なる部分(すなわち、図2のフォーカスリングのうち点線202の左側に相当する部分)を有する。従来技術による酸化アルミニウム製のフォーカスリング124を使用すると、酸化アルミニウムが高誘電率の材料であるため、等電位線130は、フォーカスリング124のうちチャック116に重なる部分に対して実質的平行な状態を維持する。その結果、プラズマシースからのイオンは、等電位線に垂直な方向に加速されてフォーカスリング124の上部表面(上面)134に衝突するとともに、間隙204を経てフォーカスリング124の下部の表面206にも衝突する。上述したように、上部表面134および下部表面206に激しい衝撃が加わると、汚染の問題が生じ、フォーカスリング124の寿命が縮む原因となる。時間が経過し、フォーカスリング124のうちチャック116に重なる部分が腐食されてしまうと、イオンはチャック116に直接衝突するようになり、チャック116の損傷を引き起こす。腐食により、基板114とフォーカスリングの下部表面206との間に間隙が増加するため、より多くのプラズマ残渣がチャック116の上に堆積する。また、腐食によりフォーカスリングの外観が損なわれ、フォーカスリングの交換が必要となる。
【0022】
図3に、本発明の実施形態にもとづく改良フォーカスリングの設計を示した。この改良フォーカスリングは、低誘電率のインサートを利用してチャックとフォーカスリング上部表面の間のインピーダンスを増加させるものである。この改良フォーカスリングは、プラズマ処理の最中に等電位線を有利に変形することにより、プラズマシース内のイオンがフォーカスリングの上部および下部の水平面に衝突する際の衝撃を小さくする。図3には、基板114およびチャック116が再び示されている。フォーカスリング302は、図2のフォーカスリング124と実質的に類似の形状を有するフォーカスリングである。ただし、特定のシステムにおいては、フォーカスリング302の特定の形状は、チャック116、基板114、および/またはその他の構成に依存して変化しても良い。このため、図3のフォーカスリング302の厳密な形状は、例示のみを目的としており、いかなる意味でも非限定的である。
【0023】
フォーカスリング302は、プラズマ処理の最中にプラズマ環境に露出する上部表面304を有することが好ましい。フォーカスリング302はさらに、プラズマ処理の最中に基板114および(基板114とフォーカスリング302との間に位置する)間隙308の下に配置される下部表面306を有する。図3に示されるように、フォーカスリング302の一部分(すなわち、フォーカスリング302のうち点線310の左側に相当する部分)は、チャック116の上に重なる。ここで、基板114の上方から見たときに、このチャック重なり部分が基板114を実質的に取り囲んでいる点に理解する必要がある。
【0024】
本発明の実施形態によれば、チャック重なり部分312の少なくとも一部分は、チャック116とフォーカスリング302の上部表面304の間でインピーダンスが増加するように構成される。上述したように、インピーダンスが増加すると、チャック116と上部表面304との間で大きな電圧降下が生じるため、フォーカスリング302の上部表面304とその上を覆うプラズマシースの間で電位差が小さくなる。また、チャック重なり部分312におけるインピーダンスの増加により、間隙308内の等電位線をチャック116に向けて曲げ、上部表面304からほぼ下向きに逸らすことができる。
【0025】
等電位線の形状がこのように変化すると、上部表面304の上方に存在する等電位線の本数が少なくなるため、プラズマ領域からのイオンが、上部表面304に衝突するまでに受け取るエネルギも減少する。等電位線が曲がることにより(図3では等電位線314で示される)、間隙308に入射するイオンはすべて基板114のエッジに向けて方向付けられる(イオンは、等電位線を通過する際にその等電位線とほぼ直角の方向に加速されるため)。このため、下部表面306に衝突するイオンは減少し、衝突するにしても、どのイオンも図2の状況に比べて一般に小さいエネルギで衝突するようになる。
【0026】
本発明の実施形態では、チャック重なり部分312の少なくとも一部分を従来技術のフォーカスリング(酸化アルミニウムAl23で形成されるのが典型的である)より低誘電率の材料で形成することにより、チャック116とフォーカスリング302の上部表面304との間におけるインピーダンスを達成することができる。本発明の実施形態では、フォーカスリング302は、石英、またはプラズマエッチング環境に耐え得る任意のプラスチック材料で形成され得る。ここで、従来技術では、プラズマエッチング環境においてプラスチック材料をフォーカスリング302に使用することは、微粒子汚染への懸念があるため望ましくないとされていた点に注意が必要である。これに対して、本発明では、フォーカスリング302の上部表面304および下部表面306に沿って腐食が軽減され、微粒子汚染への懸念が緩和されるため、ポリイミド等の多用途プラスチック材料の使用が促進される(市販の多用途プラスチック材料の一例に、デュポン[商標]社のヴェスペルがある)。
【0027】
フォーカスリング302全体を低誘電率の材料で形成するか、あるいはフォーカスリング302の一部分(例えばチャック重なり部分312)のみを低誘電率の材料で形成するかの、いずれかの方法が考えられる。もちろん、チャック重なり部分312の一部分のみを低誘電率の材料で形成した場合にも、チャック116と上部表面304の間のインピーダンスを増加させることができる。例えば、点線310の左側に位置する任意の一部分を低誘電率の材料で形成し、フォーカスリングの腐食を軽減しても良い。例えば、フォーカスリング302を従来のフォーカスリング用の材料で形成したうえで、チャック重なり部分312の少なくとも一部分の内部に、低誘電率の材料で形成したインサートを(水平に、垂直に、または傾いて)提供しても良い。フォーカスリングが従来の材料で形成され、その内部にインサートが封入されている場合には、チャンバ内に新しい材料が導入されることはない。このため、改良フォーカスリングの使用に先立って、多方面の試験を行う必要がない。
【0028】
本発明の実施形態では、フォーカスリング302のチャック重なり部分312に1つまたはそれ以上の低誘電率領域(例えば間隙)を提供することにより、チャック116の低部とフォーカスリング302の上部表面304の間にインピーダンスを発生させる。図4は、チャック重なり部分312に2つの間隙402,404が形成された場合の実施形態を示した図である。図4において、真空間隙402,404は、それらの全体がチャック重なり部分312の中に完全に収まっているが、もし必要であれば、これらの間隙を、点線312を越えてフォーカスリング302の内部まで広げても良い。真空間隙はさらに、フォーカスリング302の下部表面に対して水平または傾けて配置して良く、提供されるその数はいくつでも良い。
【0029】
一般に、真空間隙は任意のサイズで良い。真空間隙のサイズは、しかしながら、プラズマ処理中にプラズマが間隙内で発生できない大きさであることが好ましい。もちろん、真空間隙の厳密なサイズは、プラズマ処理中におけるプラズマ処理チャンバ内の圧力および/またはチャンク116への高周波(RF)電源の供給量に依存する。例えば、プラズマ処理中に真空間隙内でプラズマが発生しないように、パッシェンの法則を利用して真空間隙のサイズを決定しても良い。また、間隙の数およびサイズは、フォーカスリングの構造的・機械的完全性を熟考のうえで決定するべきである。
【0030】
本発明の別の実施形態によれば、プラズマ処理中の等電位線の形状を変化させ、フォーカスリングの腐食を軽減するために、導電性インサート(すなわち、金属板または金属リング)をフォーカスリングに提供しても良い。図5には、フォーカスリング302のチャック重なり部分312内に配置された、導電性インサート502が示されている。導電性インサート502は任意の適した形状を採ることができるが、図5の実施形態では、フォーカスリング302のチャック重なり部分312に埋め込まれた金属リングまたは金属板として表されている。導電性インサート502はさらに、点線310を越えてフォーカスリング302の他の部分に広がっても良く、フォーカスリング302の下部表面306に対してあらゆる角度(平行を含む)で配置されて良い。もし必要であれば、導電性インサート502を、実質的に導電性のポリシリコンか、またはその他任意の適した実質的に導電性の材料で形成しても良い。導電性インサート502はまた、RF阻止コンデンサ(図5にコンデンサ504として示される)を経て接地されることが好ましい。
【0031】
導電性インサート502の存在により、等電位線をフォーカスリング302の上部表面304から逸らせ、上部表面304の上に存在する等電位線の本数を減少させる(これに付随して、イオンがフォーカスリング302の上部表面304および下部表面306に衝突する際の衝撃も小さくなる)。導電性インサートはフォーカスリングの内部に実質的に埋め込まれているため、フォーカスリングそのものは、処理環境との適合性を第一に考慮して選択される材料を含む任意の材料で形成され得る。本発明のこの特徴は、システム設計者が、フォーカスリングの構成に使用される材料を大きな柔軟性でもって設定でき、なお且つフォーカスリングの腐食を軽減できるという点において、特に有益である。導電性インサートはまた、フォーカスリングの他の領域に対するイオンの衝撃を増大させて、ポリマの堆積が減少するように設計することもできる。
【0032】
図6は、本発明のさらに別の実施形態を示した図であり、チャック116とフォーカスリング302の上部表面304との間のインピーダンスを増加させるため、チャック重なり部分312の少なくとも一部分に複数の低誘電率層が提供されている。図6の実施形態では、3つの代表的な低誘電率インサート602,604,606が示されている。図6の複数のインサートは、チャック116と上部表面304の間のインピーダンスを増加させてフォーカスリングの腐食を軽減させるために、複数の水平、垂直、または傾いた低誘電率インサートが提供され得ることを示している。
【0033】
もし必要であれば、インサート602,604,606のいずれかを省略するか、またはより多数のインサートを利用しても良い。例えば、必要に応じて垂直のインサート602を省略しても良く、または、垂直のインサート602,604を省略し、低誘電率インサート606がフォーカスリング材料内に完全に封入されるようにしても良い。このように封入されると、低誘電率の材料は主として処理環境から保護されるため、低誘電インサートに使用できる材料の範囲を実質的に広げることができる。また、低誘電率材料をフォーカスリング内部に封入することにより、上述したように、設計者はフォーカスリングの材料を腐食の軽減以外の目的に合わせて設定することができる(すなわち設計者は、フォーカスリング302の残りの部分を、処理との適合性を目的として従来技術の酸化アルミニウム材料で形成するように設定しても良い)。
【0034】
以上からわかるように、本発明では、チャンバの設計を変更する(チャック116、基板114等の形状または相対位置の変更等)必要なくフォーカスリングの腐食を軽減することができる。等電位線を逸らせ、イオンをフォーカスリングの上部表面および下部表面に実質的に小さい衝撃力で衝突させて、フォーカスリングの腐食を実質的に軽減することにより、プラズマ処理チャンバ内における微粒子汚染の程度を下げ、フォーカスリングの寿命を延ばすことができる。
【0035】
当業者には理解できるように、微粒子生成の程度を下げることにより、平均洗浄間隔時間(MTBC:mean time between cleans)を増加させ、プラズマ処理システムの所有コストを下げることができる。さらに、低誘電率材料、低誘電率領域、および/または導電性インサートを、フォーカスリングの腐食制御以外の目的で(例えば処理の適合性を第1に考慮して)材料選択されたフォーカスリング内に完全に封入した場合にも、腐食の制御という目的を達成することができる。
【0036】
以上、本発明をいくつかの好ましい実施形態にもとづいて説明したが、本発明の範囲内における他の選択肢、変形、および同等物を実施することも可能である。本発明で開示したフォーカスリングは、例えば、その腐食を望ましい程度まで軽減するために、上述した実施形態における低誘電率部分(間隙等の低誘電率領域または低誘電率インサートのいずれか)および/または接地された導電性インサートを任意に組み合わせて具現化することもできる。また、本発明による方法および装置は、代替の方式により具現化しても良い。このため、添付した請求の範囲は、本発明の真の精神および範囲を逸脱しない全ての選択肢、変形、および同等物を含むものとして解釈される。
【図面の簡単な説明】
【図1】 基板にプラズマ処理を施すのに適したプラズマ処理システムである誘電結合プラズマ処理システムの概略図である。
【図2】 図1のプラズマ処理システムに設置された従来技術によるフォーカスリングの部分的拡大図である。
【図3】 低誘電率のインサートを利用してチャックとフォーカスリング上部表面の間のインピーダンスを増加させる、本発明の実施形態にもとづくフォーカスリングの部分図である。
【図4】 間隙を利用してチャックとフォーカスリング上部表面の間のインピーダンスを増加させる、本発明の別の実施形態にもとづくフォーカスリングの部分図である。
【図5】 接地された導電性インサートを利用してチャックとフォーカスリング上部表面の間のインピーダンスを増加させる、本発明のさらに別の実施形態にもとづくフォーカスリングの部分図である。
【図6】 多数のインサートを利用してチャックとフォーカスリング上部表面の間のインピーダンスを増加させる、本発明のさらに別の実施形態にもとづくフォーカスリングの部分図である。
【符号の説明】
100 …プラズマ処理システム
102 …プラズマ処理チャンバ
104 …電極
106 …RFジェネレータ
108 …整合回路網
110 …シャワーヘッド
112 …RF誘導プラズマ領域
114 …基板
116 …基板保持チャック
118 …RFジェネレータ
120 …整合回路網
122 …排気ポート
124 …フォーカスリング
130 …等電位線
134 …フォーカスリングの上部表面
206 …フォーカスリングの下部表面
302 …フォーカスリング
304 …フォーカスリングの上部表面
306 …フォーカスリングの下部表面
308 …間隙
312…チャック重なり部分
314 …等電位線
402 …真空間隙
404 …真空間隙
502 …導電性インサート
504 …コンデンサ
602 …低誘電率のインサート
604 …低誘電率のインサート
606 …低誘電率のインサート

Claims (6)

  1. プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングであって、
    前記プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出する上面と、
    前記フォーカスリングの前記上面よりも下に前記フォーカスリングと一体的に形成されているチャック重なり部分であって、その内部に間隙を有するチャック重なり部分と、
    を備えるフォーカスリング。
  2. プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングであって、
    前記プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出する上面と、
    前記基板保持チャックの前記一部分に重なるチャック重なり部であって、前記フォーカスリングを前記プラズマ処理チャンバ内に設置したときに前記基板保持チャックの前記一部分を少なくとも部分的に覆う導電性インサートを備えるチャック重なり部分と
    を備え、
    前記導電性インサートは接地されている、フォーカスリング。
  3. プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出するように構成される上面を有し、前記プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングの腐食を軽減するための方法であって、
    前記基板保持チャックの前記一部分に重なり、前記フォーカスリングの上面よりも下に前記フォーカスリングと一体的に形成されているチャック重なり部分であって、その内部に間隙を有するチャック重なり部分を形成する工程を備える、方法。
  4. 請求項記載の方法であって、
    前記間隙のサイズは、前記プラズマ処理の最中にプラズマが前記真空間隙内で発生しないように、前記プラズマ処理の最中における前記プラズマ処理チャンバの作動電圧およびRF電源の設定に対応して決定されている、方法。
  5. プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出するように構成される上面を有し、前記プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングの腐食を軽減するための方法であって、
    前記プラズマ処理の最中に前記プラズマ処理チャンバ内の前記プラズマ領域に露出する上面と、前記基板保持チャックの前記一部分に重なり、、前記フォーカスリングの上面よりも下に前記フォーカスリングと一体的に形成されているチャック重なり部分であって、その内部に間隙を有するチャック重なり部分を有する前記フォーカスリングを提供する工程と、
    前記フォーカスリングを前記プラズマ処理チャンバの内部に設置する工程と、
    を備える、方法。
  6. 請求項記載のフォーカスリングであって、
    前記間隙は、前記基板保持チャックと前記フォーカスリングの上部表面の間のインピーダンスを増加させる、フォーカスリング。
JP2000525906A 1997-12-19 1998-12-11 フォーカスリングおよびそのための方法 Expired - Fee Related JP4548560B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/993,791 1997-12-19
US08/993,791 US6039836A (en) 1997-12-19 1997-12-19 Focus rings
PCT/US1998/026412 WO1999033087A1 (en) 1997-12-19 1998-12-11 Focus rings and methods therefor

Publications (3)

Publication Number Publication Date
JP2001527285A JP2001527285A (ja) 2001-12-25
JP2001527285A5 JP2001527285A5 (ja) 2006-06-08
JP4548560B2 true JP4548560B2 (ja) 2010-09-22

Family

ID=25539940

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000525906A Expired - Fee Related JP4548560B2 (ja) 1997-12-19 1998-12-11 フォーカスリングおよびそのための方法

Country Status (8)

Country Link
US (1) US6039836A (ja)
EP (1) EP1042783B1 (ja)
JP (1) JP4548560B2 (ja)
KR (1) KR100635693B1 (ja)
AT (1) ATE273562T1 (ja)
DE (1) DE69825630T2 (ja)
IL (1) IL136874A (ja)
WO (1) WO1999033087A1 (ja)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP3393118B2 (ja) * 2000-12-21 2003-04-07 株式会社半導体先端テクノロジーズ プラズマエッチング装置および半導体装置の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP3555084B2 (ja) * 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 半導体基板に対するプラズマ処理方法及び半導体基板のためのプラズマ処理装置
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
TW554465B (en) * 2002-08-27 2003-09-21 Winbond Electronics Corp Apparatus for supporting wafer in semiconductor process
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US6944006B2 (en) * 2003-04-03 2005-09-13 Applied Materials, Inc. Guard for electrostatic chuck
DE10319894A1 (de) * 2003-04-28 2004-11-25 Infineon Technologies Ag Dielektrischer Fokusring
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
KR100694796B1 (ko) * 2005-09-26 2007-03-14 세메스 주식회사 평면표시패널 처리챔버의 기액 분리장치
US7520969B2 (en) * 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
KR100809957B1 (ko) * 2006-09-20 2008-03-07 삼성전자주식회사 반도체 식각장치
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP4659771B2 (ja) * 2007-02-13 2011-03-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8343305B2 (en) * 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
KR101624123B1 (ko) * 2008-10-31 2016-05-25 램 리써치 코포레이션 플라즈마 프로세싱 챔버의 하부 전극 어셈블리
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
CN102666917A (zh) * 2009-11-30 2012-09-12 朗姆研究公司 一种带有成角度侧壁的静电卡盘
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
JP6069654B2 (ja) * 2013-03-29 2017-02-01 Sppテクノロジーズ株式会社 被処理基板のプラズマ処理用載置台及びこれを用いたプラズマ処理装置
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
JP6545261B2 (ja) 2014-10-17 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 付加製造プロセスを使用する、複合材料特性を有するcmpパッド構造
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
CN105990085B (zh) * 2015-03-03 2018-03-30 中微半导体设备(上海)有限公司 等离子体刻蚀设备、聚焦环及其制作方法
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101722382B1 (ko) * 2016-01-08 2017-04-03 주식회사 윈텔 플라즈마 처리 장치
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
USD797691S1 (en) 2016-04-14 2017-09-19 Applied Materials, Inc. Composite edge ring
CN111048394A (zh) * 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
JP6969182B2 (ja) * 2017-07-06 2021-11-24 東京エレクトロン株式会社 プラズマ処理装置
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
KR102111504B1 (ko) * 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20210249232A1 (en) * 2020-02-10 2021-08-12 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for etching
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法
US20240018648A1 (en) * 2022-07-14 2024-01-18 Applied Materials, Inc. Purge Ring for Reduced Substrate Backside Deposition

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59132623A (ja) * 1983-01-20 1984-07-30 Ulvac Corp ドライエツチング用電極
JP2675613B2 (ja) * 1989-04-11 1997-11-12 東京エレクトロン株式会社 プラズマ処理装置
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP3535309B2 (ja) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 減圧処理装置
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JP3424903B2 (ja) * 1997-01-23 2003-07-07 東京エレクトロン株式会社 プラズマ処理装置
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas

Also Published As

Publication number Publication date
ATE273562T1 (de) 2004-08-15
KR20010033185A (ko) 2001-04-25
EP1042783B1 (en) 2004-08-11
US6039836A (en) 2000-03-21
JP2001527285A (ja) 2001-12-25
DE69825630D1 (de) 2004-09-16
IL136874A0 (en) 2001-06-14
IL136874A (en) 2003-07-31
DE69825630T2 (de) 2005-09-15
EP1042783A1 (en) 2000-10-11
KR100635693B1 (ko) 2006-10-17
WO1999033087A1 (en) 1999-07-01

Similar Documents

Publication Publication Date Title
JP4548560B2 (ja) フォーカスリングおよびそのための方法
US5607542A (en) Inductively enhanced reactive ion etching
US7585384B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US6363882B1 (en) Lower electrode design for higher uniformity
KR101450350B1 (ko) 기판 프로세싱을 위한 장치 및 방법
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
EP0665575A1 (en) Plasma processing systems
JPH10308296A (ja) 誘導結合式プラズマリアクタのプラズマ閉込め
US6013984A (en) Ion energy attenuation method by determining the required number of ion collisions
JP4773096B2 (ja) 基板帯電ダメージを抑制するための方法
KR100455350B1 (ko) 유도 결합형 플라즈마 발생 장치 및 방법
JP4387801B2 (ja) 半導体ウェーハの乾式蝕刻方法
US20020038691A1 (en) Plasma processing system
Pu Plasma Etch Equipment
US20070221332A1 (en) Plasma processing apparatus
JP4160823B2 (ja) ラジカル支援ドライエッチング装置
KR100420533B1 (ko) 플라즈마 공정장치 및 이를 이용한 플라즈마 식각방법
CN115692147A (zh) 半导体预清洗腔室及半导体工艺设备
JP2700890B2 (ja) プラズマ処理装置
JPH0864395A (ja) プラズマ処理方法及びプラズマ処理装置
JP2005020017A (ja) プラズマ処理方法及びプラズマ処理装置
JPH07226394A (ja) 半導体処理方法および半導体処理装置
JPH11330049A (ja) プラズマ処理方法及び装置
JPH11241189A (ja) 誘導結合放電エッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060407

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090925

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20091027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100416

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100615

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100629

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130716

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees