JP2001527285A5 - - Google Patents

Download PDF

Info

Publication number
JP2001527285A5
JP2001527285A5 JP2000525906A JP2000525906A JP2001527285A5 JP 2001527285 A5 JP2001527285 A5 JP 2001527285A5 JP 2000525906 A JP2000525906 A JP 2000525906A JP 2000525906 A JP2000525906 A JP 2000525906A JP 2001527285 A5 JP2001527285 A5 JP 2001527285A5
Authority
JP
Japan
Prior art keywords
focus ring
plasma processing
chuck
processing chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000525906A
Other languages
English (en)
Other versions
JP4548560B2 (ja
JP2001527285A (ja
Filing date
Publication date
Priority claimed from US08/993,791 external-priority patent/US6039836A/en
Application filed filed Critical
Publication of JP2001527285A publication Critical patent/JP2001527285A/ja
Publication of JP2001527285A5 publication Critical patent/JP2001527285A5/ja
Application granted granted Critical
Publication of JP4548560B2 publication Critical patent/JP4548560B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【特許請求の範囲】
【請求項1】 プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングであって、
前記プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出する上面と、
前記基板保持チャックの前記一部分に重なり、少なくとも一部分は前記フォーカスリングの残りの部分より低誘電率の第1の材料で形成される、チャック重なり部分と、
を備えるフォーカスリング。
【請求項2】 請求項1記載のフォーカスリングであって、
前記チャック重なり部分は、前記第1の材料で形成された複数のインサートを備える、フォーカスリング。
【請求項3】 請求項1記載のフォーカスリングであって、
前記チャック重なり部分は、前記第1の材料で形成され前記上面に平行に配置されたインサートを備える、フォーカスリング。
【請求項4】 請求項3記載のフォーカスリングであって、
前記インサートは、前記プラズマ処理チャンバ内でプラズマに露出しないように前記フォーカスリング内に封入されている、フォーカスリング。
【請求項5】 請求項1ないし4のいずれかに記載のフォーカスリングであって、
前記第1の材料はチャンバ内の真空であり、前記チャック重なり部分は、前記基板保持チャックの前記一部分を少なくとも部分的に覆う真空間隙を備え、前記真空間隙のサイズは、前記プラズマ処理の最中にプラズマが前記真空間隙内で発生しないように、前記プラズマ処理の最中における前記プラズマ処理チャンバの作動電圧およびRF電源の設定に対応して決定されている、フォーカスリング。
【請求項6】 プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングであって、
前記プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出する上面と、
前記基板保持チャックの前記一部分に重なるチャック重なり部であって、前記フォーカスリングを前記プラズマ処理チャンバ内に設置したときに前記基板保持チャックの前記一部分を少なくとも部分的に覆う導電性インサートを備えるチャック重なり部分と、
を備えるフォーカスリング。
【請求項7】 請求項6記載のフォーカスリングであって、
前記金属インサートは接地されている、フォーカスリング。
【請求項8】 請求項7記載のフォーカスリングであって、
前記チャック重なり部分の少なくとも一部分は、前記フォーカスリングの残りの部分より低誘電率の第1の材料で形成され、前記チャック重なり部分の前記少なくとも一部分は、前記金属インサートおよび前記フォーカスリングの前記残りの部分と異なる、フォーカスリング。
【請求項9】 請求項8記載のフォーカスリングであって、
前記第1の材料はチャンバ内の真空であり、前記チャック重なり部分は、前記基板保持チャックの前記一部分を少なくとも部分的に覆う真空間隙を備え、前記真空間隙のサイズは、前記プラズマ処理の最中にプラズマが前記真空間隙内で発生しないように、前記プラズマ処理の最中における前記プラズマ処理チャンバの作動電圧およびRF電源の設定に対応して決定されている、フォーカスリング。
【請求項10】 プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出するように構成される上面を有し、前記プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングの腐食を軽減するための方法であって、
前記基板保持チャックの前記一部分に重なる前記フォーカスリングのチャック重なり部分に、前記フォーカスリングの他の部分より低誘電率の低誘電率部分を形成する工程を備える、方法。
【請求項11】 請求項10記載の方法であって、
前記低誘電率部分は、前記上面に対して平行に配置されたインサートである、方法。
【請求項12】 請求項11記載の方法であって、
前記インサートは、前記プラズマ処理の最中に前記プラズマ処理チャンバ内でプラズマに露出しないよう前記フォーカスリング内に封入されている、方法。
【請求項13】 請求項10記載の方法であって、
前記低誘電率部分は、前記基板保持チャックの前記一部分を少なくとも部分的に覆う間隙であり、前記真空間隙のサイズは、前記プラズマ処理の最中にプラズマが前記真空間隙内で発生しないように、前記プラズマ処理の最中における前記プラズマ処理チャンバの作動電圧およびRF電源の設定に対応して決定されている、方法。
【請求項14】 プラズマ処理チャンバで使用するように構成されるとともに、プラズマ処理の最中に前記プラズマ処理チャンバ内のプラズマ領域に露出するように構成される上面を有し、前記プラズマ処理の最中に高周波(RF)電源で通電されて電極として作用する基板保持チャックの少なくとも一部分に重なるように構成される、フォーカスリングの腐食を軽減するための方法であって、
前記プラズマ処理の最中に前記プラズマ処理チャンバ内の前記プラズマ領域に露出する上面と、前記基板保持チャックの前記一部分に重なり、少なくとも一部分は前記フォーカスリングの残りの部分より低誘電率であるチャック重なり部分と、を有する前記フォーカスリングを提供する工程と、
前記フォーカスリングを前記プラズマ処理チャンバの内部に設置する工程と、
を備える方法。
【請求項15】 請求項1ないし5のいずれかに記載のフォーカスリングであって、
前記第1の材料の低誘電率は、前記基板保持チャックと前記フォーカスリングの上部表面の間のインピーダンスを増加させる、フォーカスリング。
図3に、本発明の実施形態にもとづく改良フォーカスリングの設計を示した。この改良フォーカスリングは、低誘電率のインサートを利用してチャックとフォーカスリング上部表面の間のインピーダンスを増加させるものである。この改良フォーカスリングは、プラズマ処理の最中に等電位線を有利に変形することにより、プラズマシース内のイオンがフォーカスリングの上部および下部の水平面に衝突する際の衝撃を小さくする。図3には、基板114およびチャック116が再び示されている。フォーカスリング302は、図2のフォーカスリング124と実質的に類似の形状を有するフォーカスリングである。ただし、特定のシステムにおいては、フォーカスリング302の特定の形状は、チャック116、基板114、および/またはその他の構成に依存して変化しても良い。このため、図3のフォーカスリング302の厳密な形状は、例示のみを目的としており、いかなる意味でも非限定的である。
JP2000525906A 1997-12-19 1998-12-11 フォーカスリングおよびそのための方法 Expired - Fee Related JP4548560B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/993,791 1997-12-19
US08/993,791 US6039836A (en) 1997-12-19 1997-12-19 Focus rings
PCT/US1998/026412 WO1999033087A1 (en) 1997-12-19 1998-12-11 Focus rings and methods therefor

Publications (3)

Publication Number Publication Date
JP2001527285A JP2001527285A (ja) 2001-12-25
JP2001527285A5 true JP2001527285A5 (ja) 2006-06-08
JP4548560B2 JP4548560B2 (ja) 2010-09-22

Family

ID=25539940

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000525906A Expired - Fee Related JP4548560B2 (ja) 1997-12-19 1998-12-11 フォーカスリングおよびそのための方法

Country Status (8)

Country Link
US (1) US6039836A (ja)
EP (1) EP1042783B1 (ja)
JP (1) JP4548560B2 (ja)
KR (1) KR100635693B1 (ja)
AT (1) ATE273562T1 (ja)
DE (1) DE69825630T2 (ja)
IL (1) IL136874A (ja)
WO (1) WO1999033087A1 (ja)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP3393118B2 (ja) * 2000-12-21 2003-04-07 株式会社半導体先端テクノロジーズ プラズマエッチング装置および半導体装置の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP3555084B2 (ja) * 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 半導体基板に対するプラズマ処理方法及び半導体基板のためのプラズマ処理装置
TWI246873B (en) * 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
TW554465B (en) * 2002-08-27 2003-09-21 Winbond Electronics Corp Apparatus for supporting wafer in semiconductor process
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US6944006B2 (en) * 2003-04-03 2005-09-13 Applied Materials, Inc. Guard for electrostatic chuck
DE10319894A1 (de) * 2003-04-28 2004-11-25 Infineon Technologies Ag Dielektrischer Fokusring
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
KR100694796B1 (ko) * 2005-09-26 2007-03-14 세메스 주식회사 평면표시패널 처리챔버의 기액 분리장치
US7520969B2 (en) * 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
KR100809957B1 (ko) * 2006-09-20 2008-03-07 삼성전자주식회사 반도체 식각장치
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP4659771B2 (ja) * 2007-02-13 2011-03-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP5743895B2 (ja) * 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
SG10201407637TA (en) * 2009-11-30 2015-01-29 Lam Res Corp An electrostatic chuck with an angled sidewall
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
JP6069654B2 (ja) * 2013-03-29 2017-02-01 Sppテクノロジーズ株式会社 被処理基板のプラズマ処理用載置台及びこれを用いたプラズマ処理装置
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN105990085B (zh) * 2015-03-03 2018-03-30 中微半导体设备(上海)有限公司 等离子体刻蚀设备、聚焦环及其制作方法
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101722382B1 (ko) * 2016-01-08 2017-04-03 주식회사 윈텔 플라즈마 처리 장치
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
USD797691S1 (en) 2016-04-14 2017-09-19 Applied Materials, Inc. Composite edge ring
US20180190501A1 (en) * 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
JP6969182B2 (ja) * 2017-07-06 2021-11-24 東京エレクトロン株式会社 プラズマ処理装置
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
KR102111504B1 (ko) * 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20210249232A1 (en) * 2020-02-10 2021-08-12 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for etching
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法
US20240018648A1 (en) * 2022-07-14 2024-01-18 Applied Materials, Inc. Purge Ring for Reduced Substrate Backside Deposition

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59132623A (ja) * 1983-01-20 1984-07-30 Ulvac Corp ドライエツチング用電極
JP2675613B2 (ja) * 1989-04-11 1997-11-12 東京エレクトロン株式会社 プラズマ処理装置
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP3535309B2 (ja) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 減圧処理装置
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JP3424903B2 (ja) * 1997-01-23 2003-07-07 東京エレクトロン株式会社 プラズマ処理装置
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas

Similar Documents

Publication Publication Date Title
JP2001527285A5 (ja)
JP4792185B2 (ja) エッチング速度の均一性を改良するプラズマ処理チャンバ
US6273958B2 (en) Substrate support for plasma processing
JP4548560B2 (ja) フォーカスリングおよびそのための方法
WO2002082499A3 (en) Conductive collar surrounding semiconductor workpiece in plasma chamber
EP0838843A3 (en) Parallel-plate electrode plasma reactor having inductive antenna and adjustable radial distribution of plasma ion density
JPH0851101A (ja) プラズマ処理システムにおけるアークの抑制
JP2004047730A (ja) プラズマ処理装置及びプラズマ処理装置用隔板
JP3343629B2 (ja) プラズマ処理装置
JPH0437579B2 (ja)
JPH1064883A (ja) プラズマ装置
CN110828272B (zh) 腔室内衬、下电极装置和半导体处理设备
JPS61264174A (ja) 直流バイアススパツタリング法
JPH0378740B2 (ja)
JPH07221042A (ja) イオン注入装置
EP1269513B1 (en) Inductively coupled plasma etching apparatus
JPH07207471A (ja) プラズマエッチング装置
CN115692150A (zh) 一种蚀刻装置
JPH0218956Y2 (ja)
JP2889930B2 (ja) イオン源
JPH01183123A (ja) Alのスパッタエッチング装置
JPH07245194A (ja) プラズマ処理方法及び装置
JPS60194068A (ja) シ−ルド型放電電極
JP3328635B2 (ja) プラズマ反応装置、半導体装置の製造方法
JPH10189540A (ja) プラズマエッチング装置