KR20010033185A - 포커스 링 및 그 제조방법 - Google Patents

포커스 링 및 그 제조방법 Download PDF

Info

Publication number
KR20010033185A
KR20010033185A KR1020007006568A KR20007006568A KR20010033185A KR 20010033185 A KR20010033185 A KR 20010033185A KR 1020007006568 A KR1020007006568 A KR 1020007006568A KR 20007006568 A KR20007006568 A KR 20007006568A KR 20010033185 A KR20010033185 A KR 20010033185A
Authority
KR
South Korea
Prior art keywords
focus ring
plasma
chuck
processing chamber
plasma processing
Prior art date
Application number
KR1020007006568A
Other languages
English (en)
Other versions
KR100635693B1 (ko
Inventor
라진더 딘사
켄 토쿠나가
비크람 싱
Original Assignee
로브그렌 리차드 에이치.
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 로브그렌 리차드 에이치., 램 리서치 코포레이션 filed Critical 로브그렌 리차드 에이치.
Publication of KR20010033185A publication Critical patent/KR20010033185A/ko
Application granted granted Critical
Publication of KR100635693B1 publication Critical patent/KR100635693B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Glass Compositions (AREA)
  • Adornments (AREA)
  • Plasma Technology (AREA)
  • Special Wing (AREA)
  • Developing Agents For Electrophotography (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)

Abstract

플라즈마 처리챔버에서 사용하도록 구성된 개선된 포커스 링이 발표된다. 본 포커스 링은 플라즈마 작동동안 고주파(RF) 전력에 의해 에너지를 받아 전극으로서 작용하는 기질-유지척의 적어도 일부를 중첩하도록 구성된다. 포커스 링은 플라즈마 작동동안 플라즈마 처리챔버내에서 플라즈마 지역에 노출되는 상부 표면을 가진다. 포커스 링은 또한 기질유지척의 부위를 중첩하는 척 중첩부위를 포함하며, 척 중첩부위의 적어도 일부는 포커스 링의 다른 부위보다 낮은 유전상수를 갖는 제 1 재료로 형성된다.

Description

포커스 링 및 그 제조방법{FOCUS RINGS AND METHODS THEREFOR}
반도체 기초제품(집적회로 또는 평판 디스플레이)의 제조에서 플라즈마 증진공정의 사용은 잘 알려진다. 일반적으로 플라즈마 증진된 공정은 플라즈마 처리챔버에서 기질을 처리한다(기질의 예: 유리패널 또는 반도체 웨이퍼) 플라즈마 처리챔버내에서 적절한 엣칭제 또는 침전 가스로부터 플라즈마가 나타나서 기질 표면상에 재료층을 침전시키거나 엣칭시킨다.
도 1 은 기질상에서 플라즈마 증진된 공정을 수행하는 유도 연결된 플라즈마 처리시스템을 보여준다. 유도 연결된 플라즈마 처리시스템이 본 명세서에서 상술될지라도 침전, 세정 또는 엣칭용 처리시스템을 포함한 공지 플라즈마 처리시스템에서 본 발명이 사용될 수 있다. 엣칭 시스템에 있어서, 본 발명은 유도 연결된 플라즈마 엣칭, 건식 엣칭, 반응성 이온 엣칭(RIE), 자기 증진된 반응성 이온 엣칭(MERIE), 전자 시클로트론 공명(ECR) 엣칭 등에 사용될 수 있다. 플라즈마에 공급된 에너지가 정전용량 연결된 평행 전극 플레이트, ECR 마이크로파 플라즈마 원, 또는 헬리콘, 나선 공명기 및 코일 배열(평면형 또는 비평면형)과 같은 유도 연결된 RF 원을 통해 전달되는지는 중요하지 않다. ECR 및 유도연결 플라즈마 처리시스템은 시판된다. TCPTM브랜드 유도 연결 플라즈마 시스템과 같은 유도 연결 플라즈마 시스템은 Lam Research Corporation (Fremont, California)에서 구매할 수 있다.
도 1 에서 플라즈마 처리시스템(100)은 플라즈마 처리챔버(102)를 포함한다. 챔버(102)위에 도 1에서 코일로 도시된 전극(104)이 배치된다. 전극(104)은 동근 네트워크(108)를 통해 고주파(RF) 발생기(206)에 의해 여자된다. 도 1에서 RF 발생기(106)는 13.56 ㎒ 주파수의 RF 에너지원이다. 그러나 다른 적절한 주파수가 사용될 수 있다.
플라즈마 처리챔버(102) 내에 가스 엣칭 및 침전물질을 샤워헤드와 기질사이에 있는 지역(112)에 방출시키기 위한 가스분배장치인 샤워헤드(110)가 있다. 기질(114)이 플라즈마 처리챔버(102)에 도입되고, 정전기(ESC) 척 (단극 또는 쌍극구성)으로 구현되는 기질 유지 척(116)상에 배치된다. 척(116)은 기계식 척, 진공 척, 또는 작업편 홀더이다. 척(116)은 제 2 전극으로 작용하며 동조 네트워크(120)를 통해 고주파(RF) 발생기(118)에 의해 바이어스 된다. RF 발생기(106)처럼 RF 발생기(108)는 역시 주파수가 13.56 ㎒인 RF 에너지원이다. 그러나 다른 적합한 주파수가 사용될 수 있다.
플라즈마 증진 처리를 촉진하기 위해서 엣칭제 또는 침전 소스가스는 샤워헤드(110)를 통해 흐르며 RF 발생기(106,118)에 의해 공급된 RF 에너지에 의해 연소된다. 플라즈마 증진 처리동안 부산물 가스가 배출구(122)를 통해 챔버(102) 밖으로 배기된다(예컨대 터보 펌프를 사용하여). 플라즈마 증진 처리 완료 후 기질(114)은 플라즈마 처리챔버(202)로부터 제거되고 추가 공전 단계를 통해서 완성된 평판 디스플레이 또는 집적회로를 형성할 수 있다.
도 1 에 포커스 링(124)이 도시된다. 도 1 에서 포커스 링(124)부위는 기질(114)아래에 배치되고 기질 유지 척(116)부위에 포개진다. 플라즈마 처리 분야의 숙련자에게 잘 알려진 바와 같이 포커스 링은 RF 유도된 플라즈마 지역(112)에서 나오는 이온을 기질(114) 표면상에 집중시켜서 특히 기질 변부에서 공정 균일성을 증가시킨다. 그 이유는 RF 전력이 기질 유지 척(116)에 공급될 때(고주파 발생기(118)로부터) 등전위장 역선이 기질(114)과 포커스 링(124)위에 형성되기 때문이다. 역선은 정적이지 않으며 RF 싸이클동안 변한다. 시간 평균화된 장은 양의 벌크 플라즈마와 음의 표면(114,116)을 가져온다. 포커스 링은 플라즈마와 전력을 공급받은 전극(RF 전력을 받은 척(116))사이의 캐퍼시터로서 작용함으로써 기질(114)을 통해 연결되는 RF 의 대부분을 위에 놓인 플라즈마에 안내하는 것을 도와준다.
플라즈마 처리 동안 양의 이온은 등전위 역선을 가로질러 가속되어서 기질(114) 표면상에 충돌함으로써 필요한 처리효과(침전 또는 비등방성 엣칭)를 제공한다. 적절하게 조절되면 이온 가속과 기질(114)상의 충격은 바람직하지만 포커스 링(124)상의 이온 가속 및 충격은 포커스 링(124)을 부당하게 부식시키는 경향이 있다. 공지 기술에서 포커스 링 부식은 불가피한 것으로 간주된다. 공지 기술에서 이러한 부식(예컨대 입자 오염)이 공정에 미치는 효과를 최소화하는 쪽으로 관심이 집중된다. 예컨대 공지 기술에서 시스템 설계자는 부식이 상이한 종류의 입자 오염물이 챔버에 도입되지 않도록 플라즈마 처리챔버 별 또는 기질(114)을 형성하는데 사용되는 것과 유사한 재료로 포커스 링(124)을 형성한다. 공지 기술에서 포커스 링(124) 형성에 사용하는 보편적인 재료는 알루미늄 산화물(Al2O3)이다.
그러나 알루미늄 산화물은 비교적 높은 유전상수, 즉 비교적 낮은 임피던스를 갖는 재료이다. 그래서 포커스 링(124)의 상부표면(134)과 플라즈마 외장사이에 비교적 높은 전위차가 존재한다. 이러한 전위차는 포커스 링(124)의 상부표면(134)을 따라 다중 등전위 역선의 존재에 의해 자명하다. 상부표면(134)위로 다중 등전위 역선의 존재는 RF 유도된 플라즈마 지역(112)에서 나온 이온을 꽤 큰 힘으로 포커스 링(124)의 상부표면(134)상에 충돌시킨다. 왜냐하면 이온은 역선에 수직인 방향으로 등전위 역선을 가로질러 가속되는 경향이 있기 때문이다.
앞서 언급된 오염문제에 추가적으로 상부표면(134)상의 이온 충돌은 다른 바람직하지 않은 결과를 초래한다. 예컨대 충돌이온에 의해 포커스 링(124)이 충분히 부식되면 플라즈마는 아래에 배치된 척(116)을 공격하기 시작하며, 이것은 더 많은 입자 오염을 일으키므로 결국 척(116)을 대체할 필요가 있을 수 있다. 게다가 척(116)이 정전기척(ESC 척)(기질을 척의 상부표면에 고정시키는데 정전기력에 의존하는 척)일 경우에 척으로부터 플라즈마로 전류 누설(부식된 포커스 링(124)을 통해 척(116)에 직접 접촉하는 플라즈마로 인한)은 기질(114)을 고정하는 ESC 척의 능력을 변경시킬 수 있다. 부적절한 고정시 플라즈마 처리 동안 기질이 척에서 빠져나오거나 기질과 척간의 열 전달이 신뢰성 있는 처리 결과를 가져오기에는 부적절할 수 있다.
따라서 플라즈마 처리챔버에서 포커스 링의 부식을 감소시킬 개선된 기술이 필요하다.
발명의 요약
본 발명은 플라즈마 처리챔버에서 사용하도록 구성된 포커스 링에 관계한다. 포커스 링은 플라즈마 공정동안 고주파(RF) 전력에 의해 에너지를 받으며 전극으로서 작용하는 기질 유지척의 적어도 일부를 포개도록 구성된다. 포커스 링은 플라즈마 공정동안 플라즈마 처리챔버내의 플라즈마 지역에 노출되는 상부표면을 포함한다. 포커스 링은 또한 기질 유지 척의 부위를, 중첩하는 척 중첩부위를 포함하며, 척 중첩부위의 적어도 일부는 나머지 포커스 링보다 더 낮은 유전상수를 갖는 제 1 재료로 형성된다.
또다른 구체예에서 척 중첩부위는 포커스 링이 플라즈마 처리챔버에 설치될 때 기질 유지 척의 적어도 일부를 중첩하는 전도성 인서트를 포함한다.
또다른 구체예에서 본 발명은 플라즈마 처리챔버에서 사용하도록 구성된 포커스 링의 부식을 감소시키는 방법에 관계한다. 포커스 링은 플라즈마 작동동안 고주파(RF) 전력에 의해 에너지를 받아서 전극으로 작용하는 기질 유지 척의 적어도 일부를 중첩하는 구성을 한다. 이 방법은 포커스 링의 척 중첩 부위에서 포커스 링의 다른 부위보다 낮은 유전상수를 갖는 저 유전상수 부위를 형성시키는 과정을 포함한다. 이러한 포커스 링에서 척 중첩부위는 기질 유지 척 부위를 중첩한다.
본 발명은 반도체 기초제품 제조에 관계한다. 특히, 본 발명은 플라즈마 처리시스템의 개선된 포커스 링과 그 제조방법에 관계한다.
도 1 은 기질상에서 플라즈마 증진된 공정을 수행하는 유도 연결된 플라즈마 처리시스템을 보여준다.
도 2 는 도 1 의 플라즈마 처리시스템에 설치된 공지 기술의 포커스 링 부위를 상세히 보여준다.
도 3 은 본 발명의 한 구체예에 따라서 척과 포커스 링 상부표면간의 임피던스를 증가시키도록 저 유전상수 인서트를 사용하는 포커스 링 부위를 보여준다.
도 4 는 본 발명의 한 구체예에 따라서 척과 포커스 링 상부표면간의 임피던스를 증가시키도록 갭을 사용하는 포커스 링 부위를 보여준다.
도 5 는 본 발명의 한 구체예에 따라서 척과 포커스 링 상부표면간의 임피던스를 증가시키도록 접지된 전도성 인서트를 사용하는 포커스 링 부위를 보여준다.
도 6 은 본 발명의 한 구체예에 따라서 척과 포커스 링 상부표면간의 임피던스를 증가시키도록 다중 인서트를 사용하는 포커스 링 부위를 보여준다.
* 부호설명
100 플라즈마 처리시스템 102 플라즈마 처리챔버
104 전극 106 고주파 발생기
108 동조 네트워크 110 샤워헤드
112 플라즈마 지역 114 기질
116 척 118 고주파 발생기
120 동조 네트워크 122 배출구
124 포커스 링 130 역선
134 상부표면 202 점선
204 갭 206 하부표면
302 포커스 링 304 상부표면
306 하부표면308 갭 308 갭
310 점선 312 척 중첩부위
314 역선 402,404 갭
502 전도성 인서트 602,604,606 저 유전상수 인서트
본 발명의 한 측면에 따르면 등전위 역선(도 1 의 역선(130))이 포커스 링의 상부 표면으로부터 구부러지도록 포커스 링이 구축되어서 플라즈마에서 나온 이온이 포커스 링(124)에 가하는 에너지를 감소시킨다. 포커스 링의 상부 표면위에 존재하는 등전위 역선의 개수를 감소시킴으로써 포커스 링 상부표면과 플라즈마간의 전위차가 감소되고, 그리하여 포커스 링의 상부 표면에 이온이 가하는 에너지가 감소된다. 감소된 이온 충격으로 포커스 링의 부식이 감소된다.
한 구체예에서 RF 에너지를 받는 척위에 배치된 포커스 링의 적어도 일부는 저 유전상수 재료로 형성되어서 아래에 놓인 척에서 포커스 링을 통해 플라즈마까지 임피던스를 증가시킨다. 임피던스 증가는 척으로부터 포커스 링 상부표면까지 더 큰 전압강하가 이루어져서 포커스 링의 상부표면과 플라즈마간의 전위차를 감소시킴으로써 입사하는 이온의 에너지가 감소된다.
일반적으로 저 유전상수 물질은 공지 기술의 알루미늄 산화물 보다 낮은 유전상수를 갖는 물질이면 된다. 이러한 저 유전상수 물질은 예컨대 석영, 플라스틱(예, 폴리이미드), 질화붕소, 질화알루미늄이다. 한 구체예에서 포커스 링의 저 유전상수 지역은 포커스 링 자체내의 진공 갭에 의해 구현된다. 또다른 구체예에서 전체 포커스 링의 저 유전상수 물질로 형성될 수 있다.
또다른 구체예에서 포커스 링에 접지된 인서트가 포함된다. 접지된 인서트는 척위에 배치되는 포커스 링 부위에 배치된다. 접지된 인서트는 등전위 역선을 인서트 쪽으로 구부려서 등전위 역선을 포커스 링 상부 표면으로부터 멀리 변경시킨다. 앞서 언급된 바와 같이 더 적은 수의 등전위 역선이 포커스 링 표면위에 존재할 때 포커스 링 상부 표면에 입사하는 이온의 에너지가 감소됨으로써 포커스 링의 부식이 감소된다.
도 2 는 공지 기술의 포커스 링(124), 척(116) 및 기질(114) 부위를 상세히 보여준다. 포커스 링(124)은 기질유지 척(116)을 중첩하는 부위(즉, 도 2 에서 점선(202)의 왼쪽에 있는 포커스 링(124)의 부위)를 포함한다. 공지 기술의 알루미늄 산화물 포커스 링(124) 사용시 등전위 역선(130)은 알루미늄 산화물 재료의 고 유전상수로 인하여 척(116)을 중첩하는 고 유전상수로 인하여 척(116)을 중첩하는 포커스 링(124)의 부위에 평행하게 유지된다. 결과적으로 플라즈마 외장에서 나온 이온이 등전위 역선에 수직하게 포커스 링(124)의 상부 표면 족으로 가속되어 표면상에 충돌하고 갭(204)으로 들어가서 포커스 링(124)의 하부표면(206)상에 충돌한다. 상부표면(134) 및 하부표면(206)의 과도한 폭격은 오염 문제를 일으키며 포커스 링(124) 수명을 단축시킨다. 시간이 지나면서 척(116)에 중첩되는 포커스 링 부위는 부식에 의해 제거되어 이온이 직접 척(116)상에 충돌하므로 척 손상을 가져온다. 부식은 기질(114)과 하부표면(116)간의 갭을 증가시켜 플라즈마에서 나온 더 많은 찌꺼기가 척(116)상에 침적되게 한다. 부식은 또한 포커스 링의 외양을 저하시켜서 대체가 필요하다.
도 3 은 본 발명의 한 구체예에 따라서 플라즈마 처리 동안 등전위 역선의 윤곽을 변경시켜 포커스 링의 상부 및 하부 수평면상에 입사하는 플라즈마 외장내 이온의 충격량을 감소시키는 개선된 포커스 링을 보여준다. 도 3에서 기질(114)과 척(116)이 도시된다. 포커스 링(302)은 도 2 의 포커스 링(124)과 형태가 유사한 포커스 링이다. 특수 시스템에서 척(116), 기질(114) 또는 기타의 배열에 따라 포커스 링(302)의 형태가 변화될 수 있다. 따라서 도 3 의 포커스 링(302)은 단지 예시적인 목적을 가진 형태이다.
포커스 링(302)은 플라즈마 처리동안 플라즈마 환경에 노출되는 상부표면(304)을 가진다. 포커스 링(302)은 또한 플라즈마 처리동안 기질(114)과 갭(308)(기질(114)과 포커스 링(302)간의) 아래에 놓이는 하부 표면(306)을 포함한다. 도 3 에 도시된대로 포커스 링(302)의 일부는 척(116)에 중첩된다(점선(310) 좌측에 있는 포커스 링(302)부위) 이러한 척 중첩부위는 기질(114) 상부로부터 관측시 기질(114)을 에워싼다.
본 발명의 한 구체예에 따라서 척(116)과 포커스 링(302)의 상부 표면(304)간에 임피던스가 증가되도록 적어도 척 중첩부위(314)가 구축된다. 앞서 언급된 바와 같이 증가된 임피던스는 척(116)과 상부 표면(304)간에 더 큰 전압강하를 가져옴으로써 포커스 링(302)의 상부 표면(304)과 위에 놓이는 플라즈마 외장간의 전위차를 낮춘다. 척 중첩부위(310)에서 증가된 임피던스는 또한 등전위 역선을 갭(308)에서 척(116)쪽으로, 상부표면(304)으로부터 멀리 하향으로 구부린다.
등전위 역선이 이와 같이 변화될 때 상부 표면(304)위에 더 적은 수의 등전위 역선이 존재하며 플라즈마 지역에서 나온 이온은 상부 표면(304)에 입사하기 전에 더 적은 에너지를 습득한다. 등전위 역선의 구부러짐(도 3에서 등전위 역선(314)으로 도시된)은 갭(308)에 들어온 이온을 기질(114) 변부쪽으로 안내한다(이온은 역선에 수직인 방향으로 등전위 역선을 가로질러 가속되기 때문에). 따라서 하부표면(306)에 더 적은 수의 이온이 충돌하고 입사한 이온은 도 2 의 경우(공지기술)에 비해서 더 적은 에너지를 가진다.
한 구체예에서 척(116)과 포커스 링(302)의 상부 표면(304)간의 임피던스가 공지 기술의 포커스 링(대체로 알루미늄 산화물 Al2O3로 제조된)보다 낮은 유전 상수를 갖는 재료로 포커스 링(302)의 척 중첩부위(312)를 적어도 형성시킴으로써 달성된다. 한 구체예에서 포커스 링(302)은 플라즈마 엣칭 환경을 견딜 수 있는 석영이나 플라스틱 재료로 제조된다. 플라즈마 엣칭 환경에서 포커스 링(302)에 플라스틱 재료의 사용은 공지 기술에서는 입자 오염 문제로 인하여 선호되지 않는다. 상부 표면(304)과 하부표면(306)을 따르는 포커스 링(302)의 감소된 부식으로 입자 오염 문제가 격감되므로 폴리이미드(DupontTMChemical Company 의 Vespel)와 같은 플라스틱 재료가 사용될 수 있다.
전체 포커스 링(302)의 저 유전상수 재료로 제조되거나 포커스 링(302)의 일부, 예컨대 척 중첩부위만 저 유전상수 재료로 제조될 수 있다. 물론 척 중첩 부위(312)만을 저 유전상수 재료로 형성함으로써 척(116)과 상부 표면(304)간의 임피던스가 증가될 수 있다. 예컨대 점선(310) 좌측 부위가 저 유전상수 재료로 형성되어 포커스 링의 부식을 감소시킬 수 있다. 일례로 포커스 링(302)이 전통적인 포커스 링 재료로 형성되고 저 유전상수 재료로 제조된 인서트가 척 중첩부위(312)의 적어도 일부 내에 제공될 수 있다(수평, 수직 또는 일정각도로). 포커스 링 재료는 전통적인 것이고 인서트가 그 속에 캡슐화 될 경우에 어떠한 새로운 물질로 챔버내에 도입되지 않는다. 개선된 포커스 링 사용에 앞서 과도한 테스트는 불필요하다.
한 구체예에서 포커스 링(302)의 척 중첩부위(312)에 하나 이상의 저 유전상수 지역, 예컨대 갭을 제공함으로써 하부 척(116)과 상부 표면(304)간에 임피던스가 생성된다. 도 4 는 두 개의 갭(402,404)이 척 중첩부위(312)내에 형성된 예를 보여준다. 도 4 는 척 중첩부위(312)내에 있는 진공 갭(402,404)을 보여주지만 이러한 갭은 점선(312)을 넘어서 포커스 링(302)으로 연장될 수 있다. 게다가 진공 갭은 수평으로 또는 하부표면과 일정 각도로 배치될 수 있으며 많은 수의 갭이 제공될 수 있다.
일반적으로 진공 갭은 임의의 크기를 가진다. 그러나 플라즈마 작동 중 플라즈마가 갭내에서 발화할 수 없도록 진공 갭의 칫수가 정해진다. 물론 진공 갭의 정확한 크기는 플라즈마 처리동안 플라즈마 처리챔버 내 압력이나 척(116)으로 고주파(RF) 전력 공급량에 따라 달라진다. 예컨대 플라즈마 작동동안 진공 갭 내에서 플라즈마 발화가 일어나지 않도록 진공 갭의 크기를 결정하기 위해서 Paschen 법칙이 사용될 수 있다. 또한 갭의 개수 및 크기는 포커스 링의 구조적, 기계적 완전성을 고려하여 결정되어야 한다.
또다른 구체예에서 플라즈마 작동동안 등전위 역선의 모양을 변화시켜 포커스 링 부식을 감소시키기 위해서 포커스 링에 전도성 인서트(즉, 금속판 또는 링)가 제공된다. 도 5 에서 전도성 인서트(502)가 포커스 링(302)의 척 중첩부위(312)내에 배치된다. 도 5 의 구체예에서 전도성 인서트(502)는 포커스 링(302)의 척 중첩부위(312)내에 포함되는 금속링 또는 판이지만 임의의 적당한 형태를 가질 수 있다. 게다가, 전도성 인서트(502)는 점선(310)을 넘어서 포커스 링(302)으로 연장될 수 있으며 평행하거나 하부 표면(306)에 대해 적당한 각도로 배치될 수 있다. 전도성 인서트(502)는 전도성 폴리실리콘이나 다른 적당한 전도성 물질로 형성된다. 전도성 인서트(502)는 RF 차단 카패시터 (도 5에서 504로 도시된)를 통해 접지된다.
전도성 인서트(502)의 존재는 등전위 역선을 포커스 링(302)이 상부 표면으로부터 멀리 구부림으로써 상부 표면(304)위에 존재하는 등전위 역선의 개수를 감소시키며 동시에 포커스 링(302)이 상부표면(304) 및 하부표면(306)상에 충돌하는 이온의 충격량을 감소시킨다. 전도성 인서트는 포커스 링내에 포함될 수 있으므로 포커스 링 자체는 임의의 재료로 형성될 수 있으며 공정 환경과 양립할 수 있는 재료가 주로 선택된다. 따라서 시스템 설계자는 포커스 링 구축용 재료 선택을 더 자유롭게 할 수 있으면서 포커스 링의 부식을 감소시킬 수 있다. 또한 포커스 링의 다른 영역에 이온 충격량을 증가시킴으로써 폴리머 침적량을 감소시키도록 전도성 인서트가 설계 될 수 있다.
도 6 은 포커스 링(302)의 상부 표면(304)과 척(116)간의 임피던스를 증가시키기 위해서 척 중첩부위(312)의 적어도 일부에 다중 저 유전상수층이 제공된 구체예를 보여준다. 도 6 에서 3개의 예시적인 저 유전상수 인서트(602,604,606)가 도시된다. 도 6 의 다중 인서트에서, 다중 수평, 수직 또는 일정 각도의 저 유전상수 인서트는 척(116)과 상부 표면(304)간 임피던스를 증가시켜서 포커스 링 부식을 감소시킨다.
필요할 경우에 인서트(602,604,606) 중 하나가 생략되거나 더 많은 수의 인서트가 사용될 수 있다. 예컨대 수직 인서트(602)가 생략되거나 수직 인서트(602,604)가 생략되어서 저 유전상수 인서트(606)가 포커스 링 재료내에 완전히 캡슐화될 수 있다. 이와 같이 캡슐화될 때 저 유전상수 재료는 공정 환경으로부터 보호되므로 저 유전상수 인서트용 재료의 선택 범위가 넓어진다. 포커스 링 내부에 저 유전상수 재료를 캡슐화 시키면 디자이너가 부식 감소 이외의 목적으로 포커스 링 재료를 선택할 수 있다(즉, 디자이너는 공정 양립성을 목적으로 포커스 링(302)의 나머지를 공지 기술의 알루미늄 산화물로 형성할 수 있다).
본 발명은 챔버 디자인을 변화시키지 않고도 (척(116)과 기질(114)의 모양이나 상대적 위치 변화와 같은) 포커스 링 부식을 감소시킨다. 포커스 링의 상부 및 하부 표면상에 충돌하는 이온의 충격량이 감소되도록 등전위 역선을 구부림으로써 포커스 링의 부식이 감소되고 플라즈마 처리챔버내에 입자오염량을 감소시키고 포커스 링의 수명을 증가시킨다.
입자 발생 수준을 감소시키면 청소시간 사이의 평균시간(MTBC)이 증가되어서 플라즈마 처리시스템의 소유자가 비용을 절감할 수 있다. 게다가 포커스 링 부식 조절 이외의 목적으로(주로 공정 양립성을 목적으로) 재료가 선택된 포커스 링내에 저유전 재료, 저유전 지역 또는 전도성 인서트가 완전히 캡슐화 될 경우에도 부식을 조절할 수 있다.
필요한 정도의 포커스 링 부식 감소를 위해서 접지된 전도성 인서트 또는 저 유전상수 부위(갭이나 저 유전상수 인서트와 같은 저 유전상수 지역)의 조합으로 포커스 링이 구현될 수 있다.

Claims (14)

  1. 플라즈마 작동 동안 전극으로서 작동하도록 고주파(RF) 전력을 받는 기질 유지 척의 적어도 일부를 중첩하도록 구성된 플라즈마 처리챔버에서 사용하는 포커스 링으로서, 플라즈마 작동동안 플라즈마 처리챔버내에서 플라즈마 지역에 노출되는 상부 표면과 상기 기질 유지 척 부위를 중첩하며 포커스 링의 나머지 부위보다 낮은 유전상수를 갖는 제 1 재료로 형성된 척 중첩부위를 포함하는 포커스 링.
  2. 제 1 항에 있어서, 상기 척 중첩부위가 제 1 재료로 형성된 복수의 인서트를 포함함을 특징으로 하는 포커스 링.
  3. 제 1 항에 있어서, 상기 척 중첩부위가 제 1 재료로 형성되며 상기 상부 표면에 평행하게 배치된 인서트를 포함함을 특징으로 하는 포커스 링.
  4. 제 3 항에 있어서, 상기 인서트가 상기 플라즈마 처리챔버에서 플라즈마에 노출되지 않도록 포커스 링내에 캡슐화됨을 특징으로 하는 포커스 링.
  5. 제 1 항에 있어서, 상기 제 1 재료가 챔버 진공이며 상기 척 중첩부위가 기질유지척의 적어도 일부를 중첩하는 진공갭을 포함하고 상기 진공 갭은 플라즈마 작동 동안 진공 갭내에서 플라즈마가 발화하지 않도록 플라즈마 작동동안 플라즈마 처리챔버의 작동 압력 및 RF 전력 설정에 반응하는 크기를 가짐을 특징으로 하는 포커스 링.
  6. 플라즈마 작동동안 전극으로서 작동하도록 고주파(RF) 전력을 받는 기질유지척의 적어도 일부를 중첩하도록 구성된 플라즈마 처리챔버에서 사용하는 포커스 링으로서, 플라즈마 작동동안 플라즈마 처리챔버내에서 플라즈마 지역에 노출되는 상부표면과 상기 기질유지척 부위를 중첩하며 포커스 링이 플라즈마 처리챔버에 설치될 때 기질유지척의 적어도 일부를 중첩하는 전도성 인서트를 포함한 척 중첩부위를 포함하는 포커스 링.
  7. 제 6 항에 있어서, 상기 금속인서트가 접지됨을 특징으로 하는 포커스 링.
  8. 제 7 항에 있어서, 상기 척 중첩부위의 적어도 일부가 포커스 링의 나머지 부위보다 낮은 유전상수를 갖는 제 1 재료로 형성되며 상기 척 중첩부위의 적어도 일부가 상기 금속 인서트와 상기 포커스 링의 나머지 부위와 상이함을 특징으로 하는 포커스 링.
  9. 제 8 항에 있어서, 상기 제 1 재료가 챔버 진공이며 상기 척 중첩부위가 기질유지척의 적어도 일부를 중첩하는 진공갭을 포함하고 상기 진공 갭은 플라즈마 작동 동안 진공 갭내에서 플라즈마가 발화하지 않도록 플라즈마 작동동안 플라즈마 처리챔버의 작동 압력 및 RF 전력 설정에 반응하는 크기를 가짐을 특징으로 하는 포커스 링.
  10. 플라즈마 처리챔버에서 사용하도록 구성되며 플라즈마 작동동안 플라즈마 처리챔버내에서 플라즈마에 노출되도록 구성된 상부표면을 가지며 플라즈마 작동동안 고주파(RF) 전력을 받아 전극으로서 작용하는 기질유지척의 적어도 일부를 중첩하도록 구성된 포커스 링의 부식 감소 방법으로서, 기질유지척의 부위를 중첩하는 상기 포커스 링의 척 중첩부위에 포커스 링의 다른 부위보다 낮은 유전상수를 갖는 저 유전상수 부위를 형성하는 단계를 포함하는 포커스 링 부식 감소 방법.
  11. 제 10 항에 있어서, 상기 저 유전상수 부위가 상기 상부 표면에 평행하게 배치된 인서트임을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 플라즈마 작동동안 인서트가 플라즈마 처리챔버에서 플라즈마에 노출되지 않도록 인서트가 포커스 링내에 캡슐화됨을 특징으로 하는 방법.
  13. 제 10 항에 있어서, 상기 저 유전상수 부위가 상기 기질유지척의 적어도 일부 위에 놓이는 갭이며, 상기 진공갭이 플라즈마 작동동안 플라즈마 처리챔버의 작동압력 및 RF 전력 설치에 반응하여 플라즈마가 진공갭에서 발화하지 않도록 하는 크기를 가짐을 특징으로 하는 방법.
  14. 플라즈마 처리챔버에서 사용하도록 구성되며 플라즈마 작동동안 플라즈마 처리챔버내에서 플라즈마에 노출되도록 구성된 상부표면을 가지며 플라즈마 작동동안 고주파(RF) 전력을 받아 전극으로서 작용하는 기질유지척의 적어도 일부를 중첩하도록 구성된 포커스 링의 부식 감소 방법으로서, 기질유지척의 부위를 중첩하며 포커스 링의 다른 부위보다 낮은 유전상수를 갖는 척 중첩부위를 가지며 플라즈마 작동동안 플라즈마 처리챔버내에서 플라즈마 지역에 노출되는 상부 표면을 갖는 포커스 링을 제공하며;
    상기 포커스 링은 플라즈마 처리챔버내부에 설치하는 단계를 포함하는 포커스 링 부식 감소방법.
KR1020007006568A 1997-12-19 1998-12-11 플라즈마 처리 챔버 포커스 링 KR100635693B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/993,791 1997-12-19
US8/993,791 1997-12-19
US08/993,791 US6039836A (en) 1997-12-19 1997-12-19 Focus rings
PCT/US1998/026412 WO1999033087A1 (en) 1997-12-19 1998-12-11 Focus rings and methods therefor

Publications (2)

Publication Number Publication Date
KR20010033185A true KR20010033185A (ko) 2001-04-25
KR100635693B1 KR100635693B1 (ko) 2006-10-17

Family

ID=25539940

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007006568A KR100635693B1 (ko) 1997-12-19 1998-12-11 플라즈마 처리 챔버 포커스 링

Country Status (8)

Country Link
US (1) US6039836A (ko)
EP (1) EP1042783B1 (ko)
JP (1) JP4548560B2 (ko)
KR (1) KR100635693B1 (ko)
AT (1) ATE273562T1 (ko)
DE (1) DE69825630T2 (ko)
IL (1) IL136874A (ko)
WO (1) WO1999033087A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100461779B1 (ko) * 2001-06-11 2004-12-14 엔이씨 일렉트로닉스 가부시키가이샤 반도체장치 제조방법 및 반도체제조장치
KR100694796B1 (ko) * 2005-09-26 2007-03-14 세메스 주식회사 평면표시패널 처리챔버의 기액 분리장치
KR101007833B1 (ko) * 2006-03-07 2011-01-13 어플라이드 머티어리얼스, 인코포레이티드 노치가 형성된 증착 링
US11587770B2 (en) 2019-06-27 2023-02-21 Semes Co., Ltd. Apparatus and method for treating substrate
WO2024015519A1 (en) * 2022-07-14 2024-01-18 Applied Materials, Inc. Purge ring for reduced substrate backside deposition

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP3393118B2 (ja) * 2000-12-21 2003-04-07 株式会社半導体先端テクノロジーズ プラズマエッチング装置および半導体装置の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
TWI246873B (en) * 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
TW554465B (en) * 2002-08-27 2003-09-21 Winbond Electronics Corp Apparatus for supporting wafer in semiconductor process
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US6944006B2 (en) * 2003-04-03 2005-09-13 Applied Materials, Inc. Guard for electrostatic chuck
DE10319894A1 (de) * 2003-04-28 2004-11-25 Infineon Technologies Ag Dielektrischer Fokusring
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
KR100809957B1 (ko) * 2006-09-20 2008-03-07 삼성전자주식회사 반도체 식각장치
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP4659771B2 (ja) * 2007-02-13 2011-03-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP5743895B2 (ja) * 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
SG10201407637TA (en) * 2009-11-30 2015-01-29 Lam Res Corp An electrostatic chuck with an angled sidewall
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
JP6069654B2 (ja) * 2013-03-29 2017-02-01 Sppテクノロジーズ株式会社 被処理基板のプラズマ処理用載置台及びこれを用いたプラズマ処理装置
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN105990085B (zh) * 2015-03-03 2018-03-30 中微半导体设备(上海)有限公司 等离子体刻蚀设备、聚焦环及其制作方法
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101722382B1 (ko) * 2016-01-08 2017-04-03 주식회사 윈텔 플라즈마 처리 장치
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
USD797691S1 (en) 2016-04-14 2017-09-19 Applied Materials, Inc. Composite edge ring
US20180190501A1 (en) * 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
JP6969182B2 (ja) * 2017-07-06 2021-11-24 東京エレクトロン株式会社 プラズマ処理装置
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
KR102111504B1 (ko) * 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US20210249232A1 (en) * 2020-02-10 2021-08-12 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for etching
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59132623A (ja) * 1983-01-20 1984-07-30 Ulvac Corp ドライエツチング用電極
JP2675613B2 (ja) * 1989-04-11 1997-11-12 東京エレクトロン株式会社 プラズマ処理装置
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP3535309B2 (ja) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 減圧処理装置
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JP3424903B2 (ja) * 1997-01-23 2003-07-07 東京エレクトロン株式会社 プラズマ処理装置
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100461779B1 (ko) * 2001-06-11 2004-12-14 엔이씨 일렉트로닉스 가부시키가이샤 반도체장치 제조방법 및 반도체제조장치
KR100694796B1 (ko) * 2005-09-26 2007-03-14 세메스 주식회사 평면표시패널 처리챔버의 기액 분리장치
KR101007833B1 (ko) * 2006-03-07 2011-01-13 어플라이드 머티어리얼스, 인코포레이티드 노치가 형성된 증착 링
US11587770B2 (en) 2019-06-27 2023-02-21 Semes Co., Ltd. Apparatus and method for treating substrate
WO2024015519A1 (en) * 2022-07-14 2024-01-18 Applied Materials, Inc. Purge ring for reduced substrate backside deposition

Also Published As

Publication number Publication date
JP4548560B2 (ja) 2010-09-22
DE69825630T2 (de) 2005-09-15
JP2001527285A (ja) 2001-12-25
EP1042783B1 (en) 2004-08-11
IL136874A (en) 2003-07-31
DE69825630D1 (de) 2004-09-16
ATE273562T1 (de) 2004-08-15
KR100635693B1 (ko) 2006-10-17
WO1999033087A1 (en) 1999-07-01
EP1042783A1 (en) 2000-10-11
US6039836A (en) 2000-03-21
IL136874A0 (en) 2001-06-14

Similar Documents

Publication Publication Date Title
KR100635693B1 (ko) 플라즈마 처리 챔버 포커스 링
US6344105B1 (en) Techniques for improving etch rate uniformity
US7572737B1 (en) Apparatus and methods for adjusting an edge ring potential substrate processing
EP1446825B1 (en) Apparatus and method for improving etch rate uniformity
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
US5607542A (en) Inductively enhanced reactive ion etching
JP5219479B2 (ja) 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
KR100535961B1 (ko) 플라즈마에 의해 유도되는 차징 결함을 감소시키는 방법
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
KR20030074602A (ko) 플라즈마를 한정시키기 위한 챔버 구조
EP1097253B1 (en) Ion energy attenuation
US20040112544A1 (en) Magnetic mirror for preventing wafer edge damage during dry etching
US7109122B2 (en) Method and apparatus for reducing substrate charging damage
KR100455350B1 (ko) 유도 결합형 플라즈마 발생 장치 및 방법
JPH1140398A (ja) プラズマ生成装置
Pu Plasma Etch Equipment
US7381293B2 (en) Convex insert ring for etch chamber
JPH0794480A (ja) プラズマ処理方法及びプラズマ処理装置
KR20020011761A (ko) 플라즈마를 이용한 반도체 제조장치
JPH11241189A (ja) 誘導結合放電エッチング装置
KR20010083645A (ko) 플라즈마를 사용하는 반도체 제조용 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120925

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140924

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150924

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160927

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170928

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180928

Year of fee payment: 13

EXPY Expiration of term