JP5223804B2 - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置 Download PDF

Info

Publication number
JP5223804B2
JP5223804B2 JP2009171557A JP2009171557A JP5223804B2 JP 5223804 B2 JP5223804 B2 JP 5223804B2 JP 2009171557 A JP2009171557 A JP 2009171557A JP 2009171557 A JP2009171557 A JP 2009171557A JP 5223804 B2 JP5223804 B2 JP 5223804B2
Authority
JP
Japan
Prior art keywords
valve
gas
film forming
film
forming method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009171557A
Other languages
English (en)
Other versions
JP2011029284A (ja
Inventor
俊之 池内
雅之 長谷川
敏彦 高橋
鈴木  啓介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009171557A priority Critical patent/JP5223804B2/ja
Priority to TW099122857A priority patent/TWI516631B/zh
Priority to US12/838,911 priority patent/US8461059B2/en
Priority to KR1020100069986A priority patent/KR101312461B1/ko
Priority to CN201010236459.3A priority patent/CN101962756B/zh
Publication of JP2011029284A publication Critical patent/JP2011029284A/ja
Application granted granted Critical
Publication of JP5223804B2 publication Critical patent/JP5223804B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2

Description

本発明は、半導体ウエハ等の被処理体の表面にシリコン酸化膜等を堆積させる成膜方法及び成膜装置に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理等の各種の処理が行われる。上記各種の処理の中で、例えば成膜処理を例にとれば、この種の成膜処理は、例えば特許文献1のような枚葉式の成膜装置や特許文献2に開示されているような例えばバッチ式の成膜装置内で行われる。具体的には、図5に示すように、縦型の処理容器2内に、被処理体である半導体ウエハWをウエハボート4に多段に支持させた状態でこれを収容し、上記処理容器2を囲むようにして設けた加熱手段6でウエハWを所定の温度、例えば600℃程度に加熱する。
そして、例えばシリコン酸化膜を形成する場合には、ガス供給手段8より原料ガスとして例えばシリコン原料ガスを流し、反応ガスとして例えばオゾンを流し、これらのガスを処理容器2の高さ方向に沿って設けた分散ノズル8、10の多数のガス噴射孔8A、10Aより処理容器2内へ供給しつつ処理容器2の下部に設けた排気口12より真空ポンプ16を有する真空排気系14で処理容器2内を真空引きし、所定の圧力に内部雰囲気を維持してシリコン酸化膜の成膜処理を行う。
この場合、形成される膜質が比較的良好で、且つ熱CVD処理と比較して低温でも成膜することができることから、上記ガスを交互に且つ間欠的に繰り返し供給して、酸化膜を原子層レベル、或いは分子層レベルの厚さで積層成長させるようにした成膜方法、すなわちALD(Atomic Layered Deposition)法が多く採用されている。具体的には、Si含有の原料ガスを供給する開閉弁8Bと、酸化性ガスであるオゾンを供給する開閉弁10Bとを交互に開閉して両ガスを供給し、真空排気系14ではこれに設けた弁開度調整が可能な開閉弁14Bを調整し、これにより処理容器2内の圧力調整をしつつ、シリコン酸化膜の成膜を行うようになっている。
この時の上記各開閉弁の動作の一例は、図6に示すように行われている。図6は従来のALD法による成膜方法における各開閉弁と処理容器内の圧力との関係を示すグラフである。図6(A)は原料ガスの開閉弁8Bの開閉動作を示し、図6(B)は反応ガスの開閉弁10Bの開閉動作を示し、図6(C)は真空排気系の開閉弁14Bの開閉動作(弁開度)を示し、図6(D)は処理容器内の圧力変化の一例を示している。
すなわち、ここでは図6(A)に示すように、原料ガスの開閉弁8Bを開いてSi含有の原料ガスを供給してこのガスをウエハWの表面に吸着させる吸着工程と、原料ガスの供給を停止して処理容器2内の雰囲気を排気する排気工程と、図6(B)に示すように反応ガスの開閉弁10Bを開いて反応ガスであるオゾンを供給してウエハ表面上に吸着している原料ガスと反応させて薄い例えば原子レベルの膜厚のSiO 膜を形成する反応工程と、反応ガスの供給を停止して処理容器2内の雰囲気を排気する排気工程とを、この順序で複数回繰り返して薄膜を積層するようになっている。
ここで1つの吸着工程から次の吸着工程までの間が1サイクルとなり、1サイクルで上述したように例えば分子レベルの厚さの薄膜が一層形成される。上記1サイクル中の吸着工程及び反応工程の時間はそれぞれ60sec程度、排気工程の時間は10sec程度である。
特開平09−077593号公報 特開2004−006801号公報
ところで、上述した従来の成膜方法では、吸着工程においてSi含有の原料ガスを供給する時には、ウエハ表面に原料ガスの流れを形成した方が多量の原料ガスがウエハ表面に付着するであろうと予測されていたので、図6(C)に示すように、真空排気系14の開閉弁14Bをある程度開いて、例えば弁開度を30%程度に設定して、排気をある程度行ってウエハ表面上における原料ガスの流れを形成している。この場合の原料ガスの供給量は10〜500sccm程度なので、図5(D)に示すように処理容器2内の圧力は、少しずつ直線的に上昇している。
また、反応工程では、上記吸着工程の時よりは弁開度を少し大きくして、例えば弁開度を60%程度に設定して効率的に反応が生ずるようにしている。この場合、オゾンの流量は20slm程度なので原料ガスの流量と比較して非常に多く、そのため、処理容器2内の圧力は最初はオゾンの突入により圧力は一気に上昇して暫く飽和した後に、少しずつ低下している。また、排気工程では処理容器2内の残留ガスを早く排除する必要のために真空排気系の開閉弁14Bの弁開度を100%に設定して強力的に真空引きしている。尚、真空ポンプ16は、常時連続的に駆動している。
しかしながら、上述した従来の成膜方法では、吸着工程においてSi含有の原料ガスの流れを形成する必要があると考えられていたために、真空排気系の開閉弁14Bをある程度開いて吸着工程の間は連続的にSi含有の原料ガスを流していたことから、原料ガスが多量に消費されてしまい、特に原料が高価な場合にはランニングコストが大幅に増加してしまう、といった問題があった。
また反応工程においては、最初にオゾンのガス突入によってポイントP1に示すように処理容器2内の圧力がオゾンにとって過度に上昇してしまってオゾンの活性が失われて失活し易くなってしまう。そのため、ウエハWの周辺部では成膜は十分に生ずるが、ウエハの中心部にはオゾンが十分に届かずにウエハ中心部での成膜が不十分になり、その結果、膜厚の面内均一性が低下してしまう、といった問題があった。特に、ウエハ表面にトレンチ構造を有する場合には、オゾンの消費が激しくなってウエハ中心部では膜厚が大幅に薄くなる現象、すなわちローディング効果が顕著に発生する、という問題があった。この場合、反応工程における処理容器2内の圧力をもっと低くすることも考えられるが、圧力が低いと、成膜レートが大幅に小さくなってスループットが低下するので好ましくない。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明は、膜質を高く維持し、且つスループットも低下させることなく原料ガスの消費量を大幅に抑制することが可能な成膜方法及び成膜装置を提供する。
請求項1に係る発明は、複数の被処理体を収容することができる処理容器と、開閉弁を有して前記処理容器内へ原料ガスを供給することができる原料ガス供給系と、開閉弁を有して前記処理容器内へ反応ガスを供給することができる反応ガス供給系と、弁開度が調整可能になされた開閉弁を有して前記処理容器内の雰囲気を真空引きすることができる真空排気系とを備えた成膜装置を用いて前記被処理体に薄膜を形成する成膜方法において、前記真空排気系の前記開閉弁を閉じた状態で前記原料ガス供給系の前記開閉弁を最初の所定の期間は開状態した後に直ちに閉状態にして前記処理容器内へ前記原料ガスを一時的に供給して前記被処理体に吸着させる吸着工程と、前記反応ガス供給系の前記開閉弁を開状態にして前記反応ガスを前記処理容器内へ供給しつつ前記真空排気系の前記開閉弁を最初は開状態としてその後は弁開度を徐々に小さくするようにして前記反応ガスを前記原料ガスと反応させて薄膜を形成する反応工程とを、 間に間欠期間を挟んで交互に複数回繰り返すようにしたことを特徴とする成膜方法である。
このように、複数の被処理体を収容することができる処理容器を有する成膜装置を用いて被処理体に薄膜を形成する手法において、真空排気系の開閉弁を閉じた状態で原料ガス供給系の開閉弁を最初の所定の期間は開状態した後に直ちに閉状態にして処理容器内へ原料ガスを一時的に供給して被処理体に吸着させる吸着工程と、反応ガス供給系の開閉弁を開状態にして反応ガスを処理容器内へ供給しつつ真空排気系の開閉弁を最初は開状態としてその後は弁開度を徐々に小さくするようにして反応ガスを原料ガスと反応させて薄膜を形成する反応工程とを、間に間欠期間を挟んで交互に複数回繰り返すようにしたので、膜質を高く維持し、且つスループットも低下させることなく原料ガスの消費量を大幅に抑制することが可能となる。
請求項10に係る発明は、複数の被処理体を収容することができる処理容器と、前記複数の被処理体を保持して前記処理容器内へ挿脱される保持手段と、前記被処理体を加熱する加熱手段と、開閉弁を有して前記処理容器内へ原料ガスを供給することができる原料ガス供給系と、開閉弁を有して前記処理容器内へ反応ガスを供給することができる反応ガス供給系と、弁開度が調整可能になされた開閉弁を有して前記処理容器内の雰囲気を真空引きすることができる真空排気系と、請求項1乃至9のいずれか一項に記載の成膜方法を実行するように装置全体を制御する装置制御部と、を備えたことを特徴とする成膜装置である。
本発明に係る成膜方法及び成膜装置によれば、次のように優れた作用効果を発揮することができる。
複数の被処理体を収容することができる処理容器を有する成膜装置を用いて被処理体に薄膜を形成する手法において、真空排気系の開閉弁を閉じた状態で原料ガス供給系の開閉弁を最初の所定の期間は開状態した後に直ちに閉状態にして処理容器内へ原料ガスを一時的に供給して被処理体に吸着させる吸着工程と、反応ガス供給系の開閉弁を開状態にして反応ガスを処理容器内へ供給しつつ真空排気系の開閉弁を最初は開状態としてその後は弁開度を徐々に小さくするようにして反応ガスを原料ガスと反応させて薄膜を形成する反応工程とを、間に間欠期間を挟んで交互に複数回繰り返すようにしたので、膜質を高く維持し、且つスループットも低下させることなく原料ガスの消費量を大幅に抑制することが可能となる。
本発明に係る成膜装置の一例を示す構成図である。 本発明の成膜方法を行う時の各開閉弁と処理容器内の圧力との関係を示すグラフである。 真空排気系の開閉弁の弁開度の変化の変形例に示す図である。 本発明方法の評価結果を示す図である。 従来のバッチ式の成膜装置の一例を示す概略構成図である。 従来のALD法による成膜方法における各開閉弁と処理容器内の圧力との関係を示すグラフである。
以下に、本発明に係る成膜方法及び成膜装置の一実施例を添付図面に基づいて詳述する。図1は本発明に係る成膜装置の一例を示す構成図、図2は本発明の成膜方法を行う時の各開閉弁と処理容器内の圧力との関係を示すグラフである。
ここでは、原料ガスとしてSi含有の有機原料である3DMAS(tris−Dimetil−Amino−Silane)を用い、反応ガスとして酸化性ガスであるオゾンを用い、薄膜としてシリコン酸化膜(SiO )を成膜する場合を例にとって説明する。
図1に示すように、この成膜装置20は、被処理体である半導体ウエハWを複数枚収容することができる処理容器22を有している。この処理容器22は有天井の円筒体状に成形された縦長の内筒24と、この内筒24の外側を所定の間隔を隔てて覆うようにして形成された有天井の円筒体状に成形された縦長の外筒26とにより主に構成されており、二重管構造になっている。
これらの内筒24と外筒26とは共に石英により形成されると共に、下端部は開口されている。ここでこの処理容器22の直径は、例えば直径が300mmのウエハWを収容する場合には、400〜500mm程度に設定されており、この処理容器22の内部容量は収容できるウエハWの枚数に依存し、例えば最大150枚程度のウエハWを収容する場合には、200リットル程度に設定されている。
上記外筒26の下端部は、円筒体状に成形された例えばステンレススチール製のマニホールド28がOリング等のシール部材30を介して気密に接続されており、このマニホールド28により上記外筒26の下端部が支持されている。尚、このマニホールド28は、図示しないベースプレートによって支持されている。また上記マニホールド28の内壁には、リング状の支持台32が設けられており、この支持台32上に上記内筒24の下端部を載置して支持するようになっている。そして、この処理容器22の内筒24内には、保持手段としてのウエハボート34が収容されている。
具体的には、この処理容器22の下方より複数枚の被処理体としての半導体ウエハWを複数段に亘って所定のピッチで載置した保持手段としてのウエハボート34が昇降可能に挿脱自在になされて、上記内筒24内へ収容できるようになっている。このウエハボート34は例えば石英よりなり、これには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
またウエハボート34の挿入時には、上記処理容器22の下端であるマニホールド28の開口部は、例えば石英やステンレス板よりなる蓋部36により塞がれて密閉される。この際、処理容器22の下端部と蓋部36との間には、気密性を維持するために例えばOリング等のシール部材38が介在される。このウエハボート34は、石英製の保温筒40を介してテーブル42上に載置されており、このテーブル42は、処理容器22の下端開口部を開閉する蓋部36を貫通する回転軸44の上端部に支持される。そして、この回転軸44の貫通部には、例えば磁性流体シール46が介設され、この回転軸44を気密にシールしつつ回転可能に支持している。上記した回転軸44は、例えばボートエレベータ等の昇降機構48に支持されたアーム50の先端に取り付けられており、ウエハボート34及び蓋部36等を一体的に昇降できるようになされている。尚、上記テーブル42を上記蓋部36側へ固定して設け、ウエハボート34を回転させることなくウエハWの処理を行うようにしてもよい。
上記処理容器22の側部には、これを取り囲むようにしてた例えばカーボンワイヤ製のヒータよりなる加熱手段52が設けられており、この内側に位置する処理容器22及びこの中の上記半導体ウエハWを加熱し得るようになっている。そして、上記マニホールド28に原料ガスを供給する原料ガス供給系54と、反応ガスを供給する反応ガス供給系56と、パージガスとして不活性ガスを供給するパージガス供給系58とが設けられる。
具体的には、上記原料ガス供給系54は、上記マニホールド28を内側へ気密に貫通するようにして取り付けられた石英よりなるL字状に屈曲されたガスノズル60を有している。このガスノズル60は、上記内筒24内を高さ方向の全域に亘って延びており、所定のピッチで多数のガス噴射孔60Aが形成されて、原料ガスをウエハボート34に支持された各ウエハWに対して横方向から供給できるようになっている。上記ガスノズル60には、ガス通路62が接続されている。そして、このガス通路62には、マスフローコントローラのような流量制御器62A及びガスの流通と遮断を行う開閉弁62Bが順次介設されており、必要に応じて流量制御しつつ原料ガスの供給と供給の停止(遮断)を行うようになっている。ここで原料ガスとしては、上述したようにSi含有の3DMASが用いられる。
また、上記反応ガス供給系56は、上記マニホールド28を内側へ気密に貫通するようにして取り付けられた石英よりなるL字状に屈曲されたガスノズル64を有している。このガスノズル64は、上記内筒24内を高さ方向の全域に亘って延びており、所定のピッチで多数のガス噴射孔64Aが形成されて、反応ガスをウエハボート34に支持された各ウエハWに対して横方向から供給できるようになっている。上記ガスノズル64には、ガス通路66が接続されている。そして、このガス通路66には、マスフローコントローラのような流量制御器66A及びガスの流通と遮断を行う開閉弁66Bが順次介設されており、必要に応じて流量制御しつつ反応ガスの供給と供給の停止(遮断)を行うようになっている。ここで反応ガスとしては、上述したようにオゾン(O )が用いられる。
更に、上記パージガス供給系58は、上記マニホールド28を内側へ気密に貫通するようにして取り付けられた石英よりなるL字状に屈曲されたガスノズル68を有している。このガスノズル68は、上記内筒24内を高さ方向の全域に亘って延びており、所定のピッチで多数のガス噴射孔68Aが形成されて、パージガスをウエハボート34に支持された各ウエハWに対して横方向から供給できるようになっている。上記ガスノズル68には、ガス通路70が接続されている。そして、このガス通路70には、マスフローコントローラのような流量制御器70A及びガスの流通と遮断を行う開閉弁70Bが順次介設されており、必要に応じて流量制御しつつパージガスの供給と供給の停止(遮断)を行うようになっている。ここでパージガスとしては、上述したように窒素ガスが用いられる。このパージガスとしては、この窒素ガスに替えてAr、He等の希ガスを用いてもよい。
そして、上記各ガスノズル60、64、68は、内筒24内の一側に集合させて設けられており(図示例ではスペースの関係よりガスノズル68を他のガスノズル60、64に対して反対側に記載している)、この各ガスノズル60、64、68に対して対向する内筒24の側壁に直径の大きな複数のガス流通孔72が上下方向に沿って配列させて形成されており、ウエハ間を通って水平方向に流れてきた各ガスを上記ガス流通孔72を介して内筒24と外筒26との間の間隙74に案内するようになっている。
そして、上記マスフローコントローラ28の上部側には、上記内筒24と外筒26との間の間隙74に連通される排気口76が形成されており、この排気口76には処理容器22内の雰囲気を真空引きする真空排気系78が設けられている。具体的には、この真空排気系78は、上記排気口76に接続される排気通路80を有しており、この排気通路80の途中には、弁開度が調整可能になされてその弁開度を変化させることによって圧力調整をすることが可能な開閉弁80B及び真空ポンプ82が順次介設されており、上述したように処理容器22内の雰囲気を圧力調整しつつ真空引きできるようになっている。上記排気通路80に介設した開閉弁80Bは、上述したようにその弁開度が任意の値に調整可能になされると共に、全開及び全閉も行うことができ、排気を完全に遮断できるようになっている。
そして、この装置全体の動作を制御するために例えばコンピュータよりなる装置制御部84を有しており、各ガスの供給の開始、供給の停止、真空排気系78の開閉弁80Bの弁開度調整を含む開閉動作、プロセス圧力、プロセス温度等をコントロールできるようになっている。そして、この装置制御部84は、この装置全体の動作を制御するためのコンピュータプログラムを記憶する記憶媒体86を有している。この記憶媒体86としては、フレキシブルディスク、CD(Compact Disc)、ハードディスク、フラッシュメモリ或いはDVD等を用いることができる。
次に、以上のように構成された成膜装置20を用いて行なわれる成膜方法について説明する。上述したように、以下に説明する動作は、上記記憶媒体86に記憶されたプログラムに基づいて行われる。まず、例えばシリコンウエハよりなる半導体ウエハWがアンロード状態で成膜装置20が待機状態の時には、処理容器22はプロセス温度より低い温度に維持されており、常温の多数枚、例えば50枚のウエハWが載置された状態のウエハボート34をホットウォール状態になされた処理容器22内にその下方より上昇させてロードし、蓋部36で処理容器22の下端開口部を閉じることにより処理容器22内を密閉する。
そして、真空排気系78の真空ポンプ82は連続的に駆動されており、処理容器22内を真空引きして所定のプロセス圧力にすると共に、加熱手段52への供給電力を増大させることにより、ウエハ温度を上昇させて成膜処理用のプロセス温度まで昇温して安定させ、その後、成膜処理を行なうに必要とされる所定の処理ガスを以下に示すように流量制御しつつ供給すると共に、真空排気系78の開閉弁80Bの弁開度を調整して処理容器22内の圧力をコントロールすることにより成膜処理を行う。
すなわち原料ガス供給系54のガスノズル60からはSi含有ガスである3DMASガスが供給され、反応ガス供給系56のガスノズル64からはオゾンが供給される。またパージガス供給系58のガスノズル68からはパージガスとしてN ガスが供給される。
上記供給された各ガスは、ウエハボート34に多段に支持されているウエハ間を水平方向に流れて反対側に位置するガス流通孔72を介して内筒24と外筒26との間の間隙74に流れ込み、その後、このガスは外筒26の下端に設けた排気口76から真空排気系78により排気されることになる。
次に、実際の各ガスの供給態様と真空排気系78の弁開度も調整することができる開閉弁80Bの動作について図2も参照して説明する。 前述したように、ここでは原料ガスと反応ガスとを交互に繰り返し供給して成膜するALD法が用いられる。図2(A)は原料ガスの開閉弁62Bの開閉動作を示し、図2(B)は反応ガスの開閉弁66Bの開閉動作を示し、図2(C)は真空排気系の開閉弁80Bの開閉動作(弁開度)を示し、図2(D)は処理容器内の圧力変化の一例を示している。
すなわち、ここでは図2(A)に示すように、原料ガスの開閉弁62Bを開いてSi含有の原料ガスを供給してこのガスをウエハWの表面に吸着させる吸着工程と、原料ガスの供給を停止して処理容器22内の雰囲気を排気する排気工程と、図2(B)に示すように反応ガスの開閉弁66Bを開いて反応ガスであるオゾンを供給してウエハ表面上に吸着している原料ガスと反応させて薄い、例えば原子レベルや分子レベルの膜厚のSiO 膜を形成する反応工程と、反応ガスの供給を停止して処理容器22内の雰囲気を排気する排気工程とを、この順序で複数回繰り返して薄膜を積層するようになっている。
ここで1つの吸着工程から次の吸着工程までの間が1サイクルとなり、1サイクルで上述したように例えば原子レベルや分子レベルの厚さの薄膜が一層形成される。上記1サイクル中の吸着工程の時間T1及び反応工程の時間T2はそれぞれ共に60sec程度、1サイクル中の前半の排気工程及び後半の排気工程の時間T3、T4は、それぞれ10sec程度である。尚、上記各排気工程は、成膜処理のスループットを向上させるために省略するようにしてもよい。
ここで本発明の成膜方法で重要な点は、吸着工程の全体に亘って原料ガスを供給する図5(A)に示すような供給態様ではなく、図2(A)に示すように吸着工程の最初の所定の期間t1だけ原料ガスの開閉弁62Bを開状態にし、その後は直ちに閉状態にして所定の期間t1だけ一時的に原料ガスを供給するようにしている点である。この際、図2(C)に示すように、この吸着工程の全体に亘って真空排気系の開閉弁80Bを閉じた状態に維持している。この点は図5(C)のように開閉弁14Bを所定の弁開度で開いて真空排気している態様とは異なる。従って、本発明方法では吸着工程においては、原料ガスは一時的に処理容器22内に閉じ込められて外部へ流れ出ない状態になり、この間にウエハWの表面に原料ガスであるSi含有ガスが吸着されて、原料ガスの供給量が少ないにもかかわらず、ウエハ表面に対する原料ガスの吸着が促進されることになる。
上記所定の期間t1の長さは、吸着工程の時間T1に対して1〜50%の範囲内であり、好ましくは5〜30%の範囲内である。上記所定の期間t1が50%より大きくなると原料ガスの消費節減効果がそれ程大きくならないので好ましくないし、また、ウエハ表面への原料ガスの吸着量も飽和してしまうので、原料ガスが無駄になってしまう。また、この時の原料ガスの流量は、10〜500sccm程度である。また、この吸着工程における処理容器22内の圧力は、最初に急激に上昇した後に、原料ガスの開閉弁66Bを閉じたと同時に一定となり、この時の圧力は原料ガスの供給量にもよるが例えば667Pa程度である。
次に、吸着工程が終了したら、前半の排気工程へ移行する。すなわち、全ガスの供給を停止すると共に真空排気系の開閉弁80Bを全開にして処理容器22内の残留雰囲気を急速に排気する。尚、この場合、パージガスであるN ガスを供給して残留ガスの排出を促進させるようにしてもよい。これにより、処理容器22内の圧力は急激に低下する(図2(D)参照)。
次に、反応工程へ移行する。ここでは、図2(B)に示すように反応ガスの開閉弁66Bを開状態にして、反応工程の全期に亘って反応ガスであるオゾンを供給する。これと同時に、図5(C)に示すように真空排気系の開閉弁14Bの弁開度を60%程度に維持した従来の成膜方法とは異なり、図2(C)に示すように真空排気系の開閉弁80Bは最初から開状態とし、反応工程が進むに従ってその弁開度を徐々に小さくするようにしている。
これにより、この反応ガスであるオゾンとウエハ表面に吸着している原料ガスであるSi含有ガスとを反応させて薄膜としてシリコン酸化膜を形成している。上記オゾンは一般的には酸素からオゾナイザーで発生させるが、実際のオゾンの量は、O ガス中に10%程度の濃度で含まれており、このオゾン含有ガスが用いられる。ここでの反応工程では、上記真空排気系の開閉弁80Bは、前半の排気工程における全開状態を最初の所定の期間t2だけ維持しており、その後に、開閉弁80Bの弁開度を所定の弁開度、例えば50%まで一気に低下させ、その後、弁開度を所定の弁開度、例えば20%まで直線的に徐々に低下させるようにしている。この場合、反応ガスであるオゾンの供給量は、20slm程度であって、上記原料ガスの流量よりも遥かに多量の反応ガスが流される。
従来の成膜方法では、図6(D)に示すポイントP1に示すように、急激な圧力上昇が生じてローディング効果が顕著に表れていたが、上述のように、反応工程の最初の所定の期間t2だけ真空排気系の開閉弁80Bを全開状態に維持し、その後、弁開度を一気に50%程度に低下させた後に弁開度を徐々に更に低下させることにより、処理容器22内の急激な圧力上昇はなくなり、図2(D)に示すように略直線的に上昇して行くことになる。この反応工程における最大圧力は、例えば133Pa(1Torr)程度である。
上述のように、真空排気系の排気弁80Bを最初に全開にし、その後、弁開度を徐々に小さくすることにより、ローディング効果が顕著に表れるポイントP1に示すような急激な圧力上昇をなくし、且つローディング効果が起きない程度で圧力を上昇させることができ、反応ガスであるオゾンとウエハ表面に吸着しているSi含有の原料ガスとの反応を促進させることができる。換言すれば、圧力が高い状態がなくなるので、オゾンの失活が抑制されて寿命が延びることになる。この結果、ローディング効果を抑制しつつ成膜レートを高く維持することができるので、ウエハ表面における膜厚の面内均一性を高く維持すると共に、スループットも向上させることが可能となる。
この場合、上記所定の期間t2の長さは、反応工程の時間T2に対して1〜50%の範囲内であり、好ましくは5〜30%の範囲内である。上記所定の期間t2が50%より大きくなると、処理容器22内の圧力上昇が抑制され過ぎてしまってオゾンの反応が促進されず、成膜レートが低下するので好ましくない。また上記真空排気系の開閉弁80Bの途中の弁開度50%及び20%(図2(C)参照)は単に一例を示したに過ぎず、オゾンとSi含有の原料ガスとの反応速度を勘案しながら、最適値を求めるようにする。
このように、反応工程が終了したなら、後半の排気工程へ移行する。すなわち、全ガスの供給を停止すると共に真空排気系の開閉弁80Bを全開にして処理容器22内の残留雰囲気を急速に排気する。尚、この場合、パージガスであるN ガスを供給して残留ガスの排出を促進させるようにしてもよい。これにより、処理容器22内の圧力は急激に低下する(図2(D)参照)。以上により、1サイクルの成膜工程が終了し、上記1サイクルの各工程を必要に応じて複数回繰り返し行って必要とする膜厚の薄膜、すなわちシリコン酸化膜を得ることになる。
上記説明では、真空排気系の弁開度80Bは、全開(弁開度=100%)にしたり、或いは全閉(弁開度=0%)にしたりしたが、実際の開閉弁80Bでは、処理容器22内に対する排気コンダクタンスは弁開度が90%以上は全開時と略同じであまり変動せず、また弁開度が2%以下は全閉時と略同じであまり変動しないので、上記実施例の説明において、開閉弁80Bを”全開”に設定するという表現は、”弁開度90〜100%”という表現に言い換えてもよいし、また”全閉”に設定するという表現は”弁開度0〜2%”という表現に言い換えてもよい。
また、ここでは図2(C)に示すように反応工程では所定の期間t2だけ開閉弁80Bを全開状態に設定したが、これに限定されず、図3に示す真空排気系の開閉弁の弁開度の変化の変形例に示すように、所定の期間t2において弁開度100%から弁開度50%まで直線的に変化させるようにしてもよいし(図3(A)参照)、或いは反応工程の全期間に亘って弁開度100%から弁開度20%まで直線的に変化させるようにしてもよく、これらの弁開度の変化も単に一例を示すに過ぎない。
更には、弁開度の変化は直線的でなくて、曲線的或いは階段のように複数段階でステップ状に低下するように変化させてもよい。重要な点の1つは、反応工程の開始当初において開閉弁80Bの弁開度を大きく設定しておいて、この初期における処理容器22内の圧力上昇を抑制してローディング効果の発生を抑えるようにした点である。尚、上記反応工程において必要な場合には、N ガスや希ガス等の不活性ガスを反応ガスと同時にキャリアガスとして供給するようにしてもよい。
このように、本発明によれば、複数の被処理体、例えば半導体ウエハWを収容することができる処理容器22を有する成膜装置20を用いて被処理体に薄膜を形成する手法において、真空排気系78の開閉弁80Bを閉じた状態で原料ガス供給系54の開閉弁62Bを最初の所定の期間は開状態した後に直ちに閉状態にして処理容器22内へ原料ガス、例えばSi含有ガスである3DMASガスを一時的に供給して被処理体に吸着させる吸着工程と、反応ガス供給系56の開閉弁66Bを開状態にして反応ガスを処理容器22内へ供給しつつ真空排気系78の開閉弁80Bを最初は開状態としてその後は弁開度を徐々に小さくするようにして反応ガス、例えばオゾンを原料ガスと反応させて薄膜を形成する反応工程とを、間に間欠期間を挟んで交互に複数回繰り返すようにしたので、膜質を高く維持し、且つスループットも低下させることなく原料ガスの消費量を大幅に抑制することができる。
<本発明方法の評価>
次に、先に説明した本発明方法を実施したので、その評価結果について説明する。図4は本発明方法の評価結果を示す図である。ここで評価した時の吸着工程の時間T1は変化させ、反応工程の時間T2は7secに固定し、原料ガスの開閉弁62Bの開状態の所定の期間t1は7secに固定した。そして、上述のように、吸着工程の時間T1を変化させることによって、吸着工程において原料ガスの開閉弁62Bが閉じられている時間、すなわちホールド時間h(=T1−t1)を変化させた。
図4において、このホールド時間を横軸にとり、1サイクル当たりの成膜レートを縦軸にとっている。真空排気系の開閉弁80Bの全開状態の所定の期間t2は2secに設定した。また、原料ガスとしてSi含有の原料である3DMASを流し、反応ガスとしてオゾン(酸素雰囲気中に10%のオゾン濃度)を流した。ここで本発明では3DMASの供給量を比較例(従来条件)の1/4に設定している。成膜時のプロセス温度は550℃、プロセス圧力(最大値)は1.2kPaで行った。また比較例として図5に示す成膜方法で行い、各開閉弁の動作以外のプロセス条件は本発明方法の場合と同じ条件で行った。すなわち、この比較例では、吸着工程の時間は30secとし、3DMASの供給量は上述のように本発明の4倍とした。
図4から明らかなように、3DMASをXグラム供給した従来の条件では、成膜レートは1.3Å/cycle程度であったが、本発明の条件の場合には、供給する原料の量を1/4に減少させたにもかかわらず、ホールド時間を長くすることにより成膜レートが略直線的に上昇している。そして、ホールド時間が略40secの時に、成膜レートは従来の条件の場合と略同じになっている。すなわち、ここではホールド時間を40sec以上に設定すれば原料の供給量を1/4に減少させたにもかからわらず従来の条件の場合と略同等の、或いはそれ以上の成膜レートを得られることが判る。換言すれば、本発明のように各開閉弁の操作を行なうことにより、従来の条件と同等の成膜レートを維持しつつ原料の供給量を大幅に削減できることが判る。
また上記結果、ローディング効果の低減を確認するために比較例のパターンウエハ上での膜厚の面内均一性は、±4.3%程度であったのに対して、本発明方法の場合は、±3.8%程度であり、パターンウエハ上での膜厚の面内均一性を改善することもできた。
尚、上記実施例では、Si含有の原料として3DMASを用いたが、これに限定されず、アミノシラン系有機ソース(BTBAS、4DMAS、DIPAS)等を用いることができる。
また、上記実施例では反応ガスとして酸化性ガスであるオゾンを用いたが、これに限定されず、、O 、N O、NO等を用いることができ、更には、特開2005−175441号公報で開示されているように、133Pa以下の低圧力下で発生される酸素活性種と水酸基活性種とを用いるようにしてもよい。
更には、形成する膜種としては、シリコン酸化膜に限定されず、他の膜種、例えばシリコン窒化膜(SiN膜)やシリコン酸窒化膜(SiON膜)等を成膜する場合にも、本発明を適用することができる。上記シリコン窒化膜を成膜する場合には、例えば原料ガスとしてDCS(ジクロルシラン)、HCD(ヘキサクロロジシラン)、TCS(テトラクロロシラン)等を用いることができ、反応ガスとしてNH 等を用いることができる。また、上記シリコン酸窒化膜を成膜する場合には、例えば原料ガスとしてアミノシラン系有機ソースを用いることができ、反応ガスとしてO 、O 、N O、NO、NH 等を用いることができる。また、処理容器22の形状も単に一例を示したに過ぎず、ここで説明した二重管構造に限定されず、単管構造の処理容器でもよいのは勿論である。
また、ここでは被処理体として半導体ウエハを例にとって説明したが、この半導体ウエハにはシリコン基板やGaAs、SiC、GaNなどの化合物半導体基板も含まれ、更にはこれらの基板に限定されず、液晶表示装置に用いるガラス基板やセラミック基板等にも本発明を適用することができる。
2 成膜装置
22 処理容器
24 内筒
26 外筒
34 ウエハボート(保持手段)
36 蓋部
52 加熱手段
54 原料ガス供給系
56 反応ガス供給系
58 パージガス供給系
60,64 ガスノズル
62,66,70 ガス通路
62B,66B 開閉弁
78 真空排気系
80 排気通路
80B 開閉弁
82 真空ポンプ
84 装置制御部
W 半導体ウエハ(被処理体)

Claims (10)

  1. 複数の被処理体を収容することができる処理容器と、
    開閉弁を有して前記処理容器内へ原料ガスを供給することができる原料ガス供給系と、
    開閉弁を有して前記処理容器内へ反応ガスを供給することができる反応ガス供給系と、
    弁開度が調整可能になされた開閉弁を有して前記処理容器内の雰囲気を真空引きすることができる真空排気系とを備えた成膜装置を用いて前記被処理体に薄膜を形成する成膜方法において、
    前記真空排気系の前記開閉弁を閉じた状態で前記原料ガス供給系の前記開閉弁を最初の所定の期間は開状態した後に直ちに閉状態にして前記処理容器内へ前記原料ガスを一時的に供給して前記被処理体に吸着させる吸着工程と、
    前記反応ガス供給系の前記開閉弁を開状態にして前記反応ガスを前記処理容器内へ供給しつつ前記真空排気系の前記開閉弁を最初は開状態としてその後は弁開度を徐々に小さくするようにして前記反応ガスを前記原料ガスと反応させて薄膜を形成する反応工程とを、 間に間欠期間を挟んで交互に複数回繰り返すようにしたことを特徴とする成膜方法。
  2. 前記間欠期間には、前記真空排気系の前記開閉弁を開いた状態で前記処理容器内の雰囲気を排気する排気工程を行うようにしたことを特徴とする請求項1記載の成膜方法。
  3. 前記排気工程では、前記処理容器内へ不活性ガスを供給した状態で真空引きすることを特徴とする請求項2記載の成膜方法。
  4. 前記排気工程では、前記処理容器内への全てのガスの供給を停止した状態で真空引きすることを特徴とする請求項2記載の成膜方法。
  5. 前記吸着工程における前記原料ガス供給系の前記開閉弁の前記最初の所定の期間の長さは、前記吸着工程の長さの1〜50%の範囲内の長さであることを特徴とする請求項1乃至4のいずれか一項に記載の成膜方法。
  6. 前記反応工程における前記真空排気系の前記開閉弁の前記最初の開状態の弁開度は所定の期間一定になされていることを特徴とする請求項1乃至5のいずれか一項に記載の成膜方法。
  7. 前記真空排気系の前記開閉弁の弁開度が一定となる前記所定の期間の長さは、前記反応工程の長さの1〜50%の範囲内の長さであることを特徴とする請求項6記載の成膜方法。
  8. 前記薄膜は、シリコン酸化膜、シリコン酸窒化膜、シリコン窒化膜よりなる群より選択される1つよりなることを特徴とする請求項1乃至7のいずれか一項に記載の成膜方法。
  9. 前記薄膜はシリコン酸化膜であり、前記原料ガスはシリコン含有原料であると共に前記反応ガスはオゾンであることを特徴とする請求項1乃至7のいずれか一項に記載の成膜方法。
  10. 複数の被処理体を収容することができる処理容器と、
    前記複数の被処理体を保持して前記処理容器内へ挿脱される保持手段と、
    前記被処理体を加熱する加熱手段と、
    開閉弁を有して前記処理容器内へ原料ガスを供給することができる原料ガス供給系と、
    開閉弁を有して前記処理容器内へ反応ガスを供給することができる反応ガス供給系と、
    弁開度が調整可能になされた開閉弁を有して前記処理容器内の雰囲気を真空引きすることができる真空排気系と、
    請求項1乃至9のいずれか一項に記載の成膜方法を実行するように装置全体を制御する装置制御部と、
    を備えたことを特徴とする成膜装置。
JP2009171557A 2009-07-22 2009-07-22 成膜方法及び成膜装置 Active JP5223804B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009171557A JP5223804B2 (ja) 2009-07-22 2009-07-22 成膜方法及び成膜装置
TW099122857A TWI516631B (zh) 2009-07-22 2010-07-12 半導體處理用之批次化學氣相沉積方法及設備
US12/838,911 US8461059B2 (en) 2009-07-22 2010-07-19 Batch CVD method and apparatus for semiconductor process
KR1020100069986A KR101312461B1 (ko) 2009-07-22 2010-07-20 반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체
CN201010236459.3A CN101962756B (zh) 2009-07-22 2010-07-22 半导体处理用的成批化学气相沉积方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009171557A JP5223804B2 (ja) 2009-07-22 2009-07-22 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2011029284A JP2011029284A (ja) 2011-02-10
JP5223804B2 true JP5223804B2 (ja) 2013-06-26

Family

ID=43497689

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009171557A Active JP5223804B2 (ja) 2009-07-22 2009-07-22 成膜方法及び成膜装置

Country Status (5)

Country Link
US (1) US8461059B2 (ja)
JP (1) JP5223804B2 (ja)
KR (1) KR101312461B1 (ja)
CN (1) CN101962756B (ja)
TW (1) TWI516631B (ja)

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) * 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
RU2465469C2 (ru) * 2011-02-09 2012-10-27 Николай Александрович Волгин Двигатель внутреннего сгорания
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5723243B2 (ja) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6196833B2 (ja) 2012-09-26 2017-09-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104797459B (zh) 2012-11-30 2017-06-13 金泰院 自动打开式led安全角锥体
CN103165497B (zh) * 2013-02-20 2015-09-30 上海华力微电子有限公司 一种氧化反应炉及利用该反应炉进行氧化反应的方法
JP6346022B2 (ja) * 2013-07-31 2018-06-20 京セラ株式会社 薄膜形成方法および太陽電池素子の製造方法
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852151B2 (ja) 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP5855691B2 (ja) 2014-02-25 2016-02-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6501560B2 (ja) * 2015-03-06 2019-04-17 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7012563B2 (ja) * 2018-03-05 2022-01-28 東京エレクトロン株式会社 成膜方法および成膜装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7254620B2 (ja) * 2018-06-26 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、部品の管理方法、基板処理装置及び基板処理プログラム
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
CN112420486A (zh) * 2019-08-22 2021-02-26 长鑫存储技术有限公司 半导体薄膜的形成方法
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4236707B2 (ja) 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP2004288916A (ja) * 2003-03-24 2004-10-14 Renesas Technology Corp Cvd装置
JP4238812B2 (ja) 2003-11-20 2009-03-18 東京エレクトロン株式会社 被処理体の酸化装置
JP2006245089A (ja) * 2005-03-01 2006-09-14 Mitsui Eng & Shipbuild Co Ltd 薄膜形成方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652420B1 (ko) * 2005-03-23 2006-12-01 삼성전자주식회사 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
US7490254B2 (en) * 2005-08-02 2009-02-10 Advanced Micro Devices, Inc. Increasing workload performance of one or more cores on multiple core processors
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
JP4863296B2 (ja) * 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20090035951A1 (en) * 2007-07-20 2009-02-05 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
CN101962756B (zh) 2015-04-29
KR101312461B1 (ko) 2013-09-27
JP2011029284A (ja) 2011-02-10
US20110021033A1 (en) 2011-01-27
CN101962756A (zh) 2011-02-02
KR20110009624A (ko) 2011-01-28
US8461059B2 (en) 2013-06-11
TW201120235A (en) 2011-06-16
TWI516631B (zh) 2016-01-11

Similar Documents

Publication Publication Date Title
JP5223804B2 (ja) 成膜方法及び成膜装置
JP5541223B2 (ja) 成膜方法及び成膜装置
KR101521466B1 (ko) 가스 공급 장치, 열처리 장치, 가스 공급 방법 및 열처리 방법
US7883581B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR101503725B1 (ko) 성막 방법 및 성막 장치
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) プラズマ処理装置
KR100861851B1 (ko) 실리콘 산화막 형성 방법 및 장치
US8431494B2 (en) Film formation method and film formation apparatus
TWI819348B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP5916909B1 (ja) 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
JP5887962B2 (ja) 成膜装置
JP4694209B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP2007035740A (ja) 成膜方法、成膜装置及び記憶媒体
JP2013191770A (ja) 成膜装置の安定化方法及び成膜装置
CN102345111B (zh) 成膜方法和成膜装置
JP5457287B2 (ja) 基板処理装置、基板処理方法及び半導体デバイスの製造方法
JP7079340B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
JP5204809B2 (ja) 基板処理装置、基板処理方法及び半導体デバイスの製造方法
JP7313402B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及びエッチング方法
JP5051180B2 (ja) 成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130225

R150 Certificate of patent or registration of utility model

Ref document number: 5223804

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250