KR20110009624A - 반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체 - Google Patents

반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체 Download PDF

Info

Publication number
KR20110009624A
KR20110009624A KR1020100069986A KR20100069986A KR20110009624A KR 20110009624 A KR20110009624 A KR 20110009624A KR 1020100069986 A KR1020100069986 A KR 1020100069986A KR 20100069986 A KR20100069986 A KR 20100069986A KR 20110009624 A KR20110009624 A KR 20110009624A
Authority
KR
South Korea
Prior art keywords
gas
valve
reaction
processing container
source gas
Prior art date
Application number
KR1020100069986A
Other languages
English (en)
Other versions
KR101312461B1 (ko
Inventor
토시유키 이케우치
마사유키 하세가와
토시히코 다카하시
케이스케 스즈키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110009624A publication Critical patent/KR20110009624A/ko
Application granted granted Critical
Publication of KR101312461B1 publication Critical patent/KR101312461B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

배치 CVD 방법은, 흡착 공정과 반응 공정을 잔류 가스를 제거하는 공정과 함께 갖는 사이클을 반복한다. 흡착 공정은, 원료 가스 밸브를 맨 처음의 제1 기간만 열림 상태로 한 후에 닫힘 상태로 함으로써 처리 용기 내로 원료 가스를 공급하는 것과, 반응 가스 밸브를 닫힘 상태로 유지하여 처리 용기 내로 반응 가스를 공급하지 않는 것과, 배기 밸브를 닫힘 상태로 유지하여 처리 용기 내를 배기하지 않는 것으로 행한다. 반응 공정은, 원료 가스 밸브를 닫힘 상태로 유지하여 처리 용기 내로 원료 가스를 공급하지 않는 것과, 반응 가스 밸브를 열림 상태로 하여 처리 용기 내로 반응 가스를 공급하는 것과, 배기 밸브를 소정의 열림 상태로부터 밸브 개도를 서서히 작게 함으로써 처리 용기 내를 배기하는 것으로 행한다.

Description

반도체 처리용의 배치 CVD 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체{BATCH CVD METHOD AND APPARATUS FOR SEMICONDUCTOR PROCESS, AND COMPUTER READABLE STORAGE MEDIUM}
본 발명은, 배치 CVD(batch chemical vapor deposition) 방법 및 장치에 관한 것으로, 특히 반도체 웨이퍼 등의 피(被)처리체 상에 제품막을 형성하는 반도체 처리 기술에 관한 것이다. 여기에서, 반도체 처리란, 웨이퍼나 LCD(Liquid Crystal Display)와 같은 FPD(Flat Panel Display)용의 유리 기판 등의 피처리체 상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 당해 피처리체 상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 여러 가지 처리를 의미한다.
반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는, 피처리체, 예를 들면 반도체 웨이퍼에, 성막, 에칭, 산화, 확산, 개질(reformation) 등의 각종의 처리가 행해진다. 이 종류의 성막 처리는, 예를 들면 일본공개특허공보 평09―077593호에 개시되어 있는 바와 같은 매엽식(single-substrate)의 성막 장치나, 예를 들면 일본공개특허공보 2004―006801호에 개시되어 있는 바와 같은 배치식의 성막 장치 내에서 행해진다.
도 5는, 종래의 배치 CVD 장치의 일 예를 나타내는 개략 구성도이다. 예를 들면 실리콘 산화막을 형성하는 경우에는, 종형(縱型)의 처리 용기(2) 내에, 피처리체인 반도체 웨이퍼(W)를 웨이퍼 보트(4)에 다단으로 지지시킨 상태로 이것을 수용한다. 처리 용기(2)를 둘러싸도록 하여 형성한 히터(6)로 웨이퍼(W)를 소정의 온도, 예를 들면 600℃ 정도로 가열한다. 가스 공급계로부터, 실리콘 원료 가스와, 예를 들면 오존으로 이루어지는 반응 가스를 흘린다. 이들 가스를 처리 용기(2) 내를 상하 방향으로 연신(extend)하는 분산 노즐(8, 10)에 형성된 다수의 가스 분사공(8A, 10A)으로부터 처리 용기(2) 내로 토출시킨다. 또한, 처리 용기(2)의 하부에 형성한 배기구(12)로부터 진공 펌프(16)를 갖는 진공 배기계(14)로 처리 용기(2) 내를 진공 흡인한다. 이와 같이 하여, 처리 용기(2)를 소정의 압력으로 유지하여 실리콘 산화막의 성막 처리를 행한다.
최근, 반도체 집적 회로의 더욱 고(高)집적화 및 고미세화의 요구에 수반하여, 반도체 디바이스의 제조 공정에 있어서의 열 이력(history)을 경감시키고, 디바이스의 특성을 향상시키는 것이 요망되고 있다. 종형의 처리 장치에 있어서도, 이러한 요구에 따른 반도체 처리 방법의 개량이 이루어지는 것이 요망되고 있다. 예를 들면, 성막 처리의 일종인 CVD에 있어서, 원료 가스 등을 간헐적으로 공급하면서, 원자 혹은 분자 레벨의 두께의 층을 1층 또는 수층씩 반복하여 성막하는 방법이 있다. 이러한 성막 방법은 일반적으로는 ALD(Atomic Layer Deposition) 혹은 MLD(Molecular Layer Deposition)라고 칭해지며, 이것에 의해, 웨이퍼를 그렇게 고온에 노출시키지 않아도 목적으로 하는 처리를 행하는 것이 가능해진다.
도 5에 나타내는 장치로 ALD 혹은 MLD에 의해 실리콘 산화막의 성막을 행하는 경우는 다음과 같은 조작을 행한다. 즉, 실리콘 원료 가스를 공급하는 전환 밸브(8B)와, 산화 가스인 오존을 공급하는 전환 밸브(10B)를 조작하여 양(兩) 가스를 번갈아 공급한다. 또한, 진공 배기계(14)의 배기 밸브(14B)의 밸브 개도(opening degree)를 조정하여, 처리 용기(2) 내의 압력을 조정한다.
도 6은, 도 5에 나타내는 장치로 ALD에 의해 실리콘 산화막의 성막을 행하는 경우의 각 밸브의 상태와 처리 용기 내의 압력과의 관계를 나타내는 그래프이다. 도 6(A)는 원료 가스의 전환 밸브(8B)의 상태를 나타내고, 도 6(B)는 반응 가스의 전환 밸브(10B)의 상태를 나타내고, 도 6(C)는 진공 배기계의 배기 밸브(14B)의 상태(밸브 개도)를 나타내며, 도 6(D)는 처리 용기 내의 압력을 나타낸다.
도 6에 나타내는 방법에서는, 흡착 공정(T11)과, 배기 공정(T12)과, 반응 공정(T13)과, 배기 공정(T14)을 이 순서로 포함하는 사이클을 복수회 반복한다. 흡착 공정(T11)에서는, 도 6(A)에 나타내는 바와 같이, 원료 가스의 전환 밸브(8B)를 열림 상태로 하여 실리콘 원료 가스를 공급해 이 가스를 웨이퍼(W)의 표면에 흡착시킨다. 반응 공정(T13)에서는, 도 6(B)에 나타내는 바와 같이, 반응 가스의 전환 밸브(10B)를 열림 상태로 하여 반응 가스인 오존을 공급하여 웨이퍼 표면 상에 흡착하는 원료 가스와 반응시켜 얇은 SiO2막을 형성한다. 배기 공정(T12, T14)에서는, 배기 밸브(14B)를 열림 상태로 하여, 원료 가스 및 반응 가스를 공급하지 않고, 처리 용기(2) 내를 배기한다.
1사이클로 원자 레벨 혹은 분자 레벨의 두께의 박막이 1층 형성된다. 사이클의 각 회마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 제품막이 형성된다. 1사이클 중의 흡착 공정(T11) 및 반응 공정(T13)의 시간은 각각 60sec 정도, 배기 공정(T12, T14)의 시간은 10sec 정도이다. 이 배치 CVD 방법에 의해, 웨이퍼를 그렇게 고온에 노출시키지 않아도 목적으로 하는 처리를 행하는 것이 가능해진다. 그러나, 본 발명자들에 의하면, 후술하는 바와 같이, 이 종류의 배치 CVD 방법에는, 막질(膜質), 스루풋(throughput) 및, 원료 가스 소비량에 관한 특성의 점에서 개선의 여지가 있는 것이 발견되고 있다.
본 발명은, 막질, 스루풋 및, 원료 가스 소비량에 관한 향상된 특성을 갖는 배치 CVD 방법 및 장치를 제공하는 것을 목적으로 한다.
본 발명의 제1 시점은, 배치 CVD(batch chemical vapor deposition) 장치에 의한 반도체 처리용의 배치 CVD 방법으로서, 상기 장치는, 복수의 피처리체를 수납하는 세로로 긴 처리 용기와, 상기 처리 용기 내에서 상기 피처리체를 간격을 두고 겹쳐 쌓아 지지하는 보지구(保持具)와, 상기 처리 용기 내에 원료 가스를 공급하는 원료 가스 공급계로서, 상기 원료 가스의 공급을 조정하는 원료 가스 밸브를 포함하는, 원료 가스 공급계와, 상기 처리 용기 내에 반응 가스를 공급하는 반응 가스 공급계로서, 상기 반응 가스의 공급을 조정하는 반응 가스 밸브를 포함하는, 반응 가스 공급계와, 상기 처리 용기 내를 배기하는 배기계로서, 배기량을 조정하는 배기 밸브를 포함하는, 배기계를 구비하고, 상기 방법은, 이하의 사이클을 복수회 반복하여 각 회마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 제품막을 상기 피처리체 상에 형성하도록 구성되고, 여기에서 상기 사이클은, 상기 원료 가스를 상기 피처리체 상에 흡착시키는 흡착 공정으로서, 상기 원료 가스 밸브를 맨 처음의 제1 기간만 열림 상태로 한 후에 닫힘 상태로 함으로써 상기 처리 용기 내로 상기 원료 가스를 공급하고, 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 반응 가스를 공급하지 않으며, 상기 배기 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내를 배기하지 않는, 흡착 공정과, 다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제1 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제1 개재 공정과, 다음으로, 상기 반응 가스를 상기 피처리체 상에 흡착하는 상기 원료 가스와 반응시키는 반응 공정으로서, 상기 원료 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스를 공급하지 않고, 상기 반응 가스 밸브를 열림 상태로 하여 상기 처리 용기 내로 상기 반응 가스를 공급하며, 상기 배기 밸브를 소정의 열림 상태로부터 밸브 개도를 서서히 작게 함으로써 상기 처리 용기 내를 배기하는, 반응 공정과, 다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제2 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 밸브 개도가 상기 반응 공정의 마지막보다도 큰 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제2 개재 공정을 구비한다.
본 발명의 제2 시점은, 프로세서상에서 실행하기 위한 프로그램 지령을 기억하는, 컴퓨터로 판독 가능한 기억 매체로서, 상기 프로그램 지령은, 상기 프로세서에 의해 실행될 때, 배치 CVD 장치를 제어하여 제1 시점의 방법을 실행시킨다.
본 발명의 제3 시점은, 반도체 처리용의 배치 CVD(chemical vapor deposition) 장치로서, 복수의 피처리체를 수납하는 세로로 긴 처리 용기와, 상기 처리 용기 내에서 상기 피처리체를 간격을 두고 겹쳐 쌓아 지지하는 보지구와, 상기 처리 용기 내에 원료 가스를 공급하는 원료 가스 공급계로서, 상기 원료 가스의 공급을 조정하는 원료 가스 밸브를 포함하는, 원료 가스 공급계와, 상기 처리 용기 내에 반응 가스를 공급하는 반응 가스 공급계로서, 상기 반응 가스의 공급을 조정하는 반응 가스 밸브를 포함하는, 반응 가스 공급계와, 상기 처리 용기 내를 배기하는 배기계로서, 배기량을 조정하는 배기 밸브를 포함하는, 배기계와, 상기 장치의 동작을 제어하는 제어부를 구비하고, 상기 제어부는 배치 CVD 방법을 행하도록 미리 설정되고, 상기 방법은, 이하의 사이클을 복수회 반복하여 각 회마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 제품막을 상기 피처리체 상에 형성하도록 구성되고, 여기에서, 상기 사이클은, 상기 원료 가스를 상기 피처리체 상에 흡착시키는 흡착 공정으로서, 상기 원료 가스 밸브를 맨 처음의 제1 기간만 열림 상태로 한 후에 닫힘 상태로 함으로써 상기 처리 용기 내로 상기 원료 가스를 공급하고, 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 반응 가스를 공급하지 않으며, 상기 배기 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내를 배기하지 않는, 흡착 공정과, 다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제1 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제1 개재 공정과, 다음으로, 상기 반응 가스를 상기 피처리체 상에 흡착하는 상기 원료 가스와 반응시키는 반응 공정으로서, 상기 원료 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스를 공급하지 않고, 상기 반응 가스 밸브를 열림 상태로 하여 상기 처리 용기 내로 상기 반응 가스를 공급하며, 상기 배기 밸브를 소정의 열림 상태로부터 밸브 개도를 서서히 작게 함으로써 상기 처리 용기 내를 배기하는, 반응 공정과, 다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제2 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 밸브 개도가 상기 반응 공정의 마지막보다도 큰 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제2 개재 공정을 구비한다.
도 1은, 본 발명의 실시 형태에 따른 배치 CVD 장치를 나타내는 개략 구성도이다.
도 2는, 도 1에 나타내는 장치로 ALD에 의해 실리콘 산화막의 성막을 행하는 경우의 각 밸브의 상태와 처리 용기 내의 압력과의 관계를 나타내는 그래프이다.
도 3a, 도 3b는, 진공 배기계의 배기 밸브의 밸브 개도의 변화에 관하여, 반응 공정의 2개의 변형예를 나타내는 도면이다.
도 4는, 실시 형태에 따른 실험의 결과를 나타내는 그래프이다.
도 5는, 종래의 배치 CVD 장치의 일 예를 나타내는 개략 구성도이다.
도 6은, 도 5에 나타내는 장치로 ALD에 의해 실리콘 산화막의 성막을 행하는 경우의 각 밸브의 상태와 처리 용기 내의 압력과의 관계를 나타내는 그래프이다.
(발명을 실시하기 위한 형태)
본 발명자들은, 본 발명의 개발의 과정에서, 종래의 이 종류의 반도체 처리용의 배치 CVD 방법 및 장치에 있어서의 문제에 대해서 연구했다. 그 결과, 본 발명자들은, 이하에 기술하는 바와 같은 인식을 얻었다.
도 5 및 도 6을 참조하여 설명한 방법은, 흡착 공정(T11)에 있어서 실리콘 원료 가스를 공급할 때에는, 다량의 원료 가스를 웨이퍼 표면에 부착시키기 위해, 웨이퍼 표면 상에 원료 가스의 흐름을 형성하는 편이 바람직하다는 종래의 컨셉으로 구성된다. 이 때문에, 흡착 공정(T11)에서는, 도 6(C)에 나타내는 바와 같이, 진공 배기계(14)의 배기 밸브(14B)를 어느 정도 열어, 예를 들면 밸브 개도를 30% 정도로 설정하여, 배기를 어느 정도 행하여 웨이퍼 표면 상에 있어서의 원료 가스의 흐름을 형성한다. 이 경우의 원료 가스의 공급량은 10∼500sccm(standard cubic centimeter per minute) 정도이기 때문에, 도 6(D)에 나타내는 바와 같이 처리 용기(2) 내의 압력은 조금씩 직선적으로 상승한다.
또한, 반응 공정(T13)에서는, 상기 흡착 공정(T11) 때보다는 배기 밸브(14B)의 밸브 개도를 조금 크게 하여, 예를 들면 밸브 개도를 60% 정도로 설정하여 효율적으로 반응이 일어나도록 한다. 이 경우, 오존의 유량은 20slm(standard liter per minute) 정도이기 때문에 원료 가스의 유량과 비교하여 매우 많고, 그 때문에, 처리 용기(2) 내의 압력은, 맨 처음은 오존의 도입에 의해 압력은 단번에 상승하여 잠시 포화된 후에, 조금씩 저하된다. 또한, 배기 공정(T12, T14)에서는 처리 용기(2) 내의 잔류 가스를 빨리 배제할 필요 때문에 배기 밸브(14B)의 밸브 개도를 100%로 설정하여 강력하게 진공 흡인한다. 또한, 진공 펌프(16)는 전(全) 공정에 걸쳐 연속적으로 구동한다.
그러나, 전술한 종래의 방법에서는, 흡착 공정(T11)에 있어서 배기 밸브(14 B)를 어느 정도 열어 흡착 공정(T11) 동안은 연속적으로 실리콘 원료 가스를 흘리고 있다. 이 때문에, 원료 가스가 다량으로 소비되고, 특히 원료 가스가 고가인 경우에는 러닝 비용(running cost)이 큰 폭으로 증가한다.
또한 반응 공정(T13)에 있어서는, 맨 처음에 오존의 도입에 의해 도 6(D)의 포인트(P1)에 나타내는 바와 같이 처리 용기(2) 내의 압력이 오존에 있어서 과도하게 상승하여, 오존이 활성을 잃고 실활(deactivate)되기 쉬워진다. 그 때문에, 웨이퍼의 주변부에서는 성막은 충분히 일어나지만, 웨이퍼의 중심부에는 오존이 충분히 닿지 않아 웨이퍼 중심부에서의 성막이 불충분해져, 그 결과, 막두께의 면 내 균일성이 저하된다. 특히, 웨이퍼 표면에 트렌치 구조(trench structure)를 갖는 경우에는, 오존의 소비가 심해져 웨이퍼 중심부에서는 막두께가 큰 폭으로 얇아지는 현상, 즉 로딩(loading) 효과가 현저히 발생한다. 이 경우, 반응 공정(T13)에 있어서의 처리 용기(2) 내의 압력을 더욱 낮게 하는 것도 생각할 수 있지만, 압력이 낮으면 성막 레이트가 큰 폭으로 작아져 스루풋이 저하되기 때문에 바람직하지 않다.
이하에, 이러한 인식에 기초하여 구성된 본 발명의 실시 형태에 대해서 도면을 참조하여 설명한다. 또한, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는, 동일 부호를 붙여, 중복 설명은 필요한 경우에만 행한다.
도 1은 본 발명의 실시 형태에 따른 배치 CVD 장치를 나타내는 개략 구성도이다. 이 장치는 원료 가스로서 Si 함유의 유기 원료인 3DMAS(트리스디메틸아미노실란)을 이용하고, 반응 가스로서 산화성 가스인 오존(실제적으로는 O3 및 O2의 혼합 가스)을 이용하며, 박막으로서 실리콘 산화막(SiO2)을 성막하도록 구성된다.
도 1에 나타내는 바와 같이, 이 성막 장치(20)는, 간격을 두고 겹쳐 쌓인 복수의 반도체 웨이퍼(피처리체)를 수납하여 처리하는 처리 영역(23)을 내부에 규정하는 처리 용기(22)를 갖는다. 처리 용기(22)는 세로로 긴 내통(內筒; 24)과 외통(外筒; 26)으로 이루어지는 이중관 구조를 갖는다. 내통(24)은 천정이 있고 그리고 하단부가 개구되는 원통체 형상으로 성형되고, 그 내부에 처리 영역(23)이 규정된다. 외통(26)은 내통(24)의 외측을 소정의 간격을 두고 덮도록 하여 형성된 천정이 있고 그리고 하단부가 개구되는 원통체 형상으로 성형된다. 내통(24)과 외통(26)은 함께 석영에 의해 형성된다.
처리 용기(22)의 직경은, 예를 들면 직경이 300mm인 웨이퍼(W)를 수용하는 경우에는, 400∼500mm 정도로 설정된다. 처리 용기(22)의 내부 용량은 수용할 수 있는 웨이퍼(W)의 매수에 의존하며, 예를 들면 최대 150매 정도의 웨이퍼(W)를 수용하는 경우에는, 200리터 정도로 설정된다.
외통(26)의 하단부는, 원통체 형상으로 성형된 예를 들면 스테인리스 스틸제의 매니폴드(manifold; 28)가 O링 등의 시일 부재(seal member; 30)를 통하여 기밀(airtight)하게 접속된다. 매니폴드(28)에 의해 외통(26)의 하단부가 지지되는 한편, 매니폴드(28)는 도시하지 않는 베이스 플레이트(base plate)에 의해 지지된다. 매니폴드(28)는 그 내벽으로부터 연장되는 링 형상의 지지대(32)를 포함하고, 지지대(32) 상에 내통(24)의 하단부가 올려놓여져 지지된다. 처리 용기(22)의 내통(24) 내에는, 보지 수단으로서의 석영제의 웨이퍼 보트(34)가 수용된다.
매니폴드(28)의 하단 개구를 통하여 웨이퍼 보트(34)가 승강되고, 이에 따라 처리 용기(22)의 처리 영역(23)에 대하여 웨이퍼 보트(34)가 로드(load)/언로드(unload)된다. 웨이퍼 보트(34)에는 피처리체로서 다수매의 반도체 웨이퍼(W)가 다단으로 올려놓여진다. 예를 들면, 본 실시 형태의 경우에 있어서, 웨이퍼 보트(34)에는, 예를 들면 50∼100매 정도의 직경이 300mm인 웨이퍼(W)가 대략 동일한 피치(pitch)로 다단으로 지지 가능해진다.
웨이퍼 보트(34)는 석영제의 보온통(40)을 통하여 테이블(42) 상에 올려놓여진다. 테이블(42)은, 매니폴드(28)의 하단 개구를 개폐하는, 예를 들면 석영이나 스테인리스 스틸제의 덮개체(36)를 관통하는 회전축(44) 상에 지지된다. 회전축(44)의 관통부에는 예를 들면 자성 유체 시일(46)이 설치되어, 회전축(44)을 기밀하게 시일하면서 회전 가능하게 지지한다. 덮개체(36)의 주변부와 매니폴드(28)의 하단부에는, 예를 들면 O링 등으로 이루어지는 시일 부재(38)가 설치되어, 용기 내의 시일성을 유지한다.
회전축(44)은, 예를 들면 보트 엘리베이터 등의 승강 기구(48)에 지지된 아암(50)의 선단(distal end)에 부착된다. 승강 기구(48)에 의해, 웨이퍼 보트(34) 및 덮개체(36) 등이 일체적으로 승강된다. 또한, 아암(50) 내의 회전 기구에 의해 회전축(44)이 구동되면 웨이퍼 보트(34)가 회전되고, 이에 따라 웨이퍼 보트(34) 상의 웨이퍼가 그들의 중심을 통과하는 수직인 축을 중심으로 하여 회전된다. 또한, 테이블(42)을 덮개체(36)측으로 고정하여 형성하여, 웨이퍼 보트(34)를 회전시키는 일 없이 웨이퍼(W)의 처리를 행하도록 해도 좋다.
처리 용기(22)를 포위하도록, 단열 케이싱(51)이 설치된다. 단열 케이싱(51)의 내면 상에는, 처리 용기(22) 내의 분위기 및 웨이퍼(W)를 가열하는 히터 (52)가 설치된다. 히터(52)로서는, 컨태미네이션(contamination)이 없고 승강·강온 특성이 우수한 카본 와이어(carbon wire) 등이 이용된다. 처리 용기(22)의 내통(24) 내에는, 히터(52)를 제어하기 위한 열전대(thermocouple; 도시하지 않음)가 설치된다.
매니폴드(28)의 측부에는, 처리 용기(22) 내의 처리 영역(23)에 소정의 처리 가스를 공급하기 위한 가스 공급부가 접속된다. 가스 공급부는, 원료 가스 공급계 (54), 반응 가스 공급계(56) 및, 퍼지 가스 공급계(58)를 포함한다. 원료 가스 공급계(54)는 실리콘 원료 가스로서 3DMAS 가스를 공급한다. 반응 가스 공급계(56)는 반응 가스로서 산화 가스인 오존 가스(실제적으로는 O3 및 O2의 혼합 가스)를 공급한다. 퍼지 가스 공급계(58)는 퍼지 가스로서 불활성 가스, 예를 들면 N2 가스를 공급한다. 원료 가스 및 반응 가스에는 필요에 따라서 적당한 양의 캐리어 가스, 예를 들면 N2 가스가 혼합된다. 그러나, 이하에서는 설명을 용이하게 하기 위해, 캐리어 가스에 대해서는 필요한 경우만 언급한다. 또한, 이 퍼지 가스나 캐리어 가스로서는, N2 가스를 대신하여 Ar, He 등의 희가스(rare gas)를 이용할 수 있다.
구체적으로는, 원료 가스 공급계(54), 반응 가스 공급계(56) 및, 퍼지 가스 공급계(58)는, 매니폴드(28)의 측벽을 안쪽으로 관통하여 내통(24) 내에서 상향으로 굴곡하여 연장하는 석영관으로 이루어지는 가스 분산 노즐(60, 64, 68)을 각각 갖는다(도 1 참조). 각 가스 분산 노즐(60, 64, 68)에는, 그 길이 방향(상하 방향)을 따라 그리고 웨이퍼 보트(34) 상의 웨이퍼(W)의 전체에 걸치도록 복수의 가스 분사공(60A, 64A, 68A)이 소정의 간격을 두고 형성된다. 가스 분사공(60A, 64A, 68A)은, 필요에 따라서, 대응의 가스를 각각 공급할 때, 수평 방향으로 대략 균일하게 가스를 토출하여, 웨이퍼 보트(34) 상의 복수의 웨이퍼(W)에 대하여 평행인 가스류를 형성한다.
가스 분산 노즐(60, 64, 68)은, 가스 공급 라인(가스 통로; 62, 66, 70)을 통하여, 3DMAS 가스, O3 가스 및, N2 가스의 가스원(54S, 56S, 58S)에 각각 접속된다. 가스 공급 라인(62, 66, 70)상에는, 매스플로우 컨트롤러(mass flow controller)와 같은 유량 제어기(62A, 66A, 70A)와 전환 밸브(62B, 66B, 70B)가 설치된다. 이것에 의해, 3DMAS 가스, O3 가스 및, N2 가스의 공급과 정지를 전환함과 함께 각각 유량 제어하면서 공급 가능해진다.
가스 분산 노즐(60, 64, 68)은, 내통(24) 내의 일측에 집합시켜 설치된다(도 1에서는 도면 스페이스의 관계로 인해 가스 분산 노즐(68)을 다른 가스 분산 노즐(60, 64)에 대하여 반대측에 나타내지만). 가스 분산 노즐(60, 64, 68)에 대하여 대향하는 내통(24)의 측벽에 직경이 큰 복수의 가스 유통공(72)이 상하 방향을 따라 배열 형성된다. 웨이퍼 사이를 통하여 수평 방향으로 흐른 각 가스는 가스 유통공(72)을 통하여 내통(24)과 외통(26)과의 사이의 간극(74)으로 유입된다.
매니폴드(28)의 상부측에는, 내통(24)과 외통(26)과의 사이의 간극(74)에 연통되는 배기구(76)가 형성되고, 배기구(76)에는 처리 용기(22) 내를 진공 흡인하는 진공 배기계(78)가 접속된다. 진공 배기계(78)는 배기구(76)에 접속되는 배기 라인(80)을 갖고, 이 배기 라인(80)에는 처리 용기(22) 내를 진공 배기하는 진공 펌프(82)와, 밸브 개도를 변화시킴으로써 처리 용기(22) 내의 압력을 조정하는 배기 밸브(80B)가 설치된다. 배기 밸브(80B)는 밸브 개도가 임의의 값으로 조정 가능하게 됨과 함께, 전개(全開) 및 전폐(全閉)도 행할 수 있어, 배기를 완전히 차단할 수 있다.
또한 성막 장치(20)는, 장치 전체의 동작을 제어하는 컴퓨터 등으로 이루어지는 주(主)제어부(84)를 구비한다. 주제어부(84)는, 이것에 부수되는 기억부(86)에 미리 기억된 처리 레시피에 따라서, 예를 들면, 형성되는 막의 막두께나 조성 등의 조건에 따라서 후술하는 배치 CVD 처리를 행한다. 이 기억부(86)에는 또한, 처리 가스 유량과 막의 막두께나 조성과의 관계가 미리 제어 데이터로서 기억된다. 따라서, 주제어부(84)는 이들의 기억된 처리 레시피나 제어 데이터에 기초하여, 승강 기구(48), 가스 공급계(54, 56, 58), 진공 배기계(78), 히터(52) 등을 제어할 수 있다. 또한, 기억 매체는, 예를 들면, 자기 디스크(플렉시블 디스크, 하드 디스크(일 예는 기억부(86)에 포함되는 하드 디스크) 등), 광디스크(CD, DVD 등), 마그네토 옵티컬 디스크(MO 등), 반도체 메모리 등이다.
다음으로, 도 1에 나타내는 장치를 이용하여 행해지는 배치 CVD 방법(이른바 ALD 혹은 MLD 성막)에 대해서 설명한다. 이 배치 CVD에서는, ALD 혹은 MLD에 의해 반도체 웨이퍼(W) 상에 실리콘 산화막을 형성한다. 이를 위해, 웨이퍼(W)를 수납한 처리 영역(23) 내에 실리콘 원료 가스인 3DMAS 가스와, 산화 반응 가스인 오존(O3) 가스를 선택적으로 공급한다. 이에 따라, 3DMAS 가스를 웨이퍼(W)의 표면에 흡착시키는 흡착 공정과, 오존 가스를 웨이퍼(W)의 표면에 흡착되어 있는 3DMAS 가스와 반응시켜 실리콘 산화물의 박막을 형성하는 반응 공정을 번갈아 복수회 반복하여 행한다. 구체적으로는, 이하의 조작에 의해 성막 처리를 진행한다.
즉, 성막 장치(20)가, 반도체 웨이퍼(W)가 로드되어 있지 않은 대기 상태일 때에는, 처리 영역(23)은 프로세스 온도보다 낮은 온도로 유지된다. 처리시에는, 우선, 다수매, 예를 들면 50매의 웨이퍼(W)를 보지한 상온의 웨이퍼 보트(34)를, 소정의 온도로 설정된 처리 영역(23)(처리 용기(22)는 핫월(hot wall) 상태) 내에 하방으로부터 로드한다. 또한, 덮개체(36)로 처리 용기(22)의 하단 개구부를 닫음으로써 처리 용기(22) 내를 밀폐한다.
그리고, 처리 영역(23)을 진공 흡인하여 소정의 프로세스 압력으로 설정한다. 이것과 함께 히터(52)로의 공급 전력을 증대시킴으로써, 처리 영역(23)을 성막 처리용의 프로세스 온도까지 가열한다. 처리 영역(23)이 프로세스 압력 및 프로세스 온도로 안정된 후, 성막을 행하는 데에 필요한 소정의 처리 가스를 처리 영역(23)으로 공급한다. 즉 여기에서는 3DMAS 가스와 오존 가스를 유량 제어하면서 각 가스 공급계(54, 56)의 가스 분산 노즐(60, 64)로부터 각각 처리 영역(23)으로 공급한다. 또한, 진공 배기계(78)의 배기 밸브(80B)의 밸브 개도를 조정하여 처리 용기(22) 내의 압력을 컨트롤한다.
3DMAS 가스는 가스 분산 노즐(60)의 가스 분사공(60A)으로부터, 웨이퍼 보트(34) 상의 복수의 웨이퍼(W)에 대하여 평행한 가스류를 형성하도록 공급된다. 이 사이에, 3DMAS 가스는 처리 영역(23)의 가열 온도에 의해 활성화되어, 3DMAS 가스의 분자 혹은, 그들의 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가 웨이퍼 상에 흡착된다.
한편, 오존 가스는 가스 분산 노즐(64)의 가스 분사공(64A)으로부터, 웨이퍼 보트(34) 상의 복수의 웨이퍼(W)에 대하여 평행인 가스류를 형성하도록 공급된다. 오존 가스는 처리 영역(23)의 가열 온도에 의해 활성화되고, 오존 가스의 분자 혹은, 그들의 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가, 웨이퍼(W)에 부착되어 있는 3DMAS 가스에서 유래하는 분해 생성물 등과 반응한다. 이에 따라 웨이퍼(W) 상에 실리콘 산화물의 박막이 형성된다. 또한, 이것과는 반대로, 오존 가스에서 유래하는 분해 생성물 등이 웨이퍼(W)에 부착되어 있는 장소에 3DMAS 가스에서 유래하는 분해 생성물 등이 흘러온 경우에도, 동일한 반응이 일어나, 웨이퍼(W) 상에 실리콘 산화물의 박막이 형성된다.
전술한 흡착 공정과 반응 공정과의 사이 및 반응 공정과 흡착 공정과의 사이에서는, 3DMAS 가스 및 오존 가스를 공급하지 않고, 처리 용기(22) 내를 배기하는 배기 공정을 행한다. 또한, 배기 공정에서는, 필요에 따라서, 퍼지 가스로서 N2 가스가 유량 제어되면서 가스 공급계(58)의 노즐(68)로부터 공급된다. 또한, 처리 영역(23)을 통과한 가스 성분은, 가스 유통공(72)을 통하여 내통(24)과 외통(26)과의 사이의 간극(74)으로 흘러 들어간다. 그 후, 이들 가스 성분은 외통(26)의 하단에 형성된 배기구(76)로부터 진공 배기계(78)에 의해 배기된다.
도 2는, 도 1에 나타내는 장치로 ALD에 의해 실리콘 산화막의 성막을 행하는 경우의 각 밸브의 상태와 처리 용기 내의 압력과의 관계를 나타내는 그래프이다. 도 2(A)는 원료 가스의 전환 밸브(62B)의 상태를 나타내고, 도 2(B)는 반응 가스의 전환 밸브(66B)의 상태를 나타내고, 도 2(C)는 진공 배기계의 배기 밸브(80B)의 상태(밸브 개도)를 나타내며, 도 2(D)는 처리 용기 내의 압력을 나타낸다.
도 2에 나타내는 바와 같이, 이 실시 형태에 따른 성막 방법에서는, 흡착 공정(T1)과, 제1 배기 공정(T2)과, 반응 공정(T3)과, 제2 배기 공정(T4)을 이 순서로 포함하는 사이클을 복수회 반복한다. 흡착 공정(T1)에서는, 도 2(A)에 나타내는 바와 같이, 원료 가스의 전환 밸브(62B)를 열어 3DMAS 가스를 공급하고 이 가스에서 유래하는 분해 생성물 등을 웨이퍼(W)의 표면에 흡착시킨다. 반응 공정(T3)에서는, 도 2(B)에 나타내는 바와 같이, 반응 가스의 전환 밸브(66B)를 열어 오존 가스를 공급하여 웨이퍼 표면 상에 흡착되는 분해 생성물 등과 반응시켜 얇은 SiO2막을 형성한다. 배기 공정(T2, T4)에서는, 3DMAS 가스 및 오존 가스를 공급하지 않고, 처리 용기(22) 내를 배기한다. 또한, 진공 펌프(82)는 전 공정(T1∼T4)에 걸쳐 연속적으로 구동한다.
전술한 1사이클에서 원자 레벨 혹은 분자 레벨의 두께의 박막이 1층 형성된다. 사이클의 각 회마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 제품막이 형성된다. 1사이클 중의 흡착 공정(T1) 및 반응 공정(T3)의 시간은 각각 2∼120sec, 예를 들면 60sec 정도, 배기 공정(T2, T4)의 시간은 2∼20sec, 예를 들면 10sec 정도이다. 또한, 배기 공정(T2, T4)은 성막 처리의 스루풋을 향상시키기 위해 생략해도 좋다.
보다 구체적으로는, 흡착 공정(T1)은, 도 2(A)에 나타내는 바와 같이 맨 처음의 소정의 기간(t1)에만 원료 가스의 전환 밸브(62B)를 열림 상태로 하고, 그 후는 즉시 닫힘 상태로 하여 소정의 기간(t1)만 일시적으로 원료 가스를 공급한다. 이때, 도 2(C)에 나타내는 바와 같이, 흡착 공정(T1)의 전체에 걸쳐 진공 배기계의 배기 밸브(80B)를 닫은 상태로 유지한다. 이 점은, 종래의 방법에 있어서 도 6(C)에 나타내는 바와 같이 배기 밸브(14B)를 소정의 밸브 개도로 열어 진공 배기하는 흡착 공정(T11)과는 상이하다. 따라서, 본 실시 형태에서는, 흡착 공정(T1)에 있어서는, 원료 가스(3DMAS 가스)는 처리 용기(22) 내에 갇혀 외부로 흘러나오지 않는 상태에서, 웨이퍼(W)의 표면에 실리콘 원료 가스가 흡착된다. 이 때문에, 원료 가스의 공급량이 적음에도 불구하고, 웨이퍼 표면에 대한 원료 가스의 흡착이 촉진되게 된다.
상기 소정의 기간(t1)의 길이는, 흡착 공정(T1)의 시간에 대하여 1∼50%의 범위 내이며, 바람직하게는 5∼30%의 범위 내이다(예를 들면, T1에 대한 이 범위에 있어서 t1=2∼60sec). 상기 소정의 기간(t1)이 50%보다 커지면 원료 가스의 소비 절감 효과가 그렇게 커지지 않기 때문에 바람직하지 않으며, 또한 웨이퍼 표면으로의 원료 가스의 흡착량도 포화되기 때문에 원료 가스가 낭비된다. 또한, 이때의 원료 가스의 유량은 10∼500sccm 정도이다. 또한, 흡착 공정(T1)에 있어서의 처리 용기(22) 내의 압력은 맨 처음에 급격하게 상승한 후에, 원료 가스의 전환 밸브(62B)를 닫음과 동시에 일정하게 된다. 이때의 압력은 원료 가스의 공급량에도 따르지만 예를 들면 667Pa 정도이다.
다음으로, 흡착 공정(T1)이 종료되면, 제1 배기 공정(T2)으로 이행한다. 여기에서는, 전(全) 가스의 공급을 정지함과 함께 진공 배기계의 배기 밸브(80B)를 전개로 하여 처리 용기(22) 내의 잔류 분위기를 급속히 배기한다. 또한, 이 경우, 퍼지 가스인 N2 가스를 공급하여 잔류 가스의 배출을 촉진시키도록 해도 좋다. 제1 배기 공정(T2)에 의해, 처리 용기(22) 내의 압력은 급격하게 저하된다(도 2(D) 참조).
다음으로, 반응 공정(T3)으로 이행한다. 여기에서는, 도 2(B)에 나타내는 바와 같이 반응 가스의 전환 밸브(66B)를 열림 상태로 하여, 반응 공정(T3)의 전(全) 기간에 걸쳐 반응 가스인 오존을 공급한다. 이때, 도 2(C)에 나타내는 바와 같이 진공 배기계의 배기 밸브(80B)는 맨 처음부터 열림 상태로 하고, 반응 공정(T3)이 진행됨에 따라서 그 밸브 개도를 서서히 작게 한다. 이 점은, 종래의 방법에 있어서 도 6(C)에 나타내는 바와 같이 진공 배기계의 배기 밸브(14B)의 밸브 개도를 60% 정도로 유지하는 반응 공정(T13)과는 상이하다.
이에 따라, 이 반응 가스인 오존 가스와 웨이퍼 표면에 흡착하는 원료 가스인 실리콘 원료 가스를 반응시켜 박막으로서 실리콘 산화막을 형성한다. 상기 오존 가스는 일반적으로는 산소 가스로부터 오조나이저(ozonizer)로 발생시키지만, 이 경우, O3 가스가 O2 가스 중에 10체적% 정도의 농도로 포함되며, 이러한 혼합 가스가 오존 함유 가스로서 실제로 이용된다. 여기에서의 반응 공정(T3)에서는, 진공 배기계의 배기 밸브(80B)는 제1 배기 공정(T2)에 있어서의 전개 상태를 맨 처음의 소정의 기간(t3)만 유지하고, 그 후에 배기 밸브(80B)의 밸브 개도를 소정의 레벨, 예를 들면 50%까지 단번에 저하시키고, 그 후, 밸브 개도를 소정의 레벨, 예를 들면 20%까지 직선적으로 서서히 저하시킨다. 이 경우, 반응 가스인 오존의 공급량은 20slm 정도로서, 상기 원료 가스의 유량보다도 훨씬 다량의 반응 가스가 흘려진다.
종래의 방법에서는, 도 6(D)에 나타내는 포인트(P1)에 나타내는 바와 같이, 급격한 압력 상승이 일어나 로딩 효과가 현저히 나타난다. 한편, 본 실시 형태의 방법의 반응 공정(T3)에서는, 맨 처음의 소정의 기간(t3)만 진공 배기계의 배기 밸브(80B)를 전개 상태로 유지하며, 그 후 밸브 개도를 단번에 50% 정도로 저하시킨 후에 밸브 개도를 서서히 추가로 저하시킨다. 이에 따라, 처리 용기(22) 내의 급격한 압력 상승은 없어지고, 도 2(D)에 나타내는 바와 같이 대략 직선적으로 서서히 상승해 가게 된다. 이 반응 공정에 있어서의 최대 압력은 예를 들면 133Pa(1Torr) 정도이다.
전술한 바와 같이, 반응 공정(T3)에 있어서, 진공 배기계의 배기 밸브(80B)를 맨 처음에 전개하고, 그 후, 밸브 개도를 서서히 작게 한다. 이 경우, 현저한 로딩 효과가 일어나지 않는 정도로 압력을 상승시킬 수 있고, 즉, 그러한 로딩 효과가 현저히 나타나는, 포인트(P1)에 나타내는 바와 같은 급격한 압력 상승을 없앨 수 있다. 이 때문에, 반응 가스인 오존과 웨이퍼 표면에 흡착하는 실리콘 원료 가스와의 반응을 촉진시킬 수 있다. 바꿔 말하면, 압력이 높은 상태가 없어지기 때문에, 오존의 실활이 억제되어 수명이 연장되게 된다. 이 결과, 로딩 효과를 억제함과 함께 성막 레이트를 높게 유지할 수 있다. 또한, 웨이퍼 표면에 있어서의 막두께의 면 내 균일성을 높게 유지함과 함께, 스루풋도 향상시키는 것이 가능해진다.
상기 소정의 기간(t3)의 길이는 반응 공정(T3)의 시간에 대하여 1∼50%의 범위 내이며, 바람직하게는 5∼30%의 범위 내이다(예를 들면, T3에 대한 이 범위에 있어서 t3=2∼60sec). 상기 소정의 기간(t3)이 50%보다 커지면, 처리 용기(22) 내의 압력 상승이 지나치게 억제되어 버려 오존의 반응이 저해되어, 성막 레이트가 저하되기 때문에 바람직하지 않다. 또한, 진공 배기계의 배기 밸브(80B)의 도중의 밸브 개도 50% 및 20%(도 2(C) 참조)는 단지 일 예를 나타낸 것에 지나지 않으며, 오존과 실리콘 원료 가스와의 반응 속도를 감안하면서 최적치를 구하도록 한다. 단, 반응 공정(T3)에 있어서, 배기 밸브(80B)의 밸브 개도의 최대치는 제1 배기 공정(T2)에서 사용된 배기 밸브(80B)의 밸브 개도와 동일(예를 들면 100%)하며, 최소치는 2% 이상인 것이 바람직하다.
이와 같이, 반응 공정(T3)이 종료되었다면, 제2 배기 공정(T4)으로 이행한다. 즉, 전 가스의 공급을 정지함과 함께 진공 배기계의 배기 밸브(80B)를 전개로 하여 처리 용기(22) 내의 잔류 분위기를 급속히 배기한다. 또한, 이 경우, 퍼지 가스인 N2 가스를 공급하여 잔류 가스의 배출을 촉진시키도록 해도 좋다. 이에 따라, 처리 용기(22) 내의 압력은 급격히 저하된다(도 2(D) 참조). 이상에 의해, 성막의 1사이클이 종료된다. 상기 사이클을 복수회 반복하여 행하여 필요로 하는 막두께의 박막, 즉 실리콘 산화막을 얻는다.
또한, 전술한 실시 형태에서는, 배기 밸브(80B)의 밸브 개도는, 전개(밸브 개도=100%) 혹은 전폐(밸브 개도=0%)로 한 상태를 포함한다. 그러나, 실제의 배기 밸브(80B)에서는, 밸브 개도가 90% 이상에서 처리 용기(22) 내에 대한 배기 컨덕턴스(conductance)가 전개시와 대략 동일하며 별로 변동하지 않는다. 또한 밸브 개도가 2% 이하에서 처리 용기(22) 내에 대한 배기 컨덕턴스가 전폐시와 대략 동일하며 별로 변동하지 않는다. 따라서, 전술한 실시 형태에 있어서, 배기 밸브(80B)가 「전개」라는 표현은, 「밸브 개도 90∼100%」라는 표현으로 바꿔 말할 수 있다. 또한 배기 밸브(80B)가 「전폐」라는 표현은 「밸브 개도 0∼2%」라는 표현으로 바꿔 말할 수 있다.
또한, 전술한 실시 형태에서는, 도 2(C)에 나타내는 바와 같이 반응 공정(T3)에서는 소정의 기간(t3)만 배기 밸브(80B)를 전개 상태로 설정했다. 도 3A, 도 3B는, 진공 배기계의 배기 밸브의 밸브 개도의 변화에 관하여, 반응 공정의 2개의 변형예를 나타내는 도면이다. 도 3A의 변형예에서는, 소정의 기간(t3)에 있어서 밸브 개도를 100%에서 50%까지 직선적으로 변화시킨다. 도 3B의 변형예에서는, 반응 공정의 전 기간에 걸쳐 밸브 개도를 100%에서 20%까지 직선적으로 변화시킨다. 단, 이들의 밸브 개도의 변화도 단지 일 예를 나타냄에 지나지 않는다.
또한, 밸브 개도의 변화는 직선적이 아니라, 곡선적 혹은 계단과 같이 복수 단계로 스텝 형상으로 저하되도록 변화시켜도 좋다. 중요한 점의 하나는, 반응 공정(T3)의 개시 당초에 있어서 배기 밸브(80B)의 밸브 개도를 크게 설정해 두어, 이 초기에 있어서의 처리 용기(22) 내의 압력 상승을 억제하여 로딩 효과의 발생을 억제하는 것이다. 반응 공정(T3)에 있어서 필요한 경우에는, N2 가스나 희가스 등의 불활성 가스를 반응 가스와 동시에 캐리어 가스로서 공급하도록 해도 좋다.
이상에 기술한 바와 같이, 본 발명의 실시 형태에 의하면, 복수의 피처리체, 예를 들면 반도체 웨이퍼(W)를 수용할 수 있는 처리 용기(22)를 갖는 성막 장치(20)를 이용하여, 흡착 공정과 반응 공정을, 개재 기간(배기 기간)을 개재하여 번갈아 반복하여 피처리체에 박막을 형성한다. 흡착 공정에서는, 진공 배기계(78)의 배기 밸브(80B)를 닫은 상태에서 원료 가스 공급계(54)의 전환 밸브(62B)를 맨 처음의 소정의 기간은 열림 상태로 한 후에 즉시 닫힘 상태로 하여 처리 용기(22) 내로 원료 가스, 예를 들면 실리콘 원료 가스인 3DMAS 가스를 일시적으로 공급하여 피처리체에 흡착시킨다. 반응 공정에서는, 반응 가스 공급계(56)의 전환 밸브(66B)를 열림 상태로 하여 반응 가스를 처리 용기(22) 내로 공급함과 함께, 진공 배기계(78)의 배기 밸브(80B)를 맨 처음은 열림 상태로 하고 그 후는 밸브 개도를 서서히 작게 하도록 하여 반응 가스, 예를 들면 오존을 원료 가스와 반응시킨다. 이에 따라, 막질을 높게 유지하고, 그리고 스루풋도 저하시키는 일 없이 원료 가스의 소비량을 큰 폭으로 억제할 수 있다.
<실험>
전술한 실시 형태에 따른 배치 CVD 방법을 평가하기 위해, 이하의 실험을 행했다. 실시예(PE)로서, 도 1 도시의 장치에 있어서, 도 2에 나타내는 방법에 따라서, 웨이퍼 상에 실리콘 산화막을 형성했다. 실시예(PE)에 있어서, 실리콘 원료 가스로서 3DMAS 가스를 사용하고, 반응 가스로서 오존 가스(산소 중에 10체적%로 오존이 포함되는 혼합 가스)를 사용했다. 프로세스 온도는 550℃, 프로세스 압력(최대치)은 1.2kPa로 설정했다. 흡착 공정(T1)의 시간은 변화시키고, 원료 가스의 전환 밸브(62B)의 열림 상태의 소정의 기간(t1)은 7sec로 고정했다. 한편, 반응 공정(T3)의 시간은 7sec로 고정하고, 진공 배기계의 배기 밸브(80B)의 전개 상태의 소정의 기간(t3)은 2sec로 고정했다. 흡착 공정(T1)의 시간을 파라미터로 하여, 흡착 공정(T1)에 있어서 원료 가스의 전환 밸브(62B)가 닫혀 있는 시간, 즉 홀드 시간(H)(=T1-t1)을 변화시켰다.
또한, 비교예(CE)로서, 도 1 도시의 장치에 있어서, 도 6에 나타내는 방법에 따르고, 3DMAS 가스의 공급량 및 각 밸브의 동작 이외의 조건은 실시예(PE)와 동일한 조건으로 웨이퍼상에 실리콘 산화막을 형성했다. 구체적으로는, 비교예(CE)에서는, 3DMAS 가스의 공급량을 실시예(PE)의 4배로 하고, 흡착 공정의 시간을 30sec로 했다.
도 4는, 이 실험의 결과를 나타내는 그래프이다. 도 4에 있어서, 횡축은 홀드 시간, 종축은 1사이클당의 성막 레이트를 나타낸다. 도 4에 나타내는 바와 같이, 3DMAS 가스를 X그램 공급한 비교예(CE)에서는, 성막 레이트는 0.13nm/cycle 정도였다. 한편, 3DMAS 가스를 감소시켜 X/4그램 공급한 실시예(PE)에서는, 홀드 시간을 길게 함으로써 성막 레이트가 대략 직선적으로 상승했다. 그리고, 홀드 시간이 대략 40sec인 때에, 성막 레이트는 비교예(CE)의 경우와 대략 동일하게 되었다. 즉, 홀드 시간을 40sec 이상으로 설정하면 원료 가스의 공급량을 1/4로 감소시켰음에도 불구하고 비교예(CE)의 경우와 대략 동등한, 혹은 그 이상의 성막 레이트가 얻어지는 것이 판명되었다. 바꿔 말하면, 본 발명의 실시 형태에 따른 방법과 같이 각 밸브의 조작을 행함으로써, 비교예(CE)와 동등한 성막 레이트를 유지함과 함께, 원료 가스의 공급량을 큰 폭으로 삭감할 수 있다.
또한, 전술한 실시예(PE) 및 비교예(CE)에 대해서, 패턴 웨이퍼를 사용하여 그들의 로딩 효과도 평가했다. 패턴 웨이퍼란 웨이퍼(W)의 표면에 회로 패턴의 일부를 형성함으로써 요철을 부여한 것이다. 패턴 웨이퍼에서는, 평탄 웨이퍼에 비해 가스 소비가 많아지기 때문에, 로딩 효과가 현저히 나타나기 쉽다. 이 평가의 결과, 비교예(CE)의 패턴 웨이퍼 상에서의 막두께의 면내 균일성은, ±4.3% 정도였다. 실시예(PE)의 패턴 웨이퍼 상에서의 막두께의 면내 균일성은 ±3.8% 정도였다. 따라서, 본 발명의 실시 형태에 따른 방법에 의해, 패턴 웨이퍼 상에서의 막두께의 면내 균일성을 개선할 수 있는 것도 판명되었다.
<변경예>
상기 실시 형태에서는, 실리콘 원료 가스로서 3DMAS 가스를 이용한다. 대신에, 실리콘 원료 가스는 다른 아미노실란계 유기 가스, 예를 들면, BTBAS(비스터셔리부틸아미노실란), 4DMAS(테트라키스디메틸아미노실란), DIPAS(디이소프로필아미노실란)으로부터 선택할 수 있다.
상기 실시 형태에서는 반응 가스로서 산화성 가스인 오존 가스를 이용한다. 대신에, 반응 가스는 다른 산화성 가스, 예를 들면, O2, N2O, NO로부터 선택할 수 있다. 또한, 일본공개특허공보 2005-175441호에서 개시되는 바와 같이, 133Pa 이하의 저압력하에서 발생되는 산소 활성종과 수산기 활성종을 이용하도록 해도 좋다.
상기 실시 형태에서는, 배치 CVD 방법에 의해 실리콘 산화막이 성막된다. 대신에, 본 발명은 다른 막, 예를 들면 실리콘 질화막(SiN막)이나 실리콘 산질화막(SiON막)을 성막하는 배치 CVD 방법에도 적용할 수 있다. 실리콘 질화막을 성막하는 경우에는, 예를 들면 실리콘 원료 가스로서 DCS(디클로로실란), HCD(헥사클로로디실란), TCS(테트라클로로실란)을 이용할 수 있고, 반응 가스로서 NH3 등을 이용할 수 있다. 또한, 실리콘 산질화막을 성막하는 경우에는, 예를 들면 실리콘 원료 가스로서 아미노실란계 유기 가스를 이용할 수 있고, 반응 가스로서 O3, O2, N2O, NO, NH3 등을 이용할 수 있다.
상기 실시 형태에서는, 배치 CVD 방법은 처리 용기(22)가 이중관 구조인 배치 처리 장치에서 행해진다. 대신에, 본 발명은, 예를 들면, 처리 용기가 단관 구조인 배치 처리 장치에 적용 가능하다.
피처리체로서는 반도체 웨이퍼가 예시되지만, 이 반도체 웨이퍼에는 실리콘 기판이나 GaAs, SiC, GaN 등의 화합물 반도체 기판이 포함된다. 또한, 피처리체로서, 액정 표시 장치에 이용하는 유리 기판이나 세라믹 기판 등에도 본 발명을 적용할 수 있다.

Claims (20)

  1. 배치 CVD(batch chemical vapor deposition) 장치에 의한 반도체 처리용의 배치 CVD 방법으로써,
    상기 장치는,
    복수의 피처리체를 수납하는 세로로 긴 처리 용기와,
    상기 처리 용기 내에서 상기 피처리체를 간격을 두고 겹쳐 쌓아 지지하는 보지구(保持具)와,
    상기 처리 용기 내에 원료 가스를 공급하는 원료 가스 공급계로서, 상기 원료 가스의 공급을 조정하는 원료 가스 밸브를 포함하는, 원료 가스 공급계와,
    상기 처리 용기 내에 반응 가스를 공급하는 반응 가스 공급계로서, 상기 반응 가스의 공급을 조정하는 반응 가스 밸브를 포함하는, 반응 가스 공급계와,
    상기 처리 용기 내를 배기하는 배기계로서, 배기량을 조정하는 배기 밸브를 포함하는, 배기계
    를 구비하고,
    상기 방법은, 이하의 사이클을 복수회 반복하여 각 회마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 제품막을 상기 피처리체 상에 형성하도록 구성되고,
    여기에서 상기 사이클은,
    상기 원료 가스를 상기 피처리체 상에 흡착시키는 흡착 공정으로서, 상기 원료 가스 밸브를 맨 처음의 제1 기간만 열림 상태로 한 후에 닫힘 상태로 함으로써 상기 처리 용기 내로 상기 원료 가스를 공급하고, 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 반응 가스를 공급하지 않으며, 상기 배기 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내를 배기하지 않는, 흡착 공정과,
    다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제1 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제1 개재 공정과,
    다음으로, 상기 반응 가스를 상기 피처리체 상에 흡착하는 상기 원료 가스와 반응시키는 반응 공정으로서, 상기 원료 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스를 공급하지 않고, 상기 반응 가스 밸브를 열림 상태로 하여 상기 처리 용기 내로 상기 반응 가스를 공급하며, 상기 배기 밸브를 소정의 열림 상태로부터 밸브 개도(opening degree)를 서서히 작게 함으로써 상기 처리 용기 내를 배기하는, 반응 공정과,
    다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제2 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 밸브 개도가 상기 반응 공정의 마지막보다도 큰 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제2 개재 공정
    을 구비하는 배치 CVD 방법.
  2. 제1항에 있어서,
    상기 흡착 공정에 있어서, 상기 배기 밸브를 닫힘 상태로 유지하는 것은, 상기 배기 밸브의 밸브 개도를 0∼2%로 함으로써 정의되는 배치 CVD 방법.
  3. 제1항에 있어서,
    상기 제1 및 제2 개재 공정은 상기 처리 용기 내로 불활성 가스를 공급하는 것을 포함하는 배치 CVD 방법.
  4. 제1항에 있어서,
    상기 제1 및 제2 개재 공정은 상기 처리 용기 내로 아무런 가스도 공급하지 않는 배치 CVD 방법.
  5. 제1항에 있어서,
    상기 제1 기간은 상기 흡착 공정의 길이의 1∼50%의 범위 내의 길이를 갖는 배치 CVD 방법.
  6. 제1항에 있어서,
    상기 반응 공정에 있어서, 상기 배기 밸브의 상기 소정의 열림 상태는 상기 배기 밸브를 맨 처음의 제2 기간만 상기 소정의 열림 상태로 유지함으로써 얻어지는 배치 CVD 방법.
  7. 제6항에 있어서,
    상기 제2 기간은 상기 반응 공정의 길이의 1∼50%의 범위 내의 길이를 갖는 배치 CVD 방법.
  8. 제6항에 있어서,
    상기 제2 기간에 있어서의 상기 배기 밸브의 상기 소정의 열림 상태는 상기 제1 개재 공정에서 사용된 것과 동일한 배치 CVD 방법.
  9. 제1항에 있어서,
    상기 반응 공정에 있어서, 상기 배기 밸브를 닫힘 상태로 하지 않는 배치 CVD 방법.
  10. 제9항에 있어서,
    상기 반응 공정에 있어서, 상기 배기 밸브의 밸브 개도의 최대치는 상기 제1 개재 공정과 동일하며, 최소치는 2% 이상인 배치 CVD 방법.
  11. 제1항에 있어서,
    상기 반응 공정에 있어서, 상기 반응 가스 밸브를 닫힘 상태로 하지 않는 배치 CVD 방법.
  12. 제1항에 있어서,
    상기 흡착 공정의 시간은 2∼120sec이며,
    상기 반응 공정의 시간은 2∼120sec이며,
    상기 제1 및 제2 개재 공정의 각 시간은 2∼20sec인 배치 CVD 방법.
  13. 제12항에 있어서,
    상기 제1 기간은, 상기 흡착 공정의 길이의 1∼50%의 범위 내의 길이를 갖고, 그 시간은 2∼60sec인 배치 CVD 방법.
  14. 제12항에 있어서,
    상기 반응 공정에 있어서, 상기 배기 밸브의 상기 소정의 열림 상태는, 상기배기 밸브를 맨 처음의 제2 기간만 상기 소정의 열림 상태로 유지함으로써 얻어지고,
    상기 제2 기간은, 상기 반응 공정의 길이의 1∼50%의 범위 내의 길이를 갖고, 그 시간은 2∼60sec인 배치 CVD 방법.
  15. 제1항에 있어서,
    상기 제품막은, 실리콘 산화막, 실리콘 산질화막, 실리콘 질화막으로 이루어지는 군으로부터 선택되는 하나인 배치 CVD 방법.
  16. 제15항에 있어서,
    상기 원료 가스는, 트리스디메틸아미노실란, 비스터셔리부틸아미노실란, 테트라키스디메틸아미노실란, 디이소프로필아미노실란, 디클로로실란, 헥사클로로디실란, 테트라클로로실란으로 이루어지는 군으로부터 선택되는 실리콘 원료 가스를 포함하는 배치 CVD 방법.
  17. 제15항에 있어서,
    상기 반응 가스는, O3, O2, N2O, NO, NH3로 이루어지는 군으로부터 선택되는 가스를 포함하는 배치 CVD 방법.
  18. 제1항에 있어서,
    상기 제품막은 실리콘 산화막이며,
    상기 원료 가스는, 트리스디메틸아미노실란, 비스터셔리부틸아미노실란, 테트라키스디메틸아미노실란, 디이소프로필아미노실란으로 이루어지는 군으로부터 선택되는 실리콘 원료 가스를 포함하고,
    상기 반응 가스는 O3 및 O2의 혼합 가스를 포함하는 배치 CVD 방법.
  19. 프로세서상에서 실행하기 위한 프로그램 지령을 기억하는, 컴퓨터로 판독 가능한 기억 매체로서, 상기 프로그램 지령은, 상기 프로세서에 의해 실행될 때, 배치 CVD 장치를 제어하여 제1항에 기재된 방법을 실행시키는 컴퓨터로 판독 가능한 기억 매체.
  20. 반도체 처리용의 배치 CVD(chemical vapor deposition) 장치로써,
    복수의 피처리체를 수납하는 세로로 긴 처리 용기와,
    상기 처리 용기 내에서 상기 피처리체를 간격을 두고 겹쳐 쌓아 지지하는 보지구와,
    상기 처리 용기 내에 원료 가스를 공급하는 원료 가스 공급계로서, 상기 원료 가스의 공급을 조정하는 원료 가스 밸브를 포함하는, 원료 가스 공급계와,
    상기 처리 용기 내에 반응 가스를 공급하는 반응 가스 공급계로서, 상기 반응 가스의 공급을 조정하는 반응 가스 밸브를 포함하는, 반응 가스 공급계와,
    상기 처리 용기 내를 배기하는 배기계로서, 배기량을 조정하는 배기 밸브를 포함하는, 배기계와,
    상기 장치의 동작을 제어하는 제어부
    를 구비하고,
    상기 제어부는 배치 CVD 방법을 행하도록 미리 설정되고,
    상기 방법은, 이하의 사이클을 복수회 반복하여 각 회마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 제품막을 상기 피처리체 상에 형성하도록 구성되고,
    여기에서, 상기 사이클은,
    상기 원료 가스를 상기 피처리체 상에 흡착시키는 흡착 공정으로서, 상기 원료 가스 밸브를 맨 처음의 제1 기간만 열림 상태로 한 후에 닫힘 상태로 함으로써 상기 처리 용기 내로 상기 원료 가스를 공급하고, 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 반응 가스를 공급하지 않으며, 상기 배기 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내를 배기하지 않는, 흡착 공정과,
    다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제1 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제1 개재 공정과,
    다음으로, 상기 반응 가스를 상기 피처리체 상에 흡착하는 상기 원료 가스와 반응시키는 반응 공정으로서, 상기 원료 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스를 공급하지 않고, 상기 반응 가스 밸브를 열림 상태로 하여 상기 처리 용기 내로 상기 반응 가스를 공급하며, 상기 배기 밸브를 소정의 열림 상태로부터 밸브 개도를 서서히 작게 함으로써 상기 처리 용기 내를 배기하는, 반응 공정과,
    다음으로, 상기 처리 용기 내로부터 잔류 가스를 제거하는 제2 개재 공정으로서, 상기 원료 가스 밸브 및 상기 반응 가스 밸브를 닫힘 상태로 유지하여 상기 처리 용기 내로 상기 원료 가스 및 상기 반응 가스를 공급하지 않고, 상기 배기 밸브를 밸브 개도가 상기 반응 공정의 마지막보다도 큰 열림 상태로 하여 상기 처리 용기 내를 배기하는, 제2 개재 공정
    을 구비하는 배치 CVD 장치.
KR1020100069986A 2009-07-22 2010-07-20 반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체 KR101312461B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009171557A JP5223804B2 (ja) 2009-07-22 2009-07-22 成膜方法及び成膜装置
JPJP-P-2009-171557 2009-07-22

Publications (2)

Publication Number Publication Date
KR20110009624A true KR20110009624A (ko) 2011-01-28
KR101312461B1 KR101312461B1 (ko) 2013-09-27

Family

ID=43497689

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100069986A KR101312461B1 (ko) 2009-07-22 2010-07-20 반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체

Country Status (5)

Country Link
US (1) US8461059B2 (ko)
JP (1) JP5223804B2 (ko)
KR (1) KR101312461B1 (ko)
CN (1) CN101962756B (ko)
TW (1) TWI516631B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101355538B1 (ko) * 2011-10-21 2014-01-24 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US9718401B2 (en) 2012-11-30 2017-08-01 Tae Won Kim Foldable emergency road sign

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) * 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
RU2465469C2 (ru) * 2011-02-09 2012-10-27 Николай Александрович Волгин Двигатель внутреннего сгорания
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5723243B2 (ja) 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6196833B2 (ja) 2012-09-26 2017-09-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103165497B (zh) * 2013-02-20 2015-09-30 上海华力微电子有限公司 一种氧化反应炉及利用该反应炉进行氧化反应的方法
JP6346022B2 (ja) * 2013-07-31 2018-06-20 京セラ株式会社 薄膜形成方法および太陽電池素子の製造方法
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852151B2 (ja) 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5855691B2 (ja) 2014-02-25 2016-02-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6501560B2 (ja) * 2015-03-06 2019-04-17 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7012563B2 (ja) * 2018-03-05 2022-01-28 東京エレクトロン株式会社 成膜方法および成膜装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7254620B2 (ja) * 2018-06-26 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、部品の管理方法、基板処理装置及び基板処理プログラム
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
CN112420486A (zh) * 2019-08-22 2021-02-26 长鑫存储技术有限公司 半导体薄膜的形成方法
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4236707B2 (ja) 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP2004288916A (ja) * 2003-03-24 2004-10-14 Renesas Technology Corp Cvd装置
JP4238812B2 (ja) 2003-11-20 2009-03-18 東京エレクトロン株式会社 被処理体の酸化装置
JP2006245089A (ja) * 2005-03-01 2006-09-14 Mitsui Eng & Shipbuild Co Ltd 薄膜形成方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652420B1 (ko) * 2005-03-23 2006-12-01 삼성전자주식회사 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
US7490254B2 (en) * 2005-08-02 2009-02-10 Advanced Micro Devices, Inc. Increasing workload performance of one or more cores on multiple core processors
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
JP4863296B2 (ja) * 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20090035951A1 (en) * 2007-07-20 2009-02-05 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101355538B1 (ko) * 2011-10-21 2014-01-24 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8846546B2 (en) 2011-10-21 2014-09-30 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus and recording medium
US9718401B2 (en) 2012-11-30 2017-08-01 Tae Won Kim Foldable emergency road sign

Also Published As

Publication number Publication date
CN101962756B (zh) 2015-04-29
US8461059B2 (en) 2013-06-11
CN101962756A (zh) 2011-02-02
US20110021033A1 (en) 2011-01-27
TW201120235A (en) 2011-06-16
TWI516631B (zh) 2016-01-11
JP2011029284A (ja) 2011-02-10
JP5223804B2 (ja) 2013-06-26
KR101312461B1 (ko) 2013-09-27

Similar Documents

Publication Publication Date Title
KR101312461B1 (ko) 반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체
US8431494B2 (en) Film formation method and film formation apparatus
KR101503725B1 (ko) 성막 방법 및 성막 장치
KR100861851B1 (ko) 실리콘 산화막 형성 방법 및 장치
US7507676B2 (en) Film formation method and apparatus for semiconductor process
KR101434345B1 (ko) 성막 방법 및 성막 장치
KR101247828B1 (ko) 반도체 처리용 성막 방법 및 성막 장치와, 컴퓨터로 판독 가능한 매체
JP5207962B2 (ja) ルテニウム膜の成膜方法
KR101131645B1 (ko) 반도체 처리용의 성막 방법 및 장치
US20150031216A1 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP4694209B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2017005016A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20060046767A (ko) 실리콘 질화막의 형성 방법 및 형성 장치
JP2019140146A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN102345111B (zh) 成膜方法和成膜装置
WO2018193538A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP5457287B2 (ja) 基板処理装置、基板処理方法及び半導体デバイスの製造方法
JP7079340B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
WO2020184342A1 (ja) 基板処理方法及び基板処理装置
US12018370B2 (en) Film-forming method and film-forming apparatus
JP5204809B2 (ja) 基板処理装置、基板処理方法及び半導体デバイスの製造方法
JP6345136B2 (ja) 炭素含有シリコン窒化物膜の成膜方法および成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170822

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 6