JP4669679B2 - 窒化珪素膜の製造方法及び半導体装置の製造方法 - Google Patents

窒化珪素膜の製造方法及び半導体装置の製造方法 Download PDF

Info

Publication number
JP4669679B2
JP4669679B2 JP2004221490A JP2004221490A JP4669679B2 JP 4669679 B2 JP4669679 B2 JP 4669679B2 JP 2004221490 A JP2004221490 A JP 2004221490A JP 2004221490 A JP2004221490 A JP 2004221490A JP 4669679 B2 JP4669679 B2 JP 4669679B2
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride film
film
manufacturing
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2004221490A
Other languages
English (en)
Other versions
JP2006041337A (ja
Inventor
岳志 星
豪 斎藤
寿 加藤
康一 織戸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004221490A priority Critical patent/JP4669679B2/ja
Priority to TW093139428A priority patent/TWI345812B/zh
Priority to KR1020040108818A priority patent/KR100936685B1/ko
Priority to US11/038,165 priority patent/US20060022228A1/en
Publication of JP2006041337A publication Critical patent/JP2006041337A/ja
Priority to US12/167,025 priority patent/US20080274605A1/en
Application granted granted Critical
Publication of JP4669679B2 publication Critical patent/JP4669679B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、窒化珪素膜の製造方法及び半導体装置の製造方法に関し、特に、LP−CVD(Low PressureーChemical Vapor Deposition)法による窒化珪素膜の製造方法及びこの方法を備えた半導体装置の製造方法に関する。
半導体装置のゲート電極のサイドウォールやライナー膜などを形成する目的で、LP−CVD法により窒化珪素膜が成膜される。ところが、この場合に、原料としてSiHCl,SiCl,SiCl等のシリコン原料とNHとを用いると、シリコン原料に含まれる塩素およびNHに含まれる水素が、成膜された膜中に不純物として残留する。この現象は、低温、例えば600℃以下での成膜では特に顕著となり、窒化膜の密度の低下やウェットエッチ耐性の低下などの問題が起こる。
これに対して、Si/N比を一定に維持しつつ不純物含有量を少なくすること等を目的として、SiClおよびNHを用いた原子層蒸着(atomic layer deposition:ALD)による窒化珪素膜形成手法が提案されている。
図15は、本発明者が本発明に至る過程で検討した窒化珪素膜の形成手法を表すフローチャートである。
すなわち、この方法の場合、第1の工程110として、反応室内のシリコンウェーハ上に、SiHCl,SiCl等の塩素を含むシリコン原料のガスを導入する。
次に、第2の工程120として、窒素ガスを導入し反応室内の未反応ガスを置換する。 次に、第3の工程130として、活性化した窒素原料のガスを反応室内に導入する。
次に、第4の工程140として、窒素ガスを導入し反応室内の未反応ガスを置換する。
この手法により、通常のLPCVDによる窒化珪素膜と比較して塩素不純物量の少ない膜を形成することが可能である(例えば、特許文献1参照)。
特開2002−343793号公報
しかし、半導体装置のゲート電極のサイドウォールやライナー膜として窒化膜を使用する場合、低サーマルバジェット実現のためには、成膜温度が500℃以下、例えば成膜温度450℃で、膜質が良好でありかつ被覆率の高い窒化膜の成膜方法が必要である。これに対して、これまでの成膜方法によると、成膜温度の低下とともに膜中の不純物量が増加してしまい、ウェットエッチ耐性などの点で膜質が劣化する問題がある。
例えば、ダマシンゲートプロセスによりメタルゲート電極を有する半導体装置を作成する場合、ライナー膜を窒化珪素膜により形成した後、HF溶液による洗浄工程が必要となる。従来技術にて成膜温度500℃以下にて成膜した窒化膜では、HF溶液によるエッチング量が大きいため、目的とする構造の形成が難しい。
本発明は、かかる課題の認識に基づいてなされたものであり、その目的は、窒化珪素膜の塩素不純物量の低減を実現し、ウェットエッチ耐性の高い窒化珪素膜の形成を可能とした窒化珪素膜の製造方法及びこの製造方法を備えた半導体装置の製造方法を提供することにある。
上記目的を達成するために、本発明の一態様によれば、基体の表面に窒化珪素膜を形成する窒化珪素膜の製造方法であって、珪素と塩素とを含む第1のガスを前記基体の表面に供給し、前記第1のガスに含まれる前記珪素と前記塩素とを含む第1の被膜を前記基体上に形成する第1の工程と、窒素を含む第2のガスを前記第1の被膜の表面に供給し、前記第1の被膜に含まれる前記珪素と前記塩素と、前記第2のガスに含まれる前記窒素と、を含む第2の被膜を前記基体上に形成する第2の工程と、活性化した水素を含む第3のガスを前記第2の被膜の表面に供給し、前記第2の被膜から前記塩素を取り除き、第3の被膜を形成する第3の工程と、をこの順に繰り返すことを特徴とする窒化珪素膜の製造方法が提供される。
ここで、前記水素は、活性化してなることを特徴とする。
また、前記水素は、原子状及びラジカルの少なくともいずれかに活性化してなることを特徴とする。
また、前記窒素は、活性化してなることを特徴とする。
またここで、前記活性化は、プラズマにより生じてなることを特徴とする。
または、前記活性化は、触媒及び紫外線の少なくともいずれかにより生じてなることを特徴とする。
また、前記第1の工程と前記第2の工程との間に、前記基体の表面から前記第1のガスを除去する工程を実施し、
前記第2の工程と前記第3の工程との間に、前記基体の表面から前記第2のガスを除去する工程を実施することを特徴とする。
一方、本発明の他の一態様によれば、シリコン基板と、前記シリコン基板の上に設けられた窒化珪素膜と、を有する半導体装置の製造方法であって、
前記窒化珪素膜を上記のいずれかの窒化珪素膜の製造方法により製造することを特徴とする半導体装置の製造方法が提供される
または、本発明のさらに他の一態様によれば、半導体層と、前記半導体層の主面上に選択的に設けられたゲート絶縁膜と、前記ゲート絶縁膜の上に設けられたゲート電極と、を有する基体を形成する工程と、
前記基体の上に、上記のいずれかの窒化珪素膜の製造方法により窒化珪素膜を製造する工程と、
前記半導体層の前記主面に対して略垂直方向に前記窒化珪素膜をエッチングすることにより、前記半導体層及び前記ゲート電極の上の前記窒化珪素膜を除去し、前記ゲート絶縁膜及びゲート電極の側面に前記窒化珪素膜からなる側壁を残留させる工程と、
を備えたことを特徴とする半導体装置の製造方法が提供される。
また、本発明のさらに他の一態様によれば、半導体層の上に、上記のいずれかの窒化珪素膜の製造方法により窒化珪素膜を製造する工程と、
前記窒化珪素膜の上に層間絶縁層を形成する工程と、
前記層間絶縁層の上に開口を有する層を形成する工程と、
前記窒化珪素膜に対するエッチング速度よりも前記層間絶縁層に対するエッチング速度のほうが大なる条件で、前記開口を介して前記層間絶縁層をエッチングする工程と、
を備えたことを特徴とする半導体装置の製造方法が提供される。
本発明によれば、窒化珪素膜の塩素不純物量の低減を実現し、ウェットエッチ耐性を向上できる。すなわち、本発明によれば、サーマルバジェットが低く、Si/N比が一定で、不純物量の少ない窒化珪素膜が得られ、従来技術よりも更に塩素不純物量を減少させることにより、ウェットエッチ耐性などの膜質を向上できる。
例えば、ダマシンゲートプロセスによりメタルゲート電極を有する半導体装置を作成する場合、ライナー膜を窒化膜にて形成した後、HF溶液による洗浄工程が必要となる。従来技術にて成膜温度500℃以下にて成膜した窒化膜ではHF溶液によるエッチング量が大きいため、目的とする構造の形成が難しい。これに対して、本発明によればHF溶液によるエッチング量の小さい良質な窒化膜を形成することが可能なため、製造プロセス上の問題を回避し、かつ電気的特性を向上させることができる。
すなわち、本発明によれば、窒化珪素膜の塩素不純物量の低減を実現し、ウェットエッチ耐性を向上することが可能となり、産業上のメリットは多大である。
以下、図面を参照しつつ本発明の実施の形態について説明する。
図1は、本発明の実施の形態にかかる窒化珪素膜の製造方法を表すフローチャートである。すなわち、本具体例は、LPCVD法により窒化珪素膜を成膜する方法を例示する。
まず、第1の工程11として、反応室内に配置されたシリコンウェーハなどの基体上に、珪素と塩素とを含む原料ガスを導入する。このような原料ガスとしては、例えば、SiHCl,SiCl等を挙げることができる。以降、これらの原料ガスを「第1のガス」とする。
次に、第2の工程12として、窒素ガスを導入し反応室内の未反応ガスを置換する。
次に、第3の工程13として、窒素を含む原料ガスを反応室内に導入する。以後、窒素を含む原料ガスを「第2のガス」とする。
次に、第4の工程14として、窒素ガスを導入し反応室内の未反応ガスを置換する。
次に、第5の工程15として、活性化した水素を含む原料ガスを反応室内に導入する。以後、活性化した水素を含む原料ガスを「第3のガス」とする。
最後に、第6の工程16として、窒素ガスを導入し反応室内の未反応ガスを置換する。
以上説明した第1〜第6の工程を一周期とし、この周期を所望の膜厚に達するまで繰り返すことによって、塩素濃度の低い窒化珪素膜を形成する。一周期は、例えば約30秒間程度とすることができる。
図2は、本発明の実施の形態にかかる半導体装置の製造方法を説明する工程断面図である。
図2(a)は、図1に関して前述した第1の工程11におけるシリコンウェーハの断面構造を例示する模式図である。すなわち、第1のガス(SiHCl,SiCl等の塩素を含むシリコン原料のガス)を反応室に導入することによって、シリコンウェーハ21上に、シリコンと塩素25とを含む層22が形成される。
図2(b)は、図1に関して前述した第3の工程13におけるシリコンウェーハの断面構造を例示する模式図である。すなわち、第2のガス(窒素を含む原料ガス)を反応室に導入することによりシリコンと窒素とが結合し、塩素25を含む窒化珪素薄膜23が形成される。なおここで、シリコンと窒素との結合を促進するために、窒素をラジカルあるいは原子状などの活性化した状態にして供給してもよい。
図2(c)は、図1に関して前述した第5の工程15におけるシリコンウェーハの断面構造を例示する模式図である。第3のガス(活性化した水素を含む原料ガス)を反応室内に導入することにより、塩素25の含有量が低減された窒化珪素薄膜23が形成される。すなわち、活性化した水素原料のガスを導入することにより、活性化した水素26と残留した塩素25とが反応化合物を形成し、膜から取り除かれる。その結果、窒化珪素薄膜23内の含有塩素量が低減される。
なお、図2には、説明の便宜上、平坦なシリコンウェーハ21の上に窒化珪素膜を形成する場合を例示したが、シリコンウェーハ21の表面にはトランジスタなどの構造が形成されていてもよく、またシリコンウェーハの代わりにSOI(semiconductor on insulator)基板などの各種の基板を用いることができる。
図3は、本発明の実施の形態にかかる窒化珪素膜の製造方法に用いることができる反応室を例示する模式図である。すなわち、同図は、LPCVD装置の反応室を例示する。
反応室31内において、ウェーハステージ36上にシリコンウェーハ35が載置可能とされている。反応室31の側壁には、第1のガス(SiHCl,SiClなどのシリコンと塩素とを含む原料ガス)を導入するためのインジェクター32と、第2のガス(NHなどの窒素を含む原料ガス)を導入するためのインジェクター33と、第3のガス(活性化した水素原料のガス)を導入するためのインジェクター34と、真空ポンプに接続されている排気口37とが設けられている。
活性化した水素は、例えば、リモートプラズマ発生装置としてRFジェネレータにて13.56MHz(メガヘルツ)の高周波を800W(ワット)印加することにより生成できる。または、水素を触媒に接触させたり、紫外線を照射することによっても活性化できる。触媒としては、例えば、タングステン、白金、パラジウム、モリブデン、タンタル、チタン、チタン酸化物、バナジウム、シリコン、アルミナ、炭化珪素、金属蒸着セラミックスなどを挙げることができる。また、光触媒の原理を利用して水素を活性化させてもよい。
紫外線により水素を活性化させる場合、紫外線の波長は概ね400ナノメータ以下とすると効率的である。
このようにして水素を活性化した後、反応室31に導入する。
また、窒素を含む第2のガスとしては、例えば、NHを用いることができる。また、第2のガスとして、活性化した窒素を含むガスを導入してもよい。この場合もプラズマを利用して窒素を活性化できる。
成膜条件としては、例えば温度450℃、圧力130Pa(パスカル)、SiCl流量10cc、NH流量1000cc、H流量1000ccにて実施することができる。
活性化した水素原料のガスとしては、水素ラジカルや原子状水素などを含むガスを挙げることができる。例えば、プラズマや触媒あるいは紫外線照射などより水素分子を分解させると、不対電子を持つ水素原子が得られる。この水素原子は反応性が高く、活性である。
また、窒素を含む第2のガスとしては、NH以外にも、アミン系のガスなどを用いることができ、例えばヒドラジンを用いることができる。
本実施形態によれば、上記した工程を経ることにより、塩素含有量の低い窒化珪素膜を低温で成膜できる。低温で成膜させることにより、製造工程途中の半導体装置に余分な熱を加えることなく、窒化膜の膜質を改善し、半導体装置の信頼性を向上する効果が得られる。
図4は、窒化珪素膜中の塩素濃度を全反射蛍光X線法により測定した結果を表すグラフ図である。
すなわち、SiClおよびNHの2種類のガスを同時に導入して成膜した第1の比較例の窒化珪素膜41と、第1のガス:SiCl、第2のガス:活性化したNHを交互に導入し、これを繰り返すことにより成膜した第2の比較例の窒化珪素膜42と、本発明による第1のガス:SiCl、第2のガス:活性化したNHに続いて、第3のガス:活性化した水素を導入し、これを繰り返すことにより成膜した窒化珪素膜43の3種の膜について比較した。
全反射蛍光X線法により測定した塩素濃度は、通常のLPCVDにて成膜した第1比較例の窒化珪素膜41で1.40×1014(cm−2)、第2比較例の窒化珪素膜42で8.60×1013(cm−2)であったのに対し、本発明の方法で成膜した窒化珪素膜43では4.79×1013(cm−2)であった。つまり、第1比較例の窒化珪素膜41と比較して65%減少、第2比較例の窒化珪素膜42と比較して45%ほど、残留塩素量を減少させることが可能であることが明らかとなった。
図5は、HF溶液に対するエッチング量の評価を行った結果を示すグラフ図である。DHF(希フッ酸)0.5%溶液に対するウェットエッチレート(対SiO比)は、通常のLPCVDにて成膜した第1比較例の窒化珪素膜41で19.7、第2比較例の窒化珪素膜42で8.5であったのに対し、本発明の方法で成膜した窒化珪素膜43では4.7であった。すなわち、第1比較例の窒化珪素膜41と比較して約4.2倍、第2比較例の窒化珪素膜42と比較して約1.8倍、ウェットエッチ耐性を向上させることが可能となった。
次に、本発明の窒化珪素膜の製造方法を備えた半導体装置の製造方法について説明する。
図6は、本発明の実施の形態にかかる半導体装置の製造方法を例示する工程断面図である。すなわち、本具体例は、ゲート側壁の形成工程を表す。
まず、図6(a)に表したように、シリコン基板61の上に、ゲート絶縁膜62を介してゲート電極63を形成する。
次に、図6(b)に表したように、これらの上に、窒化珪素膜64を形成する。この時に図1乃至図3に関して前述したような本発明の方法により形成する。
次に、図6(c)に表したように、窒化珪素膜64をドライエッチングによって加工してサイドウォール71を形成する。すなわち、RIE(reactive ion etching)などの異方性の高いエッチング方法によりシリコン基板61の主面に対して略垂直方向からエッチングすると、ゲート絶縁膜62及びゲート電極73の側面のみに窒化珪素膜が残り、サイドウォール71として形成される。このサイドウォール71は、本発明の実施の形態にかかる製造方法によって成膜されているので、膜中の塩素濃度は低減されている。
図7は、前述した比較例1あるいは比較例2の窒化珪素膜を設けた半導体装置の断面構造を例示する模式図である。すなわち、シリコン基板61の上に、ゲート絶縁膜83を介してゲート電極84が設けられていて、ゲート電極84の側面をサイドウォール81が覆っている。このサイドウォール81は、比較例の方法によりSiClとNHを用いて成膜したので、膜中の塩素82の濃度が高い。
本発明におけるサイドウォール71と比較して、比較例のサイドウォール81は、膜中の塩素82の濃度が高く、例えば、ゲート絶縁膜83やゲート電極84に対して塩素が拡散することにより、半導体装置の信頼性を低下させる懸念がある。これに対して、本発明におけるサイドウォール71では、残留する塩素の含有量を低減していることにより、例えばゲート絶縁膜72やゲート電極73に対する不純物拡散量を抑制することができるため、半導体装置の信頼性を向上する効果が得られる。
本発明は、半導体装置のサイドウォールのみならず、その他、例えば、窒化珪素膜からなるゲート絶縁膜やライナー膜(エッチングストッパ膜)の形成に用いても、含有塩素濃度を低減させた良質の膜を低温で形成できる点で有利な効果が得られる。
図8は、本発明により製造される半導体装置の要部断面構造を例示する模式図である。 すなわち、同図は、半導体集積回路を構成するMOSFET(Metal Oxide Semiconductor Field Effect Transister)の要部断面構造を表す。
シリコン基板の表面部分が素子分離領域101により絶縁分離され、これら分離されたウエル102のそれぞれにMOSFETが形成されている。それぞれのMOSFETは、ソース領域107、ドレイン領域108と、これらの間に設けられたチャネル103と、を有する。チャネル103の上には、ゲート絶縁膜104を介してゲート電極106が設けられている。ソース・ドレイン領域107、108とチャネル103との間には、いわゆる「ショートチャネル効果」などを防ぐ目的で、LDD(lightly doped drain)領域103Dが設けられている。そして、これらLDD領域103Dの上には、ゲート電極106に隣接してゲート側壁105が設けられている。ゲート側壁105は、LDD領域103Dをセルフアライン(自己整合)的に形成するために設けられている。
また、ソース・ドレイン領域107、108とゲート電極106の上には、電極とのコンタクトを改善するためにシリサイド層119が設けられている。これら構造体の上は、第1の層間絶縁膜110と第2の層間絶縁膜111と第3の層間絶縁膜112により覆われ、これらを貫通するコンタクトホールを介して、ソースコンタクト113S、ゲートコンタクト113G、ドレインコンタクト113Dが形成されている。ここで、第1の層間絶縁膜110と第3の層間絶縁膜112は、例えば、窒化珪素により形成され、第2の層間絶縁膜111は、例えば、酸化シリコンにより形成することができる。
さらに、この上に、第4の層間絶縁膜114と第5の層間絶縁膜115が形成されている。そして、これらを貫通するトレンチにソース配線116S、ゲート配線116G、ドレイン配線116Dがそれぞれ埋め込み形成されている。ここで、第4の層間絶縁膜114は酸化シリコンにより形成され、第5の層間絶縁膜115は、窒化珪素により形成することができる。
以上説明したような半導体装置を製造するに際し、本発明によれば、ゲート側壁105のみならず、ゲート絶縁膜104、第1の層間絶縁膜110、第3の層間絶縁膜112、第5の層間絶縁膜115などを構成する窒化珪素膜を、図1乃至図3に関して前述した本発明により形成できる。
図9乃至図13は、本発明の実施の形態にかかる半導体装置の製造方法を表す工程断面図である。
まず、図9(a)に表したように、MOSトランジスタの要部を形成する。すなわち、Si基板上に素子分離領域101、ウェル102、チャネル103、ゲート絶縁膜104、ゲート電極106、LDD注入サイドウォール(ゲート側壁)105を順次形成し、ソース領域107、ドレイン領域108の形成を行う。さらに、ニッケル(Ni)のスパッタ、RTP(rapid thermalprocessing)を順次行い、ニッケルシリサイドからなるシリサイド層119を形成する。
ここで、ゲート絶縁膜104を形成する工程において、図1及び図2に関して前述した方法により窒化珪素膜を形成することができる。また、この時、ゲート絶縁膜104は、単一の窒化珪素膜には限定されず、例えば、酸化珪素やhigh-k(高誘電率)材料からなる膜と、窒化珪素膜と、の積層構造とすることができる。この場合には、窒化珪素膜について図1及び図2に関して前述した方法を実施することができる。
また、ゲート側壁105を形成する工程についても、図6に関して前述したように、本発明の窒化珪素膜の製造方法を用いることができる。
次に、図9(b)に表したように、第1の層間絶縁膜110及び第2の層間絶縁膜111を形成する。ここで、第1の層間絶縁膜110として、図1乃至図3に関して前述したように本発明の製造方法により厚みが50nm程度の窒化珪素膜を形成する。この時、ニッケルシリサイドからなる下地のシリサイド層119のコンタクト抵抗の上昇を防ぐためには、窒化珪素膜の成膜時の温度を500℃以下に抑えることが望ましい。これに対して、本発明によれば、例えば450℃程度の低温でも膜質が良好で、且つ、塩素の含有量の少ない窒化珪素膜を形成できる。
このように第1の層間絶縁膜110として窒化珪素膜を形成した後に、第2の層間絶縁膜111として、TEOS(tetra ethoxy silane)ガスを用い、プラズマCVDにより600℃において厚みが600nmのシリコン酸化膜を形成する。
また、第2の層間絶縁膜111の材料として、さらに誘電率の低い材料を用いることができる。そのような材料としては、メチル基を有するシリコン酸化物や、水素基を有するシリコン酸化物、有機ポリマーなど用いることができる。さらに具体的には、例えば、多孔質のメチルシルセスキオキサン(methyl silsequioxane:MSQ)などの各種のシルセスキオキサン化合物、ポリイミド、炭化フッ素(fluorocarbon)、パリレン(parylene)、ベンゾシクロブテンなどを挙げることができる。また、その形成方法としては、例えば、溶液をスピンコートし熱処理して薄膜を形成するスピン・オン・グラス(spin on glass:SOG)法を用いることができる。
このように第2の層間絶縁膜111を形成した後に、図9(c)に表したように、この上に第3の層間絶縁膜112として、窒化珪素膜を形成する。この時にも、本発明の製造方法により、例えば成膜温度を450℃にして厚み120nm程度の窒化珪素膜を形成することができる。成膜温度を低く抑えることにより、シリサイド層119を構成するニッケルシリサイドの変質を防ぐことができる。
その後、レジストを塗布してパターニングすることにより、レジストパターン120を形成する。レジストパターン120は、例えば、ArF露光機を用いて120nm径に露光することにより形成する。
次に、図10(a)に表したように、レジストパターン120をマスクとして第3の層間絶縁膜112のエッチングを行う。エッチング方法としては、例えば、ICP(induction coupled prasma)型反応性イオンエッチング装置を用いることができる。第3の層間絶縁膜112のエッチングに際しては、例えば、CH:50sccm O:50sccm の混合ガスを用いて6.7パスカル(Pa)にてエッチングすることにより、層間絶縁膜112に開口部121を形成することができる。
次に、図10(b)に表したように、酸素プラズマによるアッシングを実施してレジストマスク120を除去する。
その後、図10(c)に表したように、第2の層間絶縁膜111に接続孔(コンタクトホール)を形成する。第2の層間絶縁膜111の接続孔形成を行う際には、C:50sccm、CO:50sccm、O:50sccmおよびAr:200sccmの混合ガスを用いて6.7パスカルにて反応性イオンエッチングを行う。このようにして、第2の層間絶縁膜111の接続孔122を形成する。
この時に、シリコン窒化膜からなる第3の層間絶縁膜112をエッチングマスクして用いることにより、安定したエッチングができる。すなわち、第2の層間絶縁膜111を構成するシリコン酸化膜と、第3の層間絶縁膜112を構成するシリコン窒化膜とでは、エッチング速度を異ならせることにより、大きなエッチング選択比を得ることが容易である。従って、第3の層間絶縁膜112により確実にマスクされた状態を維持しつつ、第2の層間絶縁膜111をエッチングできる。つまり、マスクの劣化によるエッチング開口サイズの変動などの問題を解消して、所望の開口を安定的に形成することができる。
一方、第1の層間絶縁膜110は、第3の層間絶縁膜112と同一のシリコン窒化膜により形成されているので、エッチングストッパとして確実に作用する。つまり、オーバーエッチングやアンダーエッチングなどによる問題を解消することもできる。
次に、図11(a)に表したように、第1の層間絶縁膜110に接続孔を形成する。第1の層間絶縁膜110と第3の層間絶縁膜112を同質の材料により形成した場合には、このエッチング工程において、第3の層間絶縁膜112もエッチングされる。そこで、第3の層間絶縁膜112を第1の層間絶縁膜110よりも厚く形成しておくことが必要である。エッチング条件としては、反応性イオンエッチング法により、CH:50sccm O:50sccmおよびAr:200sccmの混合ガスを用いて6.7パスカルにてエッチング行うことができる。
次に、図11(b)に表したように、コンタクト金属113を堆積する。
そして、化学機械研磨法(chemical mechanical polishing:CMP)により研磨して表面を平坦化させて、図11(c)に表したようにコンタクト金属を埋め込んだ構造を形成できる。なお、この際にも、第3の層間絶縁膜112を設けることにより、CMPによる研磨に対して、第2の層間絶縁膜111を保護することができる。つまり、多孔質の酸化シリコンなどの比較的柔らかい材料により形成された第2の層間絶縁膜111の上に、窒化珪素などの比較的堅い材料からなる第3の層間絶縁膜112を設けることによりCMPの研磨の際に、第2の層間絶縁膜111が研磨されて膜厚が薄くなることを防ぐことができる。その結果として、配線間容量の増大や電流リークなどの問題を抑制できる。
次に、図12(a)に表したように、第4の層間絶縁膜114として、例えば、MSQなどの原料を用いて多孔質の酸化シリコンなどを堆積する。そして、さらに、図12(b)に表したように、第5の層間絶縁膜115として、例えば窒化珪素膜を堆積する。この時も、図1乃至図3に関して前述したように本発明の製造方法を用いることができる。
次に、図13(a)に表したように、レジストパターン123を形成する。
そして、図13(b)に表したように、第5の層間絶縁膜115と第4の層間絶縁膜114をそれぞれエッチングすることにより、トレンチ124を形成する。第5の層間絶縁膜115のエッチングに際しては、例えば、CH:50sccm O:50sccm の混合ガスを用いて6.7パスカル(Pa)にてエッチングすることにより、層間絶縁膜115に開口部を形成することができる。第4の層間絶縁膜114にトレンチを形成する際には、C:50sccm、CO:50sccm、O:50sccmおよびAr:200sccmの混合ガスを用いて6.7パスカルにて反応性イオンエッチング行うことができる。この際に、第5の層間絶縁膜115をハードマスクとして用い、同時に、第3の層間絶縁膜112をエッチングストッパとして用いることができる。すなわち、酸化シリコンにより形成された第4の層間絶縁膜114をエッチングする際に、窒化珪素により形成された第5の層間絶縁膜115をハードマスクとして用い、同じく窒化珪素により形成された第3の層間絶縁膜112をエッチングストッパとして用いることにより、オーバーエッチングなどを抑制してトレンチを精密に形成できる。
この後、配線用の金属を堆積し、CMPによって研磨して平滑化することにより、図8に表したように、トレンチにソース配線116S、ゲート配線116G、ドレイン配線116Dがそれぞれ埋め込まれた層間配線構造を形成できる。
以上説明したように、本実施形態によれば、エッチングストッパやハードマスクなどとして作用する層間絶縁膜110、112、115などを構成する窒化珪素膜を低温で形成することができ、シリサイド層119の変質を防ぐことができる。しかも、これら層間絶縁膜を構成する窒化珪素膜は、残留塩素の濃度が低く、半導体装置の信頼性の点でも優れる。
図14は、本発明の窒化珪素膜の製造方法の変型例を表すフローチャートである。
すなわち、本変型例の場合、工程11において第1のガスを導入し、工程12において窒素ガスによるパージを実施した後に、工程17において第3のガスとして活性化水素を導入する。すると、基板上に形成されたシリコン層に含有される塩素が活性化水素と反応しシリコン層から取り除かれる。
しかる後に、工程18において窒素ガスによりパージし、その後、工程13において第2逃すとしてアンモニアなどの窒素を含む原料ガスを導入する。この後は、図1に表した工程と同様に実施する。
本変型例によれば、第1のガスを導入してシリコン層を形成した後に、第3のガスとして活性化水素を導入(工程17)してシリコン層に含有される塩素を引き抜く。またさらに、第2のガスを導入して窒化珪素膜を形成した後に、活性化水素を導入(工程15)して窒化珪素層に含有される塩素を引き抜く。このように、シリコン層の状態と、窒化珪素層の状態のそれぞれにおいて活性化水素により残留塩素を引き抜くことにより、膜中の塩素の濃度をさらに低くすることができる。
以上、具体例を参照しつつ本発明の実施の形態について説明した。
しかし、本発明は、これらの具体例に限定されるものではない。例えば、本発明の製造方法を使用して製造した半導体装置を構成する要素について当業者が設計変更を加えたものであっても、本発明の要旨を備えたものであれば、本発明の範囲に包含される。
本発明の実施の形態にかかるLPCVD法による低温窒化成膜のフローチャートである。 本発明の実施の形態にかかるLPCVD法による低温窒化成膜の、シリコンウェーハの工程断面構造を例示する模式図である。 本発明の実施の形態にかかるLPCVD法による低温窒化成膜を行う際に使用する反応室を例示する模式図である。 窒化珪素膜中の塩素濃度の、全反射蛍光X線測定結果を示すグラフ図である。 HF溶液に対するエッチング量の評価を行った結果を示すグラフ図である。 本発明の実施の形態にかかる半導体装置の製造方法を例示する模式図である。 比較例の製造方法によって作成した半導体装置の断面構造を例示する模式図である。 本発明により製造される半導体装置の要部断面構造を例示する模式図である。 本発明の実施の形態にかかる半導体装置の製造方法を表す工程断面図である。 本発明の実施の形態にかかる半導体装置の製造方法を表す工程断面図である。 本発明の実施の形態にかかる半導体装置の製造方法を表す工程断面図である。 本発明の実施の形態にかかる半導体装置の製造方法を表す工程断面図である。 本発明の実施の形態にかかる半導体装置の製造方法を表す工程断面図である。 本発明の窒化珪素膜の製造方法の変型例を表すフローチャートである。 本発明者が本発明に至る過程で検討した窒化珪素膜の形成手法を示すフローチャートである。
符号の説明
11 第1の工程
12 第2の工程
13 第3の工程
14 第4の工程
15 第5の工程
16 第6の工程
21 シリコンウェーハ
22 シリコンと塩素とを含む層
23 窒化珪素薄膜
25 塩素
26 水素
31 反応室
32 第1のガスを供給するインジェクター
33 第2のガスを供給するインジェクター
34 第3のガスを供給するインジェクター
35 シリコンウェーハ
36 ウェーハステージ
37 排気口
41 通常のLPCVDによって形成した窒化珪素膜
42 従来技術によって形成した窒化珪素膜
43 本発明の製造方法によって形成した窒化珪素膜
61 シリコン基板
62 ゲート絶縁膜
63 ゲート電極
64 窒化珪素膜
71 サイドウォール
72 ゲート絶縁膜
73 ゲート電極
81 サイドウォール
82 塩素
83 ゲート絶縁膜
84 ゲート電極
101 素子分離領域
102 ウェル
103 チャネル
104 ゲート絶縁膜
105 ゲート側壁
106 ゲート電極
107 ソース領域
108 ドレイン領域
110〜112 層間絶縁膜
113D ドレインコンタクト
113G ゲートコンタクト
113S ソースコンタクト
114、115 層間絶縁膜
116D ドレイン配線
116G ゲート配線
116S ソース配線
119 シリサイド層
120 レジストパターン
120 レジストマスク
121 開口部
122 接続孔
123 レジストパターン
124 トレンチ
210 第1の工程
220 第2の工程
230 第3の工程
240 第4の工程

Claims (9)

  1. 基体の表面に窒化珪素膜を形成する窒化珪素膜の製造方法であって、
    珪素と塩素とを含む第1のガスを前記基体の表面に供給し、前記第1のガスに含まれる前記珪素と前記塩素とを含む第1の被膜を前記基体上に形成する第1の工程と、
    窒素を含む第2のガスを前記第1の被膜の表面に供給し、前記第1の被膜に含まれる前記珪素と前記塩素と、前記第2のガスに含まれる前記窒素と、を含む第2の被膜を前記基体上に形成する第2の工程と、
    活性化した水素を含む第3のガスを前記第2の被膜の表面に供給し、前記第2の被膜から前記塩素を取り除き、第3の被膜を形成する第3の工程と、
    をこの順に繰り返すことを特徴とする窒化珪素膜の製造方法。
  2. 前記水素は、原子状及びラジカルの少なくともいずれかに活性化してなることを特徴とする請求項記載の窒化珪素膜の製造方法。
  3. 前記窒素は、活性化してなることを特徴とする請求項1または2に記載の窒化珪素膜の製造方法。
  4. 前記活性化は、プラズマにより生じてなることを特徴とする請求項1〜3のいずれか1つに記載の窒化珪素膜の製造方法。
  5. 前記活性化は、触媒及び紫外線の少なくともいずれかにより生じてなることを特徴とする請求項1〜3のいずれか1つに記載の窒化珪素膜の製造方法。
  6. 前記第1の工程と前記第2の工程との間に、前記基体の表面から前記第1のガスを除去する工程を実施し、
    前記第2の工程と前記第3の工程との間に、前記基体の表面から前記第2のガスを除去する工程を実施することを特徴とする請求項1〜のいずれか1つに記載の窒化珪素膜の製造方法。
  7. シリコン基板と、前記シリコン基板の上に設けられた窒化珪素膜と、を有する半導体装置の製造方法であって、
    前記窒化珪素膜を請求項1〜のいずれか1つに記載の窒化珪素膜の製造方法により製造することを特徴とする半導体装置の製造方法。
  8. 半導体層と、前記半導体層の主面上に選択的に設けられたゲート絶縁膜と、前記ゲート絶縁膜の上に設けられたゲート電極と、を有する基体を形成する工程と、
    前記基体の上に、請求項1〜のいずれか1つに記載の窒化珪素膜の製造方法により窒化珪素膜を製造する工程と、
    前記半導体層の前記主面に対して略垂直方向に前記窒化珪素膜をエッチングすることにより、前記半導体層及び前記ゲート電極の上の前記窒化珪素膜を除去し、前記ゲート絶縁膜及びゲート電極の側面に前記窒化珪素膜からなる側壁を残留させる工程と、
    を備えたことを特徴とする半導体装置の製造方法。
  9. 半導体層の上に、請求項1〜のいずれか1つに記載の窒化珪素膜の製造方法により窒化珪素膜を製造する工程と、
    前記窒化珪素膜の上に層間絶縁層を形成する工程と、
    前記層間絶縁層の上に開口を有する層を形成する工程と、
    前記窒化珪素膜に対するエッチング速度よりも前記層間絶縁層に対するエッチング速度のほうが大なる条件で、前記開口を介して前記層間絶縁層をエッチングする工程と、
    を備えたことを特徴とする半導体装置の製造方法。
JP2004221490A 2004-07-29 2004-07-29 窒化珪素膜の製造方法及び半導体装置の製造方法 Active JP4669679B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2004221490A JP4669679B2 (ja) 2004-07-29 2004-07-29 窒化珪素膜の製造方法及び半導体装置の製造方法
TW093139428A TWI345812B (en) 2004-07-29 2004-12-17 Mehtod of manufacturing silicon nitride film, method of manufacturing semiconductor device, and semiconductor device
KR1020040108818A KR100936685B1 (ko) 2004-07-29 2004-12-20 질화규소막의 제조 방법, 반도체 장치의 제조 방법 및반도체 장치
US11/038,165 US20060022228A1 (en) 2004-07-29 2005-01-21 Method of manufacturing silicon nitride film, method of manufacturing semiconductor device, and semiconductor device
US12/167,025 US20080274605A1 (en) 2004-07-29 2008-07-02 Method of manufacturing silicon nitride film, method of manufacturing semiconductor device, and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004221490A JP4669679B2 (ja) 2004-07-29 2004-07-29 窒化珪素膜の製造方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2006041337A JP2006041337A (ja) 2006-02-09
JP4669679B2 true JP4669679B2 (ja) 2011-04-13

Family

ID=35731126

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004221490A Active JP4669679B2 (ja) 2004-07-29 2004-07-29 窒化珪素膜の製造方法及び半導体装置の製造方法

Country Status (4)

Country Link
US (2) US20060022228A1 (ja)
JP (1) JP4669679B2 (ja)
KR (1) KR100936685B1 (ja)
TW (1) TWI345812B (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7915735B2 (en) * 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
JP5145672B2 (ja) 2006-02-27 2013-02-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US7863198B2 (en) * 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US7419858B2 (en) * 2006-08-31 2008-09-02 Sharp Laboratories Of America, Inc. Recessed-gate thin-film transistor with self-aligned lightly doped drain
JP2008283051A (ja) * 2007-05-11 2008-11-20 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
JP5247781B2 (ja) * 2010-09-07 2013-07-24 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
KR101551199B1 (ko) * 2013-12-27 2015-09-10 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9777373B2 (en) * 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
CN110178201B (zh) * 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
JP6946769B2 (ja) * 2017-06-15 2021-10-06 東京エレクトロン株式会社 成膜方法、成膜装置、及び記憶媒体
JP2019029448A (ja) * 2017-07-27 2019-02-21 キヤノン株式会社 撮像装置、カメラおよび撮像装置の製造方法
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7327173B2 (ja) 2020-01-10 2023-08-16 住友電気工業株式会社 半導体装置及び半導体装置の製造方法
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01179710A (ja) * 1988-01-08 1989-07-17 Nec Corp 絶縁薄膜の製造方法
JP2002343793A (ja) * 2001-05-01 2002-11-29 Samsung Electronics Co Ltd ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
JP2003218106A (ja) * 2002-01-23 2003-07-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2003249657A (ja) * 2002-02-22 2003-09-05 Sony Corp 半導体装置の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287988B1 (en) * 1997-03-18 2001-09-11 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device
KR100280288B1 (ko) * 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
JP4556329B2 (ja) * 1999-04-20 2010-10-06 ソニー株式会社 薄膜形成装置
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
WO2003025243A2 (en) * 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP2006523837A (ja) * 2003-04-17 2006-10-19 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 誘電体層厚を決定する方法及び装置
JP2004327702A (ja) * 2003-04-24 2004-11-18 Toshiba Corp 半導体集積回路及びその製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01179710A (ja) * 1988-01-08 1989-07-17 Nec Corp 絶縁薄膜の製造方法
JP2002343793A (ja) * 2001-05-01 2002-11-29 Samsung Electronics Co Ltd ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
JP2003218106A (ja) * 2002-01-23 2003-07-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2003249657A (ja) * 2002-02-22 2003-09-05 Sony Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR20060011780A (ko) 2006-02-03
KR100936685B1 (ko) 2010-01-13
TW200605223A (en) 2006-02-01
JP2006041337A (ja) 2006-02-09
US20080274605A1 (en) 2008-11-06
US20060022228A1 (en) 2006-02-02
TWI345812B (en) 2011-07-21

Similar Documents

Publication Publication Date Title
JP4669679B2 (ja) 窒化珪素膜の製造方法及び半導体装置の製造方法
US6774048B2 (en) Method of manufacturing a semiconductor device
JP5282419B2 (ja) 半導体装置及びその製造方法
US6168726B1 (en) Etching an oxidized organo-silane film
US7510984B2 (en) Method of forming silicon nitride film and method of manufacturing semiconductor device
US6137176A (en) Semiconductor device and method of fabricating the same
US6849539B2 (en) Semiconductor device and method of fabricating the same
US11508583B2 (en) Selective high-k formation in gate-last process
US7037803B2 (en) Manufacture of semiconductor device having STI and semiconductor device manufactured
EP1445797A2 (en) Chemical treatment of low-K dielectric films
US20100255650A1 (en) Semiconductor device and method for manufacturing the same
US7553741B2 (en) Manufacturing method of semiconductor device
JP5359518B2 (ja) 半導体装置及びその製造方法
US7202160B2 (en) Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
JPH11145134A (ja) 半導体装置およびその製造方法
US20080020582A1 (en) Method of forming an opening in a semiconductor device and method of manufacturing a semiconductor device using the same
JP2007096002A (ja) 半導体装置の製造方法および半導体装置
US20080305609A1 (en) Method for forming a seamless shallow trench isolation
US6362094B1 (en) Hydrogenated silicon carbide as a liner for self-aligning contact vias
JP2008010724A (ja) 半導体装置及びその製造方法
TW200403763A (en) Manufacturing method of semiconductor integrated circuit device
US7371629B2 (en) N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
JP2006093242A (ja) 半導体装置の製造方法
CN109786254B (zh) 后栅极工艺中的选择性高k形成
JP2007234740A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20060316

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4669679

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250