KR101027485B1 - 반도체 박막 증착을 위한 개선된 공정 - Google Patents

반도체 박막 증착을 위한 개선된 공정 Download PDF

Info

Publication number
KR101027485B1
KR101027485B1 KR1020037010622A KR20037010622A KR101027485B1 KR 101027485 B1 KR101027485 B1 KR 101027485B1 KR 1020037010622 A KR1020037010622 A KR 1020037010622A KR 20037010622 A KR20037010622 A KR 20037010622A KR 101027485 B1 KR101027485 B1 KR 101027485B1
Authority
KR
South Korea
Prior art keywords
thin film
silicon
temperature
deposition
substrate
Prior art date
Application number
KR1020037010622A
Other languages
English (en)
Other versions
KR20030076675A (ko
Inventor
마이클에이. 토드
마크알. 호킨스
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20030076675A publication Critical patent/KR20030076675A/ko
Application granted granted Critical
Publication of KR101027485B1 publication Critical patent/KR101027485B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/122Single quantum well structures
    • H01L29/127Quantum box structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

화학기상증착 공정이 얇은 박막이 질량 전달 제어 지배기간이나 그 근처에서 증착되는 것을 허용하는 화학 전구체를 이용한다. 상기 공정은 높은 증착률을 가지며, 조성과 두께 모두에서 종래의 화학 전구체를 사용하여 마련된 박막보다 균일한 박막을 생산한다. 바람직한 실시예에서는, 트리실란이 실린콘을 포함하는 얇은 박막을 증착하기 위해 채용되며, 이것은 반도체 산업에서 트랜지스터 게이트 전극처럼 다양하게 응용된다.
화학기상증착, 고차의 실란, 고차의 게르만, 질량 전달 제어 지배기간, 화학 전구체

Description

반도체 박막 증착을 위한 개선된 공정{IMPROVED PROCESS FOR DEPOSITION OF SEMICONDUCTOR FILMS}
본 발명은 실리콘, 게르마늄 및/또는 탄소를 포함하는 박막과 같은, 집적회로 제조용 반도체 박막 증착에 관한 것이다. 본 발명은 보다 상세하게는, 화학기상증착(CVD) 시스템 내에서 이 물질들을 보다 두껍고 조성이 균일하도록 만드는 것에 관한 것이다.
초소형 전자디바이스들의 크기가 점점 소형화 되면서, 이 디바이스들의 제조에 사용되는 재료의 물리적 화학적 속성의 중요성이 점차 증대되었다. 이것은 특히 이미 입증된 제조 툴을 사용하여 현존하는 여러 세대들의 디바이스들 내에 집적될 수 있는 발전된 재료에 있어서 특히 그러하다. 예를 들어, 에피택셜(epitaxial) Si1-xGex와 Si1-x-yGexCy 합금을 바이폴라(Bipolar)와 BiCMOS 디바이스 제조공정에 통합할 수 있다면 바람직할 것이다. 이 발전된 합금 재료는 이질 접합 (heterojunction)의 양극 트랜지스터(HBT)에서의 베이스 층으로서, BiCMOS 디바이스의 저항으로서, 그리고 CMOS 디바이스 및 다른 다양한 집적회로디바이스의 게이트 전극으로서 유용하다.
단일 결정, 무정형 및/또는 다결정 실리콘. 실리콘 게르마늄(SiGe)과 실리콘 게르마늄 탄소(SiGeC) 합금의 증착을 위한 종래 공정은 열공정(낮은 기압(LP)이나 극도로-고도의 진공(UHV) 조건에서) 일괄(batch) 공정 또는 단일 웨이퍼 공정을 사용하여 이루어졌다. 단일 웨이퍼 공정이 점점 중요해지지만, 많은 문제를 남기기도 한다. 예를 들어, 웨이퍼 내 또는 웨이퍼와 웨이퍼 간의 균일성, 증착률, 공정의 반복가능성은 종래 단일 웨이퍼 공정의 관심사이며, 특히 도핑된 반도체 박막에 있어 그러하다. 웨이퍼의 크기가 계속 증가할 수록(최근에는 300mm의 웨이퍼들이 제조 공정 내에서 집적되고 있다), 균일성을 유지하는 것에 점점 더 도전하게 한다.
일본 특허 출원 공개 제 S60-43485은 300℃에서 무정형의 얇은 박막을 만드는 트리실란의 사용을 개시하는 바, 명백히 광전지적(photovoltaic) 용도를 위한 것이다. 일본 특허 출원 공개 제 H5-62911은 500℃이하에서 얇은 박막을 만드는 트리실란과 게르만의 사용을 개시한다. 일본 특허출원 공개 H3-91239, H3-185817, H3-187215와 HO2-155225는 각각 디실란의 사용을 개시하며, 어떤 것은 트리실란도 언급하고 있다.
비교적 낮은 증착 온도에서 무정형의 수소를 첨가한 실리콘을 위한 디실란과 트리실란의 사용에 기술의 촛점이 맞추어져 있다. 하지만, 도핑된 실리콘, 수소 농도가 낮은 무정형 실리콘과 SiGe과 같은 반도체 재료를 표면에 증착하기 위한 공정에 대한 필요성, 바람직하게는 균일성을 희생하지 않고 높은 증착률을 갖는 공정에 대한 필요성은 여전히 남아 있다.
본 발명자들은 실리콘 함유 박막과 게르마늄을 포함하는 박막을 만드는 더 좋은 방법들을 발견하였다. 이 방법들은 CVD 공정에서 고차(higher order)의 실란 및/또는 고차의 게르만과 같은 전구체를 사용하여 실리콘 함유 막들, 특히 반도체 산업에서 유용한, 실리콘 SiGe, SiGeC 합금의 얇은 박막들의 증착을 개선하는 것에 대하여 교시하였다. 이 화학 전구체는 실란, 게르만과 종래의 탄소-원료 분자들에 비해 열적 안정성을 감소시켰다.
본 발명의 일 측면에 따르면, 특정 전구체의 사용으로 동일 온도에서 종래 전구체에 비해, 질량 전달 제어 성장 지배기간(mass transport limited growth regime) 내에서 또는 그와 더 가까이에서 증착 공정이 이루어지게 된다. 이 지배기간 내에서는, 원하지 않는 구성요소의 집 중 증감, 고르지 못한 박막 증착률 및 그 결과 두께의 비균일성과 같은 온도에 의존하는 비균일성을 피할 수 있다. 바람직한 화학 전구체는 트리실란, 디게르만과 결합된 트리실란을 포함한다. 종래의 화학 전구체에 사용되는 것보다 더 낮은 온도에서 박막 증착률이 더 높은 균일한 증착이 이루어질 수 있다.
본 발명의 다른 측면은, 바람직한 전구체의 유출률이 온도함수로 조절되어 종래 전구체(예컨대 실란)를 사용한 증착에 비해 균일성이 동일하거나 더 높으면서도, 더 높은 증착률을 얻는 것이다. 실란과 비교 했을때, 트리실란의 장점은 특히 집적회로의 액티브 층으로서 실리콘 함유 층의 증착에 응용 가능하다는 것이다.
본 발명의 다른 측면은, 온도, 온도 분배, 압력, 반응물 유출률, 반응물 부분압과 같은 공정 변수들을 단계적으로 또는 다이 내믹하게 변경하여 원하지 않는 구성요소의 집 중 증감과 다양한 박막 증착률 및 그 결과 두께의 비균일성과 같은 것들을 제거하거나 줄이는 방법을 밝히는 것이다. 이 방법은 고차의 실란 및/또는 게르만의 사용과 결합하여 사용될 수 있다.
가열 시스템 또는 온도제어 시스템의 한계로 인한 다이 내믹한(dynamic) 온도 변화가 CVD(chemical vapor deposition 화학 기상 증착)에 의한 기판 표면상의 박막증착의 비균일성에 중요한 역할을 한다. 증착되는 박막이 두께나 구성요소의 조성에 있어 가능한한 균일한 것이 바람직하나, 현재의 공정들은 비균일한 박막을 생산하는 경향이 있다. 이와 같은 비균일성은 종종 기판 표면의 온도 차이에 기인하는데, 기판 표면의 온도가 증착률이나 생산되는 박막의 조성에 영향을 미치기 때문이다. 기체 유출률이나 전체 압력(total pressure)과 같은 공정상의 변수들의 불완전한 제어도 박막의 물리적 특성의 비균일성에 일조하는 것으로 생각된다.
원하는 박막 전체가 균일한 두께가 되도록 하기 위해, 종종 예를 들어 기체 유출률, 기판의 회전 속도와 가열 요소에 대한 힘의 분배등과 같은 증착 조건을 경험적으로 튜닝하여 균일성을 얻고자 한다. 이것은 우선, 각각 미리 선택된 다른 증착조건 설정하에서, 많은 수의 박막을 다양한 기판에 증착하므로써 이루어진다. 그리고나서 각 박막의 두께의 변화가 측정되며, 측정결과가 두께의 변화를 제거할 수 있는 조건을 알아 내기 위해 분석된다. 그러나, 이 경험적인 공정이 반드시 공정 내 내 균일한 온도 분배가 이루어지도록 하지는 않는다는 것을 발명자들이 깨닫게 되었다. 공정은 그보다는 특정 반응 온도 '설정치'에서 온도차이에 의해 야기되는 두께 차이를 효과적으로 시간-평균한다.
따라서, 경험적 접근이 반드시 증착 공정 내 내 균일한 기판 온도를 유지하게 하는 것은 아니다. 이것은 다시 조성의 차이라는 문제를 야기하는데, 왜냐하면 조성의 균질성 (아니면 최소한 그것의 제어)가 삼 차원에서 요구되기 때문이다. 즉 구성 요소가 박막의 표면 전반에 있어 균일하고, 박막 두께 전체에 있어 균일할 것이 요구된다. 많은 박막이 도펀트(dopant)를 포함하는 것이 이 때문이며, 이 도펀트의 레벨이 박막의 전기적 특성에 영향을 미친다. 비균일한 온도는 비균일한 도펀트가 박막에 결합되는 것을 초래할 수 있다. 마찬가지로, 조성에 있어 다른 비균일성도 초래할 수 있다.
바람직한 실시예들이 이 문제를 해결하기 위한 공정들을 제공하는 바, 각 실시예들은 개별적으로 사용될 수 있으며, 결합하여 사용하는 것이 바람직하다. 일 실시예는 화학적 전구체를 사용하는 바, 이 전구체는 동일 온도에서의 종래의 전구체에 비해, 박막 증착이 실질적으로 질량 전달 제어 성장 지배기간 내에서 이루어지는 것을 가능케한다. 주어진 화학적 전구체에 있어서, 질량 전달 제어 지배기간은 박막 증착률이 온도에 대해 독립적인 온도 범위이다. 질량 전달 제어 지배기간이나 그 근처에서 유지되는 온도에서 온도 차이가 생기는 한, 실질적으로 이 온도 범위 내서의 증착률은 기판 표면에서의 미세한 온도 차이에 비교적 영향을 받지 않는다. 이것은 동일 온도에서 종래의 화학적 전구체를 사용하여 증착된 박막에 비해 훨씬 더 균일한 박막 생산을 가능하게 하는 바, 예를 들어, 조성 및/또는 두께에 있어 보다 더 균일하다. 왜냐하며, 질량 전달 제어 지배기간에서의 증착을 위해 종래의 전구체들이 보다 높은 온도를 요구하기 때문이다.
당업자들은 주어진 전구체에 대해 질량 전달 제어 지배기간을 결정할 수 있으며 반응 조건을 설정할 수 있고, 아레니우스(Arrhenius) 플롯으로 도시할 수 있을 것이다. 도 10의 아레니우스 플롯이 도시하는 바와 같이, 화학 전구체 트리실란(trisilane)의 경우, 온도에-의존하는 증착률에서 온도에-의존하지 않는 증착률로의 전이점이 실란이나 디실란(disilane)에서 보다 훨씬 낮다. 플롯에서 전이점까지의 하부 영역은 뚜렷한 상승 슬로프를 가지며 따라서 이 온도범위 내에서의 트리실란의 증착에는 온도가 강하게 작용하는 바, 질량 전달 제어 지배기간 내가 아님을 나타낸다. 예를 들어 도 10에서, 약 525℃ 이하에서 사용된 조건(유출률 25 sccm, 압력 40 Torr)에서의 트리실란 증착은 질량 전달 제어 되지 않는다.(즉, 동적 지배기간 내가다). 반대로, 플롯의 전이점 이상 영역은 실질적으로 완만해서 이 온도 영역에서 트리실란의 증착은 온도에 독립적이며 따라서 질량 전달 제어 지배기간 내가다. 예를 들어, 도 10은 약 620℃ 이상에서는 트리실란 증착이 명백히 질량 전달 제어 지배기간 내임을 보여준다. 전이가 이루어지는 것은, 아레니우스 플롯의 하향 슬로우프에서 트리실란 증착이 실질적으로 온도에 독립적이라는 것을 나타 내는 온도 범위를 넘어 섰을 때, 즉 질량 전달 제어 지배기간 근처라는 것이 이해될 것이다. 예를 들어, 도 10은 525℃ 이상에서는 트리실란 증착이 본질적으로 질량 전달 제어 된다는 것을 보여준다. 유출률이 높으면 전이점이 약간 상승하며, 유출률이 낮으면 전이점이 약간 하강한다는 것이 이해될 것이다. 예를 들어, 트리실란 유출률이 증가하면 온도-의존적인 증착에서 실질적으로 질량 제어적인 증착으로의 전이점이 보다 높은 온도로 상승하게 된다는 것이 실험에 의해 결정되었다. 따라서, 최신 가공(fabrication)에서는, 다른 이유에서도(예를 들어, 결정 특성을 유지하기 위한 열수지(thermal budget)나 도펀트 프로필의 제어 등) 요구되는 온도에서의 질량 전달 제어를 트리실란의 사용이 가능하게 한다.
실리콘과 게르마늄을 포함하는 다양한 전구체들이 이 명세서에 개시된 박막 증착 공정에 적합하게 사용되어 실리콘 함유 박막, 게르마늄을 포함하는 박막과 실리콘과 게르마늄을 모두 포함하는 합금 박막, 예컨대 실리콘 게르마늄(SiGe, 스토이키오메트리stoichometry를 의미하지 않는) 박막을 제공할 수 있다. 이 화학 전구체는 탄소원(source)과 함께 사용되어 예컨대, 실리콘 게르마늄 탄소(SiGeC 스토이키오메트리를 의미하지 않는) 합금 박막, 합금의 얇은 박막을 제공할 수 있다. 본 발명에 사용되기에 적합한 실리콘 함유 바람직한 화학 전구체는 고차의(higher-order) 할로겐화 되지 않은 실리콘의 수소 화합물로, 특히 화학식 SinH2n+2의 실란으로 여기서 n은 2 내지 6이다. 실시예는 디실란(H3SiSiH3) 트리실란(H3SiSiH2SiH3)과 테트라실란(tetrasilane H3SiSiH2SiH2SiH3)을 포함한다. 트리실란(역시 Si3H8으로 표기되는)이 휘발성과 반응성간의 균형을 맞추기에 가장 적합하다. SiGe 증착을 위해 비교적 낮은 온도에서의, 실질적으로 또는 거의 질량 전달 제어 증착이 바람직하다 (그러나 불가피하지는 않다). 본 발명에 사용되기에 적합한 게르마늄을 포함하는 바람직한 화학 전구체는 고차의 게르만(germane)으로 화학식은 GenH2n+2이며, 여기서 n은 2 내지 3이다. 다른 배합(arrangement)에서 게르마늄 원료는 (H3Ge)(GeH2)X(GeH3)을 포함할 수 있으며, 여기서 x는 0 내지 2이다. 특정 실시예는 디게르만(digermane H3GeGeH3 ) 트리게르만(trigermane H3GeGeH2GeH3 )과 테트라게르만(tetragermane H3GeGeH2GeH2GeH3)을 포함한다.
바람직한 일 실시예에서, 화학 전구체는 탄소원와 함께 사용된다. 바람직한 탄소원은 실릴메탄[(H3Si)4-XCRX]으로 여기서 x는 0 내지 3이며, R=H 및/또는D 이다. 바람직한 실릴메탄은 디실릴메탄, 트리실릴메탄과 테트라실릴메탄이며 (x=0 내지 2), 테트라실릴메탄이 가장 바람직하다. 또다른 바람직한 탄소원은 메탄, 에탄, 프로판과 부탄등과 같은 탄화 수소와 일산화탄소, 이산화탄소와 HCN를 포함한다. 이 화학 전구체와 탄소원은 상업적인 원료 중에서 구입하거나 당업자에게 알려진 방법으로 합성될 수 있다. SiC, SiNC, SiOC(이들 생략형이 특정 스토이키오메트리를 의미하지 않는다)는 반도체 제조 산업에서 다양하게 사용된다. 예컨대, 에치 스톱 레이어(etch stop layer), 하드 마스크, 보호막(passivation layer)등에 사용된다.
박막은 실질적으로, 사용된 특정 화학 전구체에 대한 질량 전달 제어 지배기간 내인 온도에서 증착되는 것이 바람직하다. 어떤 특정 화학 전구체를 위해, 또 반 응 조건의 설정을 위해서, 다양한 온도에서의 증착 데이타로부터 경험적으로 도출되는 아레니우스 플롯으로부터 질량 전달 제어 지배기간이 결정될 수 있다. 전술된 바, 가장 적합한 실리콘 전구체인 트리실란을 위한, 특정 설정 조건에서의 아레니우스 플롯이 도 10에 도시되어있다.
이 명세서에 설명된 바, 바람직한 화학 전구체 (특히 트리실란)을 채용하고 그 전구체를 위한 질량 전달 제어 지배기간을 선택하는 외에, 제 1 공정을 이용하는 증착은 다른 증착 변수들, 특히 기체 유출률의 적절한 선택을 포함한다. 실질적인 질량 전달 제어 지배기간 내에서의 증착과 관련하여, 기체 유출률의 적절한 선택은 실란과 비교했을 때 더 높은 정도의 균일성을 유지하면서 훨씬 높은 증착률에서 박막을 생산한다는 것이 밝혀졌다. 유동적(kinetic) 지배기간의 온도에서 실란을 사용하는 증착에 있어서, 박막의 균일성은 주로 온도 제어기의 설정치에 의존하며, 기체 유출률 설정치에 훨씬 적은 정도로 의존한다. 반대로, 실질적으로 질량 전달 제어 지배기간 내 온도에서 고차의 실란을 포함하는 증착에 있어서는, 온도 제어기의 설정치와 기체 유출 제어기의 설정치에 대한 민감도가 뒤바뀐다. 예를 들어, 실질적으로 질량 전달 제어 지배기간 내 온도에서 트리실란을 사용하는 증착에 있어서, 온도 제어기 설정치의 튜닝이 기체 유출률 제어기의 설정치의 튜닝보다 박막의 균일성에 훨씬 작은 영향을 끼친다.
여기에서 설명된 대로 증착이 이루어지면, 결과로 생긴 박막은 유사한(comparable) 박막보다 더 균일하다. 여기에서 설명된대로, "유사한" 박막은 모든 중요한 관점에서 본원 발명의 박막과 실질적으로 동일한 방법으로 생산되며, 다만 고차의 실란 대신 실란이 사용되고/또는 고차의 게르만 대신 게르만이 사용된다는 점과 각 박막을 위한 증착 공정이 전술한 온도와 기체 유출 제어기 설정치의 민감성의 차이를 고려하여, 개별적으로 튜닝 된다. 보다 상세하게는, 다른 층들의 결과를 비교했을 때, 두께 균일성은 다음과 같은 기준에서 측정된다: 웨이퍼의 임의로 선택된 직경이 채용되고 이 직경을 따라 49 포인트가 증착 층의 두께를 측정하기 위해 선택된다. 웨이퍼 외주에서 3mm 배제 영역(exclusion zone)을 벗어난 범위 내에서는 측정되지 않는다. 위의 49 포인트에서의 두께 측정의 범위 (예컨대 ±6Å)는 상기 49 포인트 중 최고 두께 측정치와 최소 두께 측정치의 합에 의해 나누어진다. 이 비균일성이 여기서는 퍼센테지로 표현된다. 여기에서 설명된 전구체를 채용하는 방법은 탁월하게 높은 증착률을 낳으며 더구나 놀랍게도 높은 균일성과 원활함을 얻게 한다.
예를 들어, 트리실란을 사용하여 바람직한 다결정(polycrystaline) 실리콘 박막이 만들어지는데, 이것은 동일 온도에서 상기 트리실란 대신 실란을 사용하여 개별적으로 최대화된 공정에 의해 만들어진 유사한 박막에 비해 높은 증착률과 높은 균일성을 갖는다. 유사하게, 발명자들은 개별적으로 실험하여, 트리실란으로 만들어진 무정형의 실리콘(α-실리콘)층과 에피택셜 실리콘 (epi-실리콘) 층이 실란으로 증착된 층과 비교했을 때, 훨씬 더 균일성을 보인다는 것을 발견했다.도 15-18과 이에 상응하는 명세서 부분을 보라. 마찬가지로, 고차의 게르만을 사용하여 바람직한 SiGe을 만들면 상기 고차의 게르만 대신 게르만을 사용하여 만든 유사한 박막보다 높은 균일성을 갖는다. 나아가, 개시된 실리콘과 게르마늄을 사용하면 더 낮은 반응 온도에서 더 높은 증착률을 얻을 수 있다.
도 11은 증착률이 증착 온도 600℃, 압력 40 Torr에서의 트리실란 (몇 개의 도면에서 "SiliconTM"으로 언급된)의 증착률에 대해 1차 함수임을 보여준다. 이 1차성(linearity)은 이 조건에서는 트리실란 증착이 실질적으로 또는 거의 질량 전달 제어된다는 것을 보여주며, 나아가 산화물에 대해 매우 낮은 핵생성(nucleation) 시간을 보여준다. 도 12는 표시된대로 증착 시간을 90초에서 15초까지 변화시키는 것을 제외하고는 일정한 조건(650℃, 압력 40 Torr)에서 트리실란을 사용하여 증착된 박막을 위한 측정 사이트(site) 함수로서의 박막 두께의 플롯이다. 도 12는 트리실란 유출률을 고정시키면, 넓은 폭의 증착시간에 대해 뛰어난 박막 균일성이 얻어짐을 보여주는 바, 이것은 결과가 시간 평균이 아니라 전구체의 특성과 선택된 조건에서 얻어진다는 것을 보여주는 것이며, 나아가 방사율(emissivity 또는 다른 두께에 의존적인 온도 제어) 효과가 균일성을 바꾸지 않는다는 것을 보여주는데, 왜냐하면 두께에 불구하고 층은 균일하게 유지되기 때문이다. 도 13은 증착 온도 600℃, 압력 40 Torr에서 디보란 유출률의 범위를 달리하여(0에서 180의 sccm) 트리실란과 디보란(diborane, 도펀트 전구체)을 사용하여 얻은 증착률의 플롯이다. 도 13은 트리실란을 사용한 증착률이 도펀트 전구체의 유출률에 대해 비교적 민감하지 않다는 것을 보여준다.
바람직한 온도 범위는 특정 화학 전구체에 의존적인 경향이 있어서, 열적 안정성이 감소되면 더 낮은 온도가 적당하다. 고차의 실란과 고차의 게르만에 대해서, 결합(chain) 길이가 길어지면 더 낮은 온도가 바람직하다. 따라서, 디실란 증착을 위해 바람직한 온도 범위는 트리실란을 위해서 보다 더 높은 경향이 있으며, 이것은 다시 테트라실란 등에 비해 더 높은 경향이 있다. 게르만 시리즈를 위해서도 유사한 경향이 유지된다. 트리실란 증착을 위해 바람직한 온도는 약 350℃ 이상이며 결과로 생긴 박막에서의 수소량을 최소화하기 위해서는 약 450℃ 이상인 것이 바람직하다. 보다 바람직하기는, 질량 전달 제어 지배기간 근처나 내에서 증착하기 위해서는, 약 525℃ 이상의 온도가 유지되는 것이 좋고,약 550℃ 이상이면 더 바람직하며, 가장 바람직한 것은 약 600℃ 이상이다. 이 공정은 약 700℃ 이상에서도 이루어질 수 있으나, 700℃에서는 덜 바람직하다. 따라서 바람직한 온도는 약 약 450℃에서 약 700℃ 범위의 온도이며, 더 바람직한 것은 약 525℃에서 약 650℃ 범위의 온도이다. 어떤 특정 화학 전구체나 전구체들의 혼합물에 대해 적합한 온도는 여기에 마련된 가이드 라인에 따라 기본 실험을 하여 얻을 수 있을 것이다. 열거된 온도들은 열적인(thermal) CVD에 바람직하다. 플라즈마 증착 공정은 더 낮은 온도가 적합할 것인데, 이는 이 용도에 수용가능한 수소 결합의 수준에 좌우된다.
증착 온도의 선택은 부분적으로 증착되고 있는 층의 원하는 결정성에도 의존할 수 있다. 예를 들어, 결정 실리콘은 약 620℃에서 800℃의 온도 범상에 증착될 수 있는데, 이는 전술한 바 명백히 질량 전달 제어 지배기간 내가다. 더 바람직하게는 폴리실리콘(polysilicon) 증착은 650℃에서 750℃에서 이루어진다. 무정형 실리콘 증착을 위해서는 더 낮은 온도가 사용될 수 있지만, 최소한 실질적으로 질량 전달 제어(즉, 바람직하게는 바람직한 조건에서 525℃ 이상)을 유지하도록 온도를 선택하는 것이 바람직하다. 에피택셜 실리콘은 증착이 이루어지는 표면의 청결(purity)에 크게 의존한다. 즉, 당업자들이 깨달을 수 있는 바와 같이, 이미 증착된 에피택셜 층의 상부면이나 단일 결정 웨이퍼의 상부면과 같은 특별히 깨끗한 단일-결정 표면이 유출률, 압력등을 변수로 할 때, 넓은 온도 범위에서의 에피택셜 증착을 가능케한다. 보통, 적합한 표면에서의 에피택셜 증착은 500℃에서 600℃에서 이루어질 수 있다. 열수지를 고려하면 500℃에서 750℃의 낮은 온도를 채용하는 것이 바람직하다. 도 15-18과 이하의 이에 상응하는 명세서 부분을 보라.
실란 및/또는 게르만을 사용하여 만든 유사한 박막과 비교했을 때, 높은 증착률 및/또는 균일성이 높은 박막을 얻는데 효과적인 온도에서 화학 전구체, 예컨대 고차의 실란 및/또는 고차의 게르만을 사용하여 증착이 이루어지는 것이 바람직하다.
이 화학 전구체들의 증착은 당업자들에게 알려진 다양한 기상 증착법에 따라 적합하게 이루어질 수 있다. 그러나 여기에 교시되는 개선된 화학 기상 증착(CVD) 공정에 따라 증착이 이루어지면 가장 큰 이익을 얻게 될 것이다. 개시된 공정들은 플라즈마 CVD, 열적 CVD를 포함하는 CVD를 채용하여 적절히 이루어질 수 있을 것인데, 이 CVD 공정은 CVD 챔버 내에 포함되는 기판 상에 실리콘 및/또는 게르마늄을 포함하는 박막을 증착하기 위해, 실리콘 및/또는 게르마늄을 포함하는 화학 전구체를 포함하는 피드(feed) 가스를 사용한다. 바람직한 실시예에서는, 이 가스가 트리실란을 포함하며, 실리콘 함유 박막이 증착된다. 다른 바람직한 실시예에서는, 이 가스는 고차의 실란과 고차의 게르만으로 구성되며, SiGe 박막이 증착된다.
적절한 매니폴드(manifold)가 피드 가스들을 CVD 챔버에 공급하기 위해 사용될 수 있을 것이다. 여기에 설명된 실험 결과는 수평적 가스 흐름을 갖는 CVD 챔버에서 이루어졌는데, 이 챔버는 단일-웨이퍼, 수평적인 가스 유출 반응장치(reactor)를 갖는 것이 바람직하며, 방사형으로 가열되는 것이 바람직하다. 이 타입의 적절한 반응장치들은 상업적으로 구입 가능하며, 바람직한 모델은 Arizona의 Phoenix사의 ASM America로 부터 상업적으로 구입하능한 단일 웨이퍼 에피택셜 반응장치 EpsilonTM 시리즈를 포함한다. 여기에 설명된 공정들이 샤워헤드(showerhead) 배치(arrangemant)와 같은 다른 반응장치에도 채용될 수 있지만, EpsilonTM 챔버의 수평의, 싱글-패스이며, 라미나(laminar)한 기체 유출 배치에서 특히 효과적으로 균일성과 증착률이 증가된 이익을 발견할 수 있다.
증착을 위해 사용된 온도와 압력에서, 화학 전구체는 피드 기체나 피드 기체의 화합물의 형태로 CVD 챔버에 공급되는 것이 바람직하다. CVD 챔버 내의 전체압은 약 0.001 Torr에서 약 700 Torr인 것이 바람직하며, 약 0.1 Torr에서 약 20 Torr인 것이 더 바람직하며, 가장 바람직하기는 약 1 Torr에서 약 60 Torr 범위 내가다. 각 실리콘 및/또는 게르마늄을 포함하는 화학 전구체의 부분압은 전체압의 약 1×10-6% 에서 100%까지이며, 더 바람직한 것은 동일 토대에서 전체압의 약 1×10-4% 에서 100%까지이다. 각 탄소원의 부분압은, 어떤 것이건, 전체압의 0%에서 1%까지의 범위인 것이 바람직하며, 더 바람직하기는 동일 토대에서 전체압의 약 1×10-6% 에서 0.1%까지이다. 만일 탄소원이 사용된다면, 실리콘을 포함하고/거나 게르마늄을 포함하는 박막을 제공하기 위해서는 탄소원의 부분압은 탄소 함유량이 20% 이하(단일 결정 물질을 위해서는 10%이하)인 것이 바람직하며, 더 바람직하기는 탄소 함유량이 10%이하(단일 결정 물질을 위해서는 10%이하)인 것이며, 여기서 퍼센테지는 전체 박막 무게를 기초로 무게에 의한 것이다.
상기 피드 기체는 비활성의 운반 기체와 같은, 화학 전구체와 탄소원 외의 다른 기체들을 포함할 수 있다. 운반 기체는 전형적으로 헬륨, 아르곤, 크립톤과 네온을 포함한다. 수소가 여기에 설명된 공정을 위한 운반 기체로 가장 적합한데, 특히 단일 결정 물질을 위해 그러하다. 니트로겐도 다결정과 무정형의 박막 증착을 위해 채용될 수 있다. 필요에 따라, 상기 피드 기체 안에 다른 화합물들도 존재할 수 있다. 기체가 실란, 디실란, 테트라실란, 게르만, 디게르만, 트리게르만, NF3, 모노실릴메탄(monosilylmethane),디실릴메탄(disilylmethane),트리실릴메탄(tri-silylmethane), 테트라실릴메탄(tetrasilylmethane)과 도펀트 전구체를 포함하는 그룹에서 선택된 하나 이상의 화합물을 더 포함하는 것이 바람직하다.
도펀트 전구체는 디보란, 중수소화된(deuterated) 디보란, 포스핀(phospine)과 아르신(arsine)을 포함한다. 실릴포스핀(silylphospine)[(H3Si)3-XPRX]과 시릴아르신(silylarsine)[(H3Si)3-XAsRX] (여기서 x는 0 내지 2이며 RX=H와/혹은D)이 인과 비소의 바람직한 도펀트원이다. SbH3와 트리메틸인듐(trimethylindium)은 각각 안티몬과 인듐의 바람직한 쏘스(source)이다. 이와 같은 도펀트나 도펀트원들은 여기서 설명된 방법에 의해 보론(boron), 인, 안티몬, 인듐과 비소를 도핑한 실리콘, SiGe와 SiGeC 박막과 같은 바람직한 박막을 마련하는데 유용하다. 도핑될 때, 이 물질들에서 도펀트 농도는 약 1×1014 내지 약 1×1022 atoms/cm3 범위이다. 도펀트들은 매우 낮은 농도의 도펀트원을 사용하여 혼합될 수 있는데, 예컨대, 전체 무게 중의 무게로 계산 했을 때, 약 1 ppm에서 약 1%까지 범위 농도의 수소 혼합물로서 그러하다. 이 희석된 혼합물들은 원하는 도펀트 농도와 도펀트 가스 농도에 따라 설정치가 10 내지 200sccm 범위인 질량 유출 콘트롤러에 의해 반응장치로 운반된다. 도펀트원들도 실리콘/게르마늄/탄소원을 포함하는 반응장치로 운반되는 운반 기체에서 희석되는 것이 바람직하다. 왜냐하면 전형적인 유출률이 종종 약 20 내지 180SLM인 바, 전형적인 공정에 사용되는 도펀트 농도는 일반적으로 매우 낮기 때문이다.
화학 전구체(와 탄소원, 만일 있다면)의 각 부분압은 실리콘을 포함하고/거나 게르마늄을 포함하는 박막을 증착하는 과정을 통해 각각 일정하게 유지되거나 또는 이를 변화시켜 박막 두께 내의 깊이의 함수에 따라 실리콘 및/또는 게르마늄이 양을 달리하는 박막을 생산할 수 있다. 박막은 10Å 내지 5000Å 범위의 두께를 갖는 것이 바람직하다. 박막의 구성은 단계적으로(stepwise) 그리고/또는 연속적으로(continuous) 달라질 수 있다. 박막 두께는 기술 분야에서 알려진대로 원하는 용도에 따라, 증착 시간 및/또는 기체 유출률을 변화시키므로써 변화될 수 있다. 일정하건 등급별(graded)이건, 여기에 설명된 방법으로 증착되는 합성되고(compound) 도핑된 박막은 주어진 어떤 특정 깊이에서도 전 평면(plane)을 통해 비교적 일정한 구성을 갖는다. 여기서 상기 "평면"은 박막이 패턴이 형성된(patterned) 기판에 증착되면 평평하지 않을 수(undulate)있다.
여기에 설명된 박막의 증착은 약 분당 50Å 이상의 속도(rate)로 이루어지는 것이 바람직하며, 약 분당 75Å이상이면 더 바람직하며, 약 100Å 정도인 것이 가장 바람직하다. 결과로서 생긴 실리콘 함유 박막은 SiGe 박막, SiGeC 박막, 실리콘 나이트라이드(silicon nitride, SiN 스토이키오메트리를 의미하지는 않음) 박막, 실리콘 옥사이드(silicon oxide, SiO 스토이키오메트리를 의미하지는 않음) 박막, 실리콘 옥시나이트라이드 (silicon oxynitride, SiON 스토이키오메트리를 의미하지는 않음) 박막, 보론이 도핑된 박막, 비소가 도핑된 박막, 인이 도핑된 박막과 약 2.2이하의 유전상수를 갖는 박막을 포함하는 그룹 중에서 선택되는 것이 바람직하다. 적절한 저유전률(low k)의 박막을 만들기 위한 방법은 함께 출원 중인 2001년 11월 13일에 출원된 미국 출원 제 09/993,024호에 개시되어 있으며, 그 내용이 이 명세서에 참고로서 반영된다. 실리콘 함유 박막은 무정형이거나 다결정이거나 에피택셜할 수 있다. 트리실란이 에피택셜 실리콘 층의 증착률과 균일성을 개선하는 데 특히 잇점이 있다는 것이 밝혀졌다.
바람직한 실시예들은 전술한 균일성의 문제를 해결하기 위해 또 다른 공정을 제시한다. 이 공정의 실시예들은 도 3과 실시예 39에 주어져 있으며, 여기에서는 개략적으로 설명된다. 증착된 박막이 전 두께를 통해 조성상 균일하지 않은 것은, 특히, 기판 표면 온도의 다이 내믹한(static의 반대로서) 변화의 결과인 것으로 생각된다. CVD 챔버에는 일반적으로 특정 층이 증착될 동안 일정하게 유지되는 온도 제어 조건이 설정된 프로그래밍을 허용하는 온도 콘트롤러가 마련되어 있다. 이 온도 설정치는 일반적으로 공정의 시작 단계에서 선택되어 층이 완성될 때까지 유지된다. 전술한 바와 같이, 두께 문제를 과거에는 기체 유출률, 기판의 회전 속도, 가열 요소에 대한 힘의 분배등과 같은, 온도 변화의 두께 효과에 효과적으로 시간 평균하는 증착 조건을 경험적으로 튜닝하여 접근했다.
최초의 5Å에서 1000Å 박막 증착에 대해서는, 두께와 조성이 비교적 균일한 박막의 박막 온도 설정치, 또는 보다 일반적으로 온도 제어에 영향을 미치는 반응 조건의 설정을 경험적으로 발견할 수 있지만, 증착이 계속되면서 박막이 점점 덜 균일해진다는 것이 발견되었다. 그 이유가 아직 잘 밝혀지지는 않았고, 이 발명은 이론에 의해서만 한정되는 것이 아니며, 증착 시간의 함수로서 변화되는 기판의 방사률과 다른 특성들이 온도 제어 시스템에 영향을 미칠 수 있다. 이것은 온도의 변화를 가져와서 조성과 두께의 변화도 초래하게 된다.
증착이 덜 균일한 이유가 무엇이건간에, 층에서 층으로 접근해가는 것이 보다 균일한 박막의 생산을 위해 사용될 수 있다는 것은 밝혀졌다. 이 실시예와 관련하여, 경험적으로-결정된 온도 설정치 T1, T2, T3의 설정이 층-층의 토대위에서 판단된다. 집적회로 안의 특정 포인트에서 단일 함수를 갖는 단일 박막이 경험적 결정 중에는 여러 층으로 쪼개져서, 각 층에 대한 최상의 설정치가 결정되었다.
따라서, 박막의 두께가 두꺼워져서 발생되는 온도 제어의 변화는 증착 공정 중에 개별적으로 최적화된 설정치를 사용하므로써 보상될 수 있다.
이와 같은 경험적 결정은 다양한 온도 설정치를 사용하는 다수의 개별 워크피스(workpiece) 개개의 첫 층을 처음으로 증착하고, 각 워크피스의 첫 층의 두께와 조성의 변화를 측정한 다음, 어떤 설정치에서 가장 균일한 층이 생겼는지 확정하므로써 이루어진다. 층의 타겟 두께는 원하는 대로 변화시킬 수 있다. 예컨대 약 50Å에서 약 1000Å까지, 바람직하게는 약 100Å에서 약 700Å까지 특정 목적에 요 구되는 균일성의 수준에 따라.
그러면, 첫 층이 알려진 설정치 T1,의 보다 많은 다양한 워크 피스에 마련되어 제 2 설정치 T2를 경험적으로 결정하기 위한 기판으로서 사용된다. 결정된 T1에서 제 2층이 다양한 온도 설정치를 사용하는 각 워크피스의 제 1 박막 위에 증착될 때, 각 층의 두께와 조성의 변이를 측정하여 제 2 설정치가 무엇일 때 가장 균일한 제 2층을 형성하게 되는지를 확정하게 된다. 전술한 바, 제 2층의 타겟 두께는 약 50Å에서 약 1000Å까지, 바람직하게는 약 100Å에서 약 700Å까지 특정 목적에 요구되는 균일성의 수준에 따라 변화될 수 있다. 최적의 제 2 층이 형성되어 원하는 두께와 원하는 정도의 균일성을 갖는 다층(multy-layer)의 박막이 형성되면 이 공정이 중지될 수 있다. 만일 더 두꺼운 박막을 원하는 경우, 예컨대 다양한 온도 설정치를 사용하는 각 워크피스의 제 2층 위의 제 3층을 증착하는 처음 두개의 알려진 설정치 T1, T2에서 증착되는 두개의 층을 갖는 워크피스의 일괄(batch) 공정을 마련하고, 각 층의 두께와 조성의 변이를 측정하여, 가장 균일한 제 3층을 생기게 하는 제 3 설정치 T3를 확정하므로써 이 공정을 계속할 수 있다.
여기서는 온도 설정치가 증착 공정 중에는 보통 일정하게 유지되나 위에서 교시된 경험적 과정에 의해 증착하는 동안에는 변화될 수 있는 온도 제어 변수의 예로서 사용된다. 경험적인 공정은 단일 박막 증착 공정 중에는 보통 일정하게 유지되는 다른 온도 제어 변수 예컨대, PID 콘트롤러나 PID 상수를 위한 온도 오프셋(offset)에도 적용될 수 있다.
기체 유출률, 기체 유출 분배, 부분압과 기체 조성과 같은 공정 변수들은 전술한 것과 유사한 공정에서 온도 설정치를 확정하기 위해 변화되는 것이 바람직하며, 또는 동일한 실험 중에 각 층의 원하는 증착 조건을 확정하기 위해 변화되는 것이 바람직하다. 다양한 공정 변수와 그것들의 조합이 균일성 및/또는 증착률에 미치는 영향을 결정하기 위해 실험적인 설계 방법이 사용된다. 실험적인 설계 방법 그 자체는 잘 알려져 있는 바, 예컨대 1984년 존 윌리와 손스 출판사에서 간행된 더글러스 몽고메리의 "실험의 설계와 분석" 2판을 보라. 다양한 공정 변수와 그 변수들의 조합이 층의 균일성 및/또는 증착률에 미치는 영향이 이 실험적인 설계 방법에 의해 결정된 후, 특정 공정을 위해서 이 공정들이 컴퓨터 제어에 의해 자동화되어 배치에서-배치로 웨이퍼에서-웨이퍼로 일관성을 보증하게 되는 것이 바람직하다. 가장 바람직한 것은 위에서 언급한 공정 변수들이 단계별로 혹은 다이나믹하게 조정되는 것이다. 층의 특성을 개별적으로 개선하기 위해 변수들을 튜닝하는 경험적 방법은 여기에 언급된 어떤 이론에도 불구하고 모든 단일 구조나 단일 함수의 박막 (공정 견지에서는 다층을 포함하는)의 특성을 개선한다는 것이 밝혀졌다. 따라서, 이 실시예의 작동은 어떤 이론이 맞거나 틀리는 것과는 관계가 없다.
원하는 설정치 T1, T2, T3 T4, 등이 결정되면, 단일한 레서피(recipe)에 대한 다수의 온도 설정치를 갖는 프로그래밍이 허용되는 온도 콘트롤러가 마련된 CVD 챔버를 사용하여 바람직한 실시예가 실행될 수 있다. 이 공정은 온도 설정치 T1을 온도 콘트롤러에 입력하고 X1%의 제 1 실리콘 함유 화학적 전구체를 포함하는 제 1 기 체를 CVD 챔버에 투입하여 행해지는 것이 바람직한데, 여기서 X1은 약 0에서 100의 범위이다. 그후, 제 1 실리콘 함유 층이 상기 챔버안에 담긴 기판위에 증착된다. 이 공정은 온도 설정치 T2를 온도 콘트롤러에 입력하고 X2%의 제 2 실리콘 함유 화학적 전구체를 포함하는 제 2 기체를 CVD 챔버에 투입하고 제 2 실리콘 함유 층을 제 1 실리콘 함유 층위에 증착하여, 다층의 실리콘 함유 박막이 형성되도록 계속되는 것이 바람직하다. 이하에서 설명되고 도 3과 실시예 39에서 도시되는 바와 같이, 제 2 실리콘 함유 화학 전구체는 제 1 실리콘 함유 화학 전구체와 화학적으로 동일하거나 다를 수 있다.
이 공정은 온도 설정치 T3를 온도 콘트롤러에 입력하고 X3%의 제 3 실리콘 함유 화학적 전구체를 포함하는 제 3 기체를 CVD 챔버에 투입하고 제 3 실리콘 함유 층을 제 2 실리콘 함유 층위에 증착하여, 계속해서 원하는 많은 층을 생산하도록 계속되는 것이 바람직하다.
이 명세서의 다른 곳에서 설명된 바와 같이, 종래의 화학 전구체가 실란을 포함하는 것처럼, 실리콘 함유 바람직한 화학적 전구체는 고차의 실란을 포함한다. 실란, 디실란, 트리실란을 포함하는 그룹 중에서 제 1 실리콘 함유 화학 전구체와 제 2 실리콘 함유 화학 전구체 중 최소한 하나가 선택되는 것이 바람직하다. 제 1 기체, 제 2 기체와 제 3 기체 중 최소한 하나는 게르만, 디게르만, 트리게르만, NF3, 모노실릴메탈, 디실릴메탄, 트리실릴메탄, 테트라실릴메탄과 도펀트 전구체를 포함하는 그룹 중에서 선택된 화합물을 포함하는 것이 바람직하다. 각 실리콘 함유 화학 전구체의 양, 즉 X1%, X2%, X3%, X4%에 대한 Xn은 증착공정의 어느 특정 단계에서 전체 부피 대비 부피로 계산할 때, 각 기체에서 독립적으로 약 1×10-6% 에서 약 100% 범위까지이며, 바람직한 것은 약 1×10-4% 에서 약 100%까지이다.
기판은 약 350℃ 이상의 온도인 것이 바람직하며, 더 바람직한 것은 약 450℃에서 약 700℃의 범위이다. CVD 챔버는 단일-웨이퍼, 수평 기체 유출 반응장치를 갖는 것이 바람직하다. 결과로 생긴 다층의 실리콘 함유 박막은 마이크로도트(microdot), SiGe 박막, SiGeC 박막, SiN 박막, 실리콘 옥시전(silicon oxygen) 박막, 실리콘-옥시전-니트로겐(silicon-oxygen-nitrogen) 박막, 보론이 도핑된 박막, 비소가 도핑된 박막, 인이 도핑된 박막과 약 2.2 이하의 유전상수를 갖는 박막을 포함하는 그룹 중에서 선택되는 것이 바람직하다.
적절한 저유전률의 박막을 만들기 위한 방법은 함께 출원 중인 2001년 11월 13일에 출원된 미국 출원 제09/993,024호에 개시되어 있으며, 그 내용이 이 명세서에 참고로서 반영된다.
바람직한 실시예의 공정은 다층의 박막을 단계적으로 또는 연속적으로 증착하여 실행되는 것이 바람직하다. 온도 설정치를 조정하기 위해 증착이 멈추었을 때, 유출률, 부분압, 기체 조성과 같은 공정 변수들도 원하는 대로 조정되어 다양한 조성의 박막을 생산하는 것이 바람직하다. 예를 들면, 전술한 바 증착된 박막은 균등질(homogeneous)의 균일한 조성을 갖거나 조성을 단계적으로 또는 연속적으로 변화시킬 수 있다. 실리콘 함유 화학적 전구체의 아이덴티티가 증착이 멈춘 동안 변할수 있고/거나, 가스 내의 양 X1%, X2%, X3%, X4%이 변할 수 있다. 바람직한 실시예에서는, 비-연속적으로 또는 단계적으로 게르마늄 농도를 변화시키므로써 게르마늄 농도를 등급별(graded)로 성장시키는 것이 공정에 포함되는데, 이는 각 층의 꼭대기에 선택된 농도의 게르마늄층을 증착하여 비연속적인 주기성을 갖는 초격자(superlattice)를 마련하므로써 이루이지는 것이 바람직하다. 실시예 39는 이하의 실시예 43과 함께 이 실시예를 잘 보여준다.
이 실시예의 전체 "박막"은 집적회로에서의 기능의 관점에서 볼 때 단일 구조의 박막으로 구성된다는 것을 알게 될 것이며, 그 두께 전체에 있어 유사한 조성을 갖게 된다. 결과적으로, 위에서 언급한 단계적인 증착 공정에 의해 형성되는 단일 박막을 정의 하자면, 유사한 조성에 의해 동일 구성 요소들이 박막의 두께 중 다른 포인트에서는 다른 농도를 갖는 등급별로 된 박막들이 형성된다는 것이다.
박막의 균일성과 증착률을 정의하는 방법은 잘 알려져 있다. 증착률은 박막의 평균 두께를 시간 변수로 측정하므로써 결정될 수 있으며 분당 옹스트롬(Å/min)의 단위로 표현될 수 있다. 바람직한 증착률은 약 20Å/min 이상이며, 더 바람직한 것은 약 50Å/min이상이고 가장 바람직한 것은 약 100Å/min이상이다. 박막의 두께를 측정하는 적절한 방법은 멀티-포인트 타원편광(ellipsometric)법이다. 박막 두께 측정 기구는 잘 알려져 있고 상업적으로 구입가능한데, 바람직한 기구는 캘리포니아, 써니베일(sunnyvale) 나노메트릭 사의 NanoSpec® 시리즈이다.
여기서 증착된 박막의 균일성을 가리키기 위해 사용되는 "균일성"이라는 용어는 두께 균일성과 조성의 균일성 양자 모두를 가리키기 위해 사용된다. 박막 두께의 균일성은 멀티-포인트에서 두께를 측정하고, 평균 두께를 결정하고 평균치와 다른 다수의 측정치의 평균값을 결정하므로써 결정하는 것이 바람직하다. 비교가 가능하도록, 결과는 비-균일성의 퍼센트로 표현될 수 있다. 비-균일성의 퍼센트가 약 10%이하인 것이 바람직하며, 더 바람직하기는 약 5%이하이며 가장 바람직한 것은 약 2% 이하인 것이다. 조성의 균일성은 전기적 측정(즉, 4-포인트 조사), SIMS (이차 이온 질량 분광 Secondary Ion Mass Spectrometry) RBS( 러더포드 백스캐팅 분광 Rutherford Backscattering Spectrometry) 분광의 타원편광 및/또는 고해상의 엑스-레이 회절(Spectrocpic Elipsometry and/or high resolution X-ray diffractometry HR-XRD)을 사용하여 결정될 수 있다.
도 14는 압력 40 Torr, 온도 600 ℃ 증착률 1306Å/min에서 트리실란을 사용하여 증착된 무정형 실리콘 박막의 러더포드 백스캐팅 스펙트럼(탄성 반동 탐지 elastic recoil detecrion ERD)을 보여준다. 실선은 박막에서 얻은 가공되지 않은 데이타이며, 점선은 잔여 수소 농도를 0.5%로 가정하여 데이타 시뮬레이션 소프트웨어 RUMPTM으로부터 얻은 모델이다. 가공되지 않은 데이타는 아마도 탄화수소 및/또는 수분의 흡수로 인해, 표면이 약간 오염되어 있음을 나타낸다. 하지만 스펙트럼은 박막 내의 잔여 수소 농도가 0.2 아토믹(atomic)% 이하의 수소 농도에 상응되 는 검출 한계 이하임을 보여준다.
도 15는 증착 온도 600 ℃, 650 ℃, 700 ℃, 750 ℃(도 15의 밑에서 위로 가면서 각각)에서 트리실란을 사용하여 증착된 실리콘 박막의 엑스-레이 회절 스펙트럼들이다. X-레이 회절 패턴들은 600 ℃ 에서 증착된 박막은 무정형이고, 650 ℃에서 증착된 박막은 부분적으로 결정질이며, 700 ℃와 750 ℃에서 증착된 박막들이 결정의 성질을 더 많이 가짐을 보여준다. 도 16은 750 ℃( 중간층)에서 증착된 박막의 단면의 투과 전자 포토마이크로그래프(transmission electron photomicrograph)를 재생한 것으로, 이는 트리실란을 사용하여 증착된 다결정 박막에서는 두께가 얇음에도 불구하고, 비교적 높은 정도의 박막 두께의 균일성을 갖게 됨을 보여준다. 박막의 선택 영역 회절(selected area diffraction: SAD) 패턴은 박막 내에 우선적(preferential) 오리엔테이션이 없는 바, 이는 이것이 다결정임을 보여준다.
도 18은 600 ℃, 40 Torr에서 트리실란을 사용하여 증착된 박막의 단면의 주사 전자 포토마이크로그래프(scanning electron photomicrograph)를 스캔하여 재생한 것이다. 이 박막은 굽은 기판위에 증착되었고, 깊고 좁은 균열(seam) 내에서도 탁월한 형태의 동일성(conformality)을 보여준다.
다른 실시예에서는, 고차의 실란이 CVD 합성을 위해 채용될 수 있는데, 낮은 온도, 낮은 압력의, 거의 순수한 실리콘에서 Si3N 까지의 조성범위의 구성요소로 구성된 실리콘 나이트라이드(SiN)재료의 실란이 바람직하다. 바람직한 니트로겐원은 (H3Si)3N (트리실릴아민 trisilylamine), 암모니아, 니트로겐 원자와 NF3이다. 니트로겐 원자는 리모트 마이크로 웨이브 광선 발생기(remote microwave radical generator)를 사용하여 발생되는 것이 바람직하다. CVD 챔버 내로 유입되는 니트로겐원과 고차의 실란의 각각의 양이 선택되어 고차의 실란 대신 실란을 사용하여 만들어지는 유사한 박막보다 높은 정도의 균일성을 갖는 SiN 박막을 제공하는 것이 바람직하다. 바람직한 실시예서는, 니트로겐 원자가 연속적으로 유입되며, 트리실란은 연속적으로 또는 펄스 간격을 두고(in pulse) 유입되는데, 하나 이상의 펄스 간격인 것이 바람직하다. 고차의 실란을 펄스 간격으로 유입하므로써 보다 높은 박막 균일성을 얻을 수 있다는 것이 밝혀졌고, 이하의 예에서 설명되는 바, 특히 얇고 균일성이 높은 SiN 박막은 부정맥 간격의(intermittent) CVD라는 것이 밝혀졌다. 이 실시예와 관련하여, 바람직한 SiN 박막은 약 10Å 내지 약 300Å 범위의 두께를 가지며, 더 바람직한 것은 약 15Å 내지 약 150Å이다.
화학 전구체로 이 니트로겐원을 트리실란과 함께 사용하는 것은, 특히 낮은 온도에서, 최소의 N-H 결합(bond)을 갖는 SiN 원료를 얇은 박막에 증착하여 실란과 같은 전통적인 실리콘 원료를 채용하는 공정에 의해 제공되는 것보다 더 높은 증착률을 얻는 것을 가능하게 한다. 다른 고차의 실란을 사용해도 유사한 결과를 얻게 된다. 450℃를 초과하는 증착 온도에서는 수소 잔여량이 4 atomic % 미만인 것이 바람직하며, 약 2 atomic %인 것이 더 바람직하며, 가장 바람직한 것은 약 1 atomic %이다. 전술한 바, 증착이 질량 전달 제어 지배기간 내에서 이루어지는 것이 바람직하다.
다른 실시예에서, 고차의 실란이 CVD 합성을 위해 채용될 수 있는데, 낮은 온도, 낮은 압력의, 실리콘 옥사이드 원료와 실리콘 옥시나이트라이드(SiN)로 된 실란이 바람직하다. 낮은 온도/고차 실란의 높은 성장률 어드밴티지는, 특히 낮은 압력의 CVD 조건에서, 실란에 기초한 공정에 비해 제조상 잇점을 제공한다. 산소원은 오존, 산소, 물, 산화질소, 과산화수소 등을 포함할 수 있다. 이 물질로 질소를 유입하기 위한 질소원은 트리실릴아민, 질소 원자, 암모니아와 NF3를 포함한다.(전술한 바와 같이). 이와 같은 산소와 질소원은 연속적으로 또는 불연속적인 단계로 혹은 양 공정의 결합을 포함하는 방법으로 채용될 수 있다. 전술한 바, 최소한 거의 질량 전달 제어 지배기간 내에서 증착이 이루어지는 것이 바람직하다. 트리실릴아민과 트리실란을 사용하는 증착은 약 350 ℃에서 약 750 ℃ 범위에서 이루어지는 것이 바람직하며, 약 400 ℃에서 약 700 ℃ 범위에서 이루어지는 것이 더 바람직하고, 약 450 ℃에서 약 650 ℃ 범위에서 이루어지는 것이 가장 바람직하다. NF3를 이용하는 증착은 약 300 ℃에서 약 750 ℃ 범위에서 이루어지는 것이 바람직하며, 약 350 ℃에서 약 700 ℃ 범위에서 이루어지는 것이 더 바람직하고, 약 400 ℃에서 약 650 ℃ 범위에서 이루어지는 것이 가장 바람직하다.
산화물과 옥시나이트라이드(oxynitride)의 증착을 위한 개별적인 예들이 주어져 있지만, 당업자들은 여기에 개시되고 전술된, 실리콘 나이트라이드와 실리콘 게르마늄 화합물 층에 대한 원칙들이 실리콘 산화물(silicon oxide) 증착에도 동일하게 적용됨을 알 것이다. 마찬가지로, 질량 전달 제어 지배기간을 얻기 위한 낮은 온도와 낮은 활성화 에너지의 트리실란의 장점은 기상 증착을 위해서 유용하며, 특히 다양한 실리콘 화합물을 원료로 하는 화학 기상 증착을 위해 그러하다.
바람직한 실시예는 마이크로 전자공학 산업분야에서 다양하게 응용되기에 유용한 박막을 제공한다. 바람직한 실리콘 함유 박막은 두께의 비-균일성이 약 2%이하이며, 조성의 비-균일성도 약 2%이하이다. 여기에 설명된 박막은 다양하게 응용되기에 유용한데, 예를 들어, 트랜지스터 게이트 전극(transistor gate electrode) 등에 그러하다. 여기에 설명된 층은 특히 집적 회로의 게이트 층과 같은 집적 회로 내의 디바이스(device) 층을 형성하는데 유용하다. 다른 예들은 이질접합(heterojunction) 양극 트랜지스터(HBT's) 내의 반도체층을 포함한다. 이런 박막에서 이와 같은 집적회로를 만드는 공정들은 당업자들에게 알려져 있다. 이 집적회로는 당업자에게 알려진 방법으로 컴퓨터 시스템에 합체될 수 있으며 그래서, 다른 바람직한 실시예는 하나 이상의 이런 집적회로를 포함하는 컴퓨터 시스템을 제공한다.
도 1은 여기서 설명된 증착 공정이 채용될 수 있는 바람직한 공정을 도시한 플로우 다이아그램이다. 반도체 기판 상에(over) 게이트 유전체가 형성되어 있다(100). 상기 게이트 유전체는 세척되며(110), 필요하면, 여기에 설명된 바와 같이 트리실란 유출을 포함하여, 실리콘 함유 층이 증착되는 것이 바람직하다(120). 만일 개선된 측면(lateral) 시그날 전달이 필요하면, 임의의 금속층이 실리콘 함유 층 위에 더 증착될 수 있다(130). 이 다층은 포토리토그래피하게(photolithographically) 패턴을 형성하게 되며(140), 제조가 계속된다.
도 2는 도 1의 공정에 의해 형성된 게이트 스택(stack)(200)을 보여준다. 이 게이트 유전체(210)는 반도체 기판(220) 상에 형성된다. 전기적으로 도핑된 실리콘 함유 박막(230)이 상기의 게이트 유전체(210) 상에 형성되며, 임의의 금속층(240)이 상기의 실리콘 함유 박막(230) 위에 위치하여 게이트 스택(200)을 형성한다. 상기의 스택(200)은 패턴을 형성하여 게이트 유전체를 형성하며(도2에 미도시) 집적회로의 제조가 계속된다.
상기의 게이트 유전체들(210)은 최소한 하나의 고유전률의 물질을 포함하는 바, 유전 상수가 5보다 크며 바람직하기는 10이상인 물질을 포함한다. 이 물질에는 알루미늄 옥사이드, 하프늄(hafnium) 옥사이드, 지르코늄(zirconium) 옥사이드가 포함되며, 질이 높은 원자 층 증착(atomic layer depositon ALD)과 핀홀 자유층(pinhole free layers)에 의해 형성되는 것이 바람직하다. 질량 전달 제어 지배기간이나 그 근처에서 트리실란의 사용은, 특히 고차의 게르만과 함께일 때, 이와 같은 고유전률 물질 상의 전통적인 실리콘 증착이 갖는 느린 핵생성(necleation) 시간을 보상한다.
다른 실시예에서는, 에피택셜한 실리콘 함유 층이 단일 결정 기판 상에, 트리실란을 유출하여 증착된다. 실리콘 층과 헤테로에피택셜한 SiGe, SiC, SiGeC층은 여기에 설명된 공정에 의해 증착될 수 있다.
다른 바람직한 실시예는 실리콘 함유 물질을 표면에 증착하는 장치를 제공한다. 이 장치는 CVD 챔버, 트리실란을 담은 용기, 상기 용기를 상기 CVD 챔버와 효과적으로 결합시켜 상기 용기로부터 상기 CVD 챔버로 트리실란이 통과할 수 있도록하는 피드(feed) 라인과 상기 용기에 대해 효과적으로 증착되며 약 10℃에서 약 70℃ 범위의 온도에서 유지되며, 바람직하게는 약 15℃에서 약 70℃ 범위에서 유지되어 상기 트리실란의 증발률을 제어하는 온도 제어장치를 포함한다. 적절한 온도 제어장치의 예들은 열전기 제어장치 및/또는 액체가 채워진 재킷을 포함한다. 상기 CVD 챔버는 단일-웨이퍼이며, 수평 기체유출 반응장치인 것이 바람직하다. 바람직하기는 상기 장치가 상기 피드라인을 효과적으로 연결하여 상기 용기로부터 상기 CVD 챔버로 상기 트리실란이 통과되도록 제어하는 매니폴드(manifold)를 포함하는 것이 바람직하다. 열원이 상기 피드라인에 대해 효과적으로 증착되고, 가스 라인이 약 35℃ 내지 약 70℃로 가열되고, 바람직하게는 약 40℃ 내지 약 52℃로 가열되어 기체 유출률이 높을 때 응결을 방지하는 것이 바람직하다. 트리실란 증기를 운반하는(entrain) 운반기체와 함께 사용되는 버블러(bubbler)에 의해 트리실란이 유입되는 것이 바람직하며, 온도-제어되는 버블러가 더 바람직하며, 트리실란을 전달하는 가열된 가스 라인과 결합된 온도-제어되는 버블러인 것이 가장 바람직하다.
본 발명의 이런 측면들 및 다른 측면들은 상세한 설명과 첨부된 도면에 의해 분명해질 것이지만, 이것은 발명을 설명하기 위한 것으로 발명을 제한하지는 않는다.
도 1은 바람직한 일 실시예에 따른 게이트 스택을 형성하는 공정을 일반적으로 도시한 플로우 챠트;
도 2는 바람직한 일 실시예에 따른 게이트 스택을 도시하며;
도 3은 바람직한 일 실시예에 따른 증착공정이 이루어지는 동안 온도 설정치 변경 공정을 일반적으로 도시한 플로우 챠트;
도 4는 바람직한 SiGe 박막을 위한 측정 사이트의 함수로서 박막 두께의 플롯을 도시하며;
도 5는 실란과 게르만을 사용하여 증착된 SiGe 박막을 도시하는 주사 전자 포토마이크로그래프의 재생;
도 6은 도 5에 도시된 SiGe 박막을 보여주는 주사 전자 포토마이크로그래프의 재생;
도 7은 트리실란과 게르만을 사용하여 증착된 SiGe 박막을 도시하는 주사 전자 포토마이크로그래프의 재생;
도 8은 도 7에 도시된 SiGe 박막을 도시하는 주사 전자 포토마이크로그래프의 재생;
도 9는 바람직한 SiN 박막의 단면을 도시하는 투과 전달 전자 포토마이크로그래프의 재생;
도 10은 이하에 기술된 조건하에서 얻어진 실란, 디실란과 트리실란을 위한 아레니우스 플롯;
도 11은 600℃, 40Torr에서 트리실란(SilcoreTM) 유출률을 함수로 산화물 기판 상의 박막 증착률을 도시한 플롯;
도 12는 650℃, 40Torr에서 트리실란(SilcoreTM)을 사용하여 다양한 증착 시간에 대한 위치를 함수로 박막 두께를 도시한 플롯;
도 13은 트리실란을 사용한 증착을 위한 디보란 유출을 함수로 하는 증착률 플롯;
도 14는 600℃, 40Torr에서 트리실란을 사용하여 증착된 무정형 실리콘 박막의 RBS ERD 스펙트럼;
도 15는 600℃, 650℃, 700℃, 750℃(각각 밑에서 위로)에서 트리실란을 사용하여 증착된 박막에서 얻어진 일련의 엑스레이 회절 패턴;
도 16은 다결정 실리콘 박막 단면의 투과 전자 포토마이크로그래프의 재생;
도 17은 다결정 실리콘 박막의 선택 영역 회절 패턴;
도 18은 등각의 무정형 실리콘 박막의 단면의 주사 전자 포토마이크로그래프의 재생;
도 19는 실리콘 나이트라이드 박막의 RBS 스펙트럼;
도 20은 실리콘 나이트라이드 박막의 RBS ERD 스펙트럼.
이하의 실시예들은 ASM EpsilonTM 2000 의 수평 유출 에피택셜 반응장치 시스템을 사용해서 행해졌으며, 이 시스템은 베르누이 원드(wand) 웨이퍼 전달 시스템, 퍼지-온리 로우드 록(purge-only load lock), 미끄러지지 않는 오목한 서셉터(susceptor), '사각의' 예열링, 조절가능한 스폿(spot) 램프와 개별적으로 튜닝 가능한 가스 유입구 분사기들을 갖추고 있다. 실리콘을 포함하고 게르마늄을 포함하는 전구체들은 피드 가스안의 챔버에 공급되는데, 이 챔버 역시 수소와 디보란 도펀트를 담고 있다. 수소 안의 1%의 B2H6 약 120sccm이 2 slm의 수소 안에서 희석되며, 이 혼합물 120 sccm이 반응장치로 유입되어, 20 slm의 수소 및 전구체와 혼합되어 실시예들에서 보여지는 유출률의 조건하에서, 회전하는 기판상에 증착된다. 증착률은 SIMS 측정과 광학 타원계 측정(Nanometrics)을 사용하여 산소와 보론의 깊이 프로필로부터 계산된다.
실시예 1-4
실리콘 함유 박막이 표 1에 도시된 변수에 따라, 화학 전구체로서 트리실란을 사용하여 증착되었다. 증착 온도가 700℃였던 바, 트리실란을 위한 질량 전달 제어 지배기간 내가다. 하지만 얻어진 박막은 균일하지 않고 오목한 증착 프로필(가운데는 얇고 에지부분이 더 두꺼운)을 보이는데, 이는 유출률이 균일한 박막을 제공하기에 적합하지 않았기(이 특정 증착 조건하에서) 때문이다.
표 1
번호 온도
(℃)
압력
(Torr)
유출률
설정치
(sccm)
전구체 기판 증착
프로필
1 700 40 50 Si3H8 SiO2 오목
2 700 40 45 Si3H8 SiO2 오목
3 700 40 15 Si3H8 SiO2 오목
4 700 40 25 Si3H8 SiO2 오목

실시예 5-15
실리콘 함유 무정형 박막이 표 1에 도시된 변수에 따라, 화학 전구체로서 트리실란을 사용하고 도펀트서 디보란을 사용하여 증착되었다. 수소 안의 1%의 B2H6 약 120sccm이 2 slm의 수소 안에서 희석되며, 이 혼합체 120 sccm이 반응장치로 유입되어, 표 2에 도시된 유출률에서 20 slm의 수소 및 트리실란이나 실란과 혼합되었다. 이 결과는 주어진 온도에서 실란과 비교했을 때, 트리실란을 사용했을 때 일반적으로 더 높은 증착률을 보이는데, 심지어 트리실란의 유출률이 실란의 유출률보다 낮은 경우에도 그러하다.
표 2
번호 온도
(℃)
압력
(Torr)
유출 설정치(sccm) 전구체 기판 증착률
(Å/min)
5C 650 40 50 SiH4 SiO2 46
6C 650 40 50 SiH4 Si<100> 68
7 650 40 50 Si3H8 Si<100> 462
8C 600 40 50 SiH4 SiO2 19
9C 600 40 50 SiH4 Si<100> 9
10 600 40 20 Si3H8 SiO2 359
11 600 40 15 Si3H8 Si<100> 181
12C 550 760 25 SiH4 SiO2 <1
13C 550 40 50 SiH4 SiO2 7
14 550 40 30 Si3H8 SiO2 287
15C 550 40 50 SiH4 SiO2 2


실시예 16-19
실리콘 함유 박막이 표 3에 도시된 변수에 따라, 화학 전구체로서 트리실란을 사용하여 증착되었다. 각 박막이 평균 두께 약 500Å을 갖도록 증착시간이 조절 되었다. 증착률은 나노메트릭(Nanometric) 타원계를 사용하여 평균 박막 두께를 측정하고 이를 증착 시간으로 나눔으로써 결정되었다. 박막의 비-균일성은 박막 두께의 두께 맵의 49 포인트로부터 결정되었다. 이 결과는 지시된 온도에서 실란 대신에 트리실란을 사용하므로써 훨씬 높은 증착률의 훨씬 균일한 박막을 얻는다는 것을 보여준다.
표 3
번호 전구체 온도(℃) 비-균일성 % 증착률
(Å/min)
16C SiH4 600 5.93 18.6
17 Si3H8 600 0.83 372
18C SiH4 550 8.5 7.4
19 Si3H8 550 7.31 287

실시예 20-38
실시예 1-19와 동일한 바, 트리실란 단독 사용 대신 트리실란 80%와 디게르만 20%의 혼합체를 사용하고, 실란 단독 사용대신 실란 80%와 게르만 20%의 혼합체를 사용하여 SiGe 박막을 얻었다는 것이 다르다. 트리실란이나 실란만을 단독 사용했을 때보다 더 높은 증착률이 관찰되었다.
실시예 39
이하와 같이, 도 3에 도시된 플로우 챠트를 참조하여 불연속적인 주기를 가진 초격자 배양에 의해 SiGe 박막이 마련되었다. 불화수소(HF) 청소를 시행하여 천연(native) 산화물 층을 제거하므로써 Si<100> 기판이 마련되고(300), 이에 이어서 상기 기판을 매우-순수한(ultra-pure) 수소 가스의 다량 유출 하에서 상기 반응 챔버안으로 유입하였다. 상기 웨이퍼는 수소 가스의 다량 유출 하에(기판 표면으로부터 어떤 오염물이라도 제거하도록) 약 900℃로 가열되는 동안 60rpm으로 회전된다. 상기 웨이퍼는 냉각되어 약 700℃에서 고정되며, 질량 전달 제어 조건하에서 트리실란과 트리실릴아르신을 사용하여 비소가 도핑된 두께 약 300Å의 실리콘 버퍼(buffer) 층이 배양된다.
상기 웨이퍼 온도는 수소 유출 하에서 냉각되어 약 600℃로 조절된다(310). 디실란 98%와 디게르만 2%를 사용하여 SiGe 초격자의 상기 제 1 주기가 배양된다(320). 트리실란 85%와 디게르만 15%를 사용하여 SiGe 슈퍼격자의 제 2 주기가 배양된다(330).
수소 유출 하에서, 온도 설정치가 3℃씩 낮아지고(340) 상기 웨이퍼는 30초간 고정된다. 트리실란 75%와 디게르만 25%를 사용하여 SiGe 초격자의 제 3 주기가 배양된다(350).
수소 유출 하에서, 온도 설정치가 3℃씩 낮아지고(360) 상기 웨이퍼는 30초간 고정된다. 트리실란 65%와 디게르만 35%를 사용하여 SiGe 초격자의 제 4 주기가 배양된다(370). 트리실란 85%, 디게르만 12%, 디보란 2%와 디실릴메탄 1%를 사용하여 탄소와 보론이 도핑된 SiGe 초격자의 제 5 주기가 배양된다(380). 수소 유출 하에서 상기 반응장치는 30초 정도 퍼지(purge)된다(390). 트리실란 90%와 디게르만 10%를 사용하여 SiGe 초격자의 제 6 주기가 배양된다(400).
수소 유출 하에서, 온도 설정치가 650℃까지 올라가고(410) 램프 뱅크들의 각 힘이 약간 조절되어 성장된 실리콘 캡 층의 웨이퍼 안의 비-균일성이 최대로 된다(420). 상기 웨이퍼는 약 30초간 고정된다. 상기 실리콘 캡 층은 트리실란 100%를 사용하여 배양된다. 상기 웨이퍼가 반응장치로부터 제거되고(430), 다음 웨이퍼가 공정된다.
실시예 40
실리콘 함유, 평균 두께 1038Å의 박막이 화학 전구체로서 트리실란과 게르만을 사용하여, 증착 온도 650℃, 압력 40 Torr에서 증착되었다. 가스 유출 발사기의 설정치는 통상적인 방법으로 경험적으로 튜닝 되었다. 결과로 생긴 SiGe 박막은 6mm의 에지를 배제(edge exclusion)하면서, 49포인트의 일차원 스캔으로 측정한 바, 0.37%의 비-균일성 (8Å 범위에서)을 가졌다. 도 4는 이 박막의 측정 사이트의 함수로서 박막 두께의 플롯이다.
실시예 41(비교례)
실리콘 함유 박막이 화학 전구체로서 트리실란과 게르만을 사용하여, 증착 온도 650℃에서 SiO2 기판상에(핵생성 층 없이) 증착되었다. 얻어진 SiGe 박막의 표면 거칠기(원자력 atomic force 현미경으로 측정한 바)는 10 마이크론×10 마이크론 스캔 넓이(area)에서 226Å이었다. 도 5와 6의 SEM 마이크로그래프에 도시된 바, SiGe 박막의 주사 전자 현미경 검사는(SEM) 섬타입(island type) 증착의 피라미드형 다면 결정(grain)을 보여준다.
실시예 42
실리콘 함유 박막이 600℃에서 실시예 41에 설명된 바와 같이 증착되었다. 그러나 전구체로서 실란과 게르만 대신 트리실란과 게르만이 사용되었다. 결과로 생긴 SiGe 박막의 표면 거칠기(원자력 현미경으로 측정한 바)는 10 마이크론×10 마이크론 스캔 넓이(area)에서 18.4Å이었다. 도 7과 8에 도시된바 SEM 마이크로그래프에서 실증되는 바와 같이, SiGe 박막의 SEM(도 5 및 6과 동일한 매그니피케이션과 틸트 각도에서)은 훨씬 균일한 표면을 드러 내었다
실시예 43-63
일련의 실리콘 함유 박막들이 화학 전구체로서 트리실란과 게르만을 사용하여 증착 온도 650℃에서 SiO2 기판상에(핵생성 층 없이) 증착되었다. 상기 트리실란 유출률은 표4의 실시예에 있어 77sccm(수소 운반체, 버블러)로 고정되었다. 게르만 유출(10% 게르만, 90% 수소)과 증착 온도는 표4에 도시된 바와 같이 변화되었다. 게르마늄 농도(atomic %)와 결과로 생긴 SiGe 박막의 두께는 RBS에 의해 측정되었고, 표면 거칠기가 원자력 현미경(AFM)에 의해 결정되었다. 표 4에 도시된 결과는 매우 균일한 박막이 온도와 유출률 조건의 일 범위에 걸쳐서, 그리고 특히 게르만 농도의 일 범위에 걸쳐 마련될 수 있음을 보여준다
표 4
번호 온도
(℃)
게르만 유출
(sccm)
게르마늄 % 두께(Å) 증착률
(Å/min)
거칠기
(Å)
43 450 25 5.0 34* 8.5 3.2
44 450 50 7.5 34* 11 4.1
45 450 100 11 59* 15 3.7
46 450 100 11 53* 13 nd
47 500 25 6.0 190 63 7.8
48 500 50 10 230 77 9.1
49 500 100 13.5 290 97 8.3
50 500 100 13.5 380* 127 7.2
51 550 25 6.0 630 315 5.2
52 550 50 9.5 670 335 13.6
52 550 100 14 900 450 12.1
54 550 100 14 1016 508 9.4
55 600 25 7.0 1160 580 8.1
56 600 50 13 1230 615 25.7
57 600 100 19 1685 843 31.8
58 650 25 11 630 630 23.3
59 650 50 17 800 800 31.5
60 650 100 27 1050 1050 50.2
61 700 25 11 680 680 18.1
62 700 50 18 835 835 37.8
63 700 100 31 960 960 44.9
* 두께는 광학 테크닉에 의해 측정 되었다.
nd: 판단 안됨.
실시예 64-78
일련의 실리콘 함유 박막들이 천연 산화물 Si<100> 기판상에, 트리실란과 암모니아(실시예 64-77), 실란과 암모니아(비교례 78)을 사용하여 표 5의 조건하에서 증착되었다. 운반기체는 30slm 으로 유출되었고, 암모니아 유출률은 7slm이었다. 표 5는 결과로서 생긴 SiN 박막을 위한 관찰된 증착률과 굴절 지수(RI)를 보여주며, 니트로겐에 대한 실리콘의 원자비(atomic ratio)와 선택된 박막의 수소량("%H" 원자 퍼센트)도 보여준다.
표 5
번호 압력
(Torr)
온도
(℃)
운반체 실리콘원/
유출률(sccm)
층착률Å/min Si/N %H RI
64 20 675 N2 트리실란/20 124 0.88 4 2.074
65 20 725 N2 트리실란/20 149 0.85 4 2.034
66 20 725 N2 트리실란/80 585 0.95 4 2.182
67 20 725 H2 트리실란/80 611 1.0 2.2 2.266
68 20 775 N2 트리실란/20 158 0.88 4 2.010
69 20 775 H2 트리실란/20 117 0.88 3 1.999
70 20 775 N2 트리실란/40 308 0.85 4 2.053
71 20 775 N2 트리실란/80 582 0.88 4 2.101
72 20 775 H2 트리실란/80 600 0.88 3.5 2.146
72 20 775 N2 트리실란/160 1050 0.88 4 2.141
74 20 775 H2 트리실란/160 1283 0.92 3.5 2.281
75 20 775 N2 트리실란/80 346 nd nd 2.006
76 100 775 N2 트리실란/160 589 nd nd 2.028
77 100 775 H2 트리실란/160 244 nd nd 2.012
78 100 775 N2 실란/40 208 nd nd 2.007
nd 판단 안됨
SiN와 %H 값은 러더포드 백스캐터링(RBS)에 의해 결정 되었다. 도 19는 트리실란을 사용하여 775℃, 20 Torr에서 증착된 실리콘 나이트라이드 샘플의 대표적 RBS(2 MeV He++) 스펙트럼이다. 탄성 반동 검출(ERD)을 사용하여 얻은 ERD 스펙트럼이 도 20에 도시되어 있다. 이 도면들은 가공되지 않은 데이타와 RUMP 모델링 프로그램에 기초한 시뮬레이션을 모두 보여주는데, 상기 RUMP 모델링 프로그램은 실리 콘, 니트로겐과 수소 농도의 정량화를 가능케한다. 상기 시뮬레이션은 상기 박막이 대략 Si45N51H4의 스토이키오메트리 갖는다는 것을 보여준다. 도 17의 RBS ERD 스펙트럼은 상기 박막에 수소가 균일하지 않게 분포되었음을 보여준다.
실시예 79-82
일련의 실리콘 함유 박막들이 천연 산화물 Si<100> 기판상에, 트리실란과 니트로겐 원자를 사용하여 증착되었다. 니트로겐 원자는 상업적으로 구입가능한 800 와트의 광선 발생기(MRG)를 사용하여 원격 생성되어 CVD 챔버에 공급되었다. 트리실란은 표 6에 도시된 증착 온도에서, 유출률 5slm(실시예 82에서는 10slm)의 니트로겐 운반기체를 사용하는 버블러에 의해 니트로겐 원자와 함께 CVD 챔버에 공급되었다. 트리실란은 상기 챔버에 연속적으로 공급되거나(실시예 79) 펄스 간격으로(실시예 80-82) 유입 되었다. 펄스 간격으로 유입될 때는 니트로겐 원자의 연속적 유입과 트리실란의 약 1분 내지 30초 간격의 유입이 함께 이루어졌다. 트리실란의 유입은 이하에 기술된 유출 조건하에서 약 6초간 지속되었다. 결과로서 생긴 SiN 박막은 대략 Si43N54-56H3-1의 스토이키오메트리를 갖는다.
표 6은 결과로서 생긴 SiN 박막의 두께, 굴절지수와 수소 레벨(원자 %)를 보여준다. 실시예 79의 SiN 박막은 균일하지 않은데, 왜냐하면, 이것이 에지부분이 중심보다 약간 더 두껍고 측정된 굴절 지수가 박막 표면에서 약간 변화하기(에지보다 중심이 더 높다) 때문이다. 비균일성은 실시예 80-82의 펄스 간격을 둔(pulsed) 공정에 의해 개선되었다. 니트로겐 원자의 유출률을 증가시키고/또는 트리실란의 유출률을 감소시켜도 비균일한 박막이 생길 수 있다.
표 6
번호 공정 증착온도
(℃)
박막 두께(Å) 굴절률 %H
중앙 에지
79 연속 650 869 510 1.97-2.2 2
80 펄스간격 650 324 268 1.98 2
81 펄스간격 650 635 655 1.96 3
82 펄스간격 650 1115 1174 2.02 0.7

실시예 83
실시예 80-82에 관해 대략 전술된 바, 두께 약 18Å의 얇고 균일하며 연속적인 박막이 온도 650℃, 압력 3 Torr에서, 원격 생성되는 니트로겐 원자와 6초 펄스간격의 트리스탄을 사용하여 증착 되었다. 상기 박막은 에폭시로 도포되며 도 9의 TEM 포토마이크로그래프에 도시된 바, 투과 전자 현미경(TEM)을 사용하여 단면과 이미지가 그려진다. 박막/기판 경계에는 천연(native) 산화물이 없는 것으로 밝혀졌다.
실시예 84-87
일련의 실리콘 함유 박막들이 청결한 Si<100> 기판상에, 트리실란을 사용하여 증착 압력 40Torr, 다양한 유출률로 표 7의 증착 온도와 증착률로 증착되었다. 도 7에 도시된 러더포드 백스캐터링 채널링 스펙트럼에서 얻은 χ-min 값으로 나타낸 바와 같이, 높은 질의 에피택셜 실리콘 박막이 생산되었다.
표 7
번호 증착온도
(℃)
층착률
(Å/min)
χ-min(%)
84 550 47 2.7
85 600 50 3.1
86 600 145 2.9
87 650 460 3.2

비록 본 발명의 몇몇 실시예들이 도시되고 설명되었지만, 기술분야의 통상의 지식을 가진 사람들이라면 발명의 원칙이나 정신에서 벗어나지 않으면서 본 실시예을 변형할 수 있음을 알 수 있을 것이다. 발명의 범위는 첨부된 청구항과 그 균등물에 의해 정해질 것이다.
본 발명에 따르면, 특정 전구체의 사용으로 동일 온도에서 종래 전구체에 비해, 질량 전달 제어 성장 지배기간 내에서 또는 그와 더 가까이에서 증착 공정이 이루어지게 된다. 이 지배기간 내에서는, 원하지 않는 구성요소의 집 중 증감, 고르지 못한 박막 증착률 및 그 결과 두께의 비균일성과 같은 온도에 의존하는 비균일성을 피할 수 있다. 바람직한 화학 전구체는 트리실란, 디게르만과 결합된 트리실란을 포함한다. 종래의 화학 전구체에 사용되는 것보다 더 낮은 온도에서 박막 증착률이 더 높은 균일한 증착이 이루어질 수 있다.
본 발명의 다른 측면에 따르면, 바람직한 전구체의 유출률이 온도함수로 조절되어 종래 전구체(예컨대 실란)를 사용한 증착에 비해 균일성이 동일하거나 더 높으면서 더 높은 증착률을 얻는 것이다. 실란과 비교 했을때, 트리실란의 장점은 특히 집적회로의 액티브 층으로서 실리콘 함유 층의 증착에 응용 가능하다는 것이다.
본 발명의 다른 측면에 따르면, 온도, 온도 분배, 압력, 반응물 유출률, 반응물 부분압과 같은 공정 변수들을 단계적으로 또는 다이 내믹하게 변경하여 원하지 않는 구성요소의 집 중 증감과 다양한 박막 증착률 및 그 결과 두께의 비균일성과 같은 것들을 제거하거나 줄이는 방법을 밝히는 것이다. 이 방법은 고차의 실란 및/또는 게르만의 사용과 결합하여 사용될 수 있다.

Claims (83)

  1. 균일한, 실리콘 함유 물질을 표면에 증착하기 위한 공정에 있어서,
    내부에 기판이 배치된 챔버를 제공하는 단계와;
    상기 챔버에, 트리실란 대신 실란을 사용하는 증착에 비해 증착 균일성을 개선하기 위해 선택된 유량으로, 트리실란을 포함하는 기체를 유입하는 단계와;
    상기 기판 전반에 걸쳐 두께 비-균일성이 5% 이하인 실리콘 함유 박막을 상기 기판 위에 증착하는 단계를 포함하고,
    상기 기판은 트리실란 증기를 사용한 증착에 있어 온도에 독립적인 증착 속도를 갖는 질량 전달 제어된 조건을 설정하기 위해 선택된 제어온도를 갖는 것을 특징으로 하는 공정.
  2. 제 1항에 있어서,
    상기 실리콘 함유 박막은 에피택셜인 것을 특징으로 하는 공정.
  3. 제 1항에 있어서,
    상기 실리콘 함유 박막은 다결정인 것을 특징으로 하는 공정.
  4. 제 1항에 있어서,
    상기 온도는 450℃ 내지 750℃ 범위 내인 것을 특징으로 하는 공정.
  5. 제 4항에 있어서,
    상기 온도는 550℃ 내지 650℃ 범위 내인 것을 특징으로 하는 공정.
  6. 제 1항에 있어서,
    상기 실리콘 함유 박막은 분당 50Å 이상의 속도(rate)로 상기 기판 위에 증착되는 것을 특징으로 하는 공정.
  7. 제 1항에 있어서,
    상기 실리콘 함유 박막은 분당 100Å 이상의 속도로 상기 기판 위에 증착되는 것을 특징으로 하는 공정.
  8. 삭제
  9. 제 1항에 있어서,
    상기 무정형의 실리콘 함유 박막은 기판을 가로지르는 두께 비-균일성이 1% 이하인 것을 특징으로 하는 공정.
  10. 제 1항에 있어서,
    상기 기체는 실란, 게르만, 디게르만, 트리게르만, NF3, 모노실릴메탄,디실릴메탄, 트리실릴메탄과 도펀트 전구체를 포함하는 그룹에서 선택된 하나 이상의 화합물을 더 포함하는 것을 특징으로 하는 공정.
  11. 제 1항에 있어서,
    상기 기체는 디게르만을 더 포함하는 것을 특징으로 하는 공정.
  12. 제 1항에 있어서,
    상기 챔버는 단일-웨이퍼, 수평의 기체유출반응장치인 것을 특징으로 하는 공정.
  13. 제 1항에 있어서,
    상기 실리콘 함유 박막은 마이크로도트(microdot), SiGe박막, SiGeC 박막, SiN 박막, 실리콘 옥사이드 박막, 실리콘 옥시나이트라이드 박막, 보론이 도핑된 박막, 비소가 도핑된 박막, 인이 도핑된 박막, 인듐이 도핑된 박막, 안티몬이 도핑된 박막과 유전상수가 2.2 이하인 박막을 포함하는 그룹에서 선택되는 것을 특징으로 하는 공정.
  14. 제 1항에 있어서,
    상기 기판은 반도체 기판 상의 게이트 유전체를 포함하며, 상기 실리콘 함유 박막은 실리콘이며, 상기 게이트 유전체의 유전상수는 5보다 큰 것을 특징으로 하는 공정.
  15. 제 1항에 있어서,
    박막의 패턴을 형성하여 트랜지스터 게이트 전극을 형성하는 단계를 더 포함하는 것을 특징으로 하는 공정.
  16. 실리콘 함유 물질을 표면에 증착하기 위한 공정에 있어서.
    내부에 기판이 배치된 화학기상증착챔버를 제공하는 단계와;
    상기 챔버에 트리실란을 포함하는 기체를 유입하는 단계와;
    상기 기판 상에 상기 기판 전반에 걸쳐 두께 비-균일성이 5%이하인 실리콘 함유 박막을 525℃보다 높은 온도에서 온도에 독립적인 증착 속도를 갖는 질량 전달 제어된 조건 하에서 증착하는 단계를 포함하고,
    상기 박막은 트리실란 대신 실란을 사용하여 만들어진 유사한 박막보다 더 높은 증착률에서 더 높은 정도의 균일성을 갖는 것을 특징으로 하는 실리콘 함유 물질을 표면에 증착하기 위한 공정.
  17. 제 16항에 있어서,
    상기 기판은 550℃이상의 온도에서 유지되는 것을 특징으로 하는 공정.
  18. 제 16항에 있어서,
    상기 기판은 620℃이상의 온도에서 유지되는 것을 특징으로 하는 공정.
  19. 제 16항에 있어서,
    상기 기판은 700℃이상의 온도에서 유지되는 것을 특징으로 하는 공정.
  20. 제 16항에 있어서,
    상기 기판은 450℃에서 700℃ 범위 내의 온도에서 유지되는 것을 특징으로 하는 공정.
  21. 제 16항에 있어서,
    상기 기판은 525℃ 내지 650℃ 범위 내의 온도에서 유지되는 것을 특징으로 하는 공정.
  22. 제 16항에 있어서,
    상기 증착이 분당 50Å 이상의 속도로 이루어지는 것을 특징으로 하는 공정.
  23. 제 16항에 있어서,
    상기 증착이 분당 100Å 이상의 속도로 이루어지는 것을 특징으로 하는 공정.
  24. 제 16항에 있어서,
    상기 기체는 게르만, 디게르만, 트리게르만, NF3, 모노실릴메탄,디실릴메탄, 트리실릴메탄과 도펀트 전구체를 포함하는 그룹에서 선택된 하나 이상의 화합물을 더 포함하는 것을 특징으로 하는 공정.
  25. 제 16항에 있어서,
    상기 기체는 디게르만을 더 포함하는 것을 특징으로 하는 공정.
  26. 제 16항에 있어서,
    상기 화학기상증착챔버는 단일-웨이퍼, 수평의 기체유출반응장치인 것을 특징으로 하는 공정.
  27. 삭제
  28. 제 16항에 있어서,
    상기 무정형의 실리콘 함유 박막은 두께 비-균일성이 1%이하인 것을 특징으로 하는 공정.
  29. 제 16항에 있어서,
    상기 실리콘 함유 박막은 마이크로도트(microdot), SiGe박막, SiGeC 박막, SiN 박막, 실리콘-옥시전 박막, 실리콘-옥시전-니트로겐 박막, 보론이 도핑된 박막, 비소가 도핑된 박막, 인이 도핑된 박막, 인듐이 도핑된 박막, 안티몬이 도핑된 박막과 유전상수가 2.2 이하인 박막을 포함하는 그룹에서 선택되는 것을 특징으로 하는 공정.
  30. 제 16항에 있어서,
    상기 실리콘 함유 박막은 실리콘이며, 상기 기판은 높은 유전상수를 갖는 물질인 것을 특징으로 하는 공정
  31. 제 16항에 있어서,
    상기 실리콘 함유 박막은 에피택셜인 것을 특징으로 하는 공정.
  32. 제 16항에 있어서,
    상기 실리콘 함유 박막은 다결정인 것을 특징으로 하는 공정
  33. 제 16항에 있어서,
    상기 실리콘 함유 박막은 무정형인 것을 특징으로 하는 공정
  34. 제 16항에 있어서,
    패턴을 형성하여 트랜지스터 게이트 전극을 형성하는 단계를 더 포함하는 것을 특징으로 하는 공정.
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. SiGe 물질을 표면에 증착하기 위한 공정에 있어서,
    내부에 기판이 배치된 화학 기상 증착 챔버를 제공하는 단계와;
    온도에 독립적인 증착 속도를 갖는 질량 전달 제어된 조건 하에서, 트리실란과 고차의 게르만으로 구성된 기체를 상기 챔버에 유입하는 단계와;
    상기 기판 전반에 걸쳐 두께 비-균일성이 5% 이하인 SiGe 박막을 상기 기판 상에 증착하는 단계를 포함하는 공정.
  42. 삭제
  43. 제 41항에 있어서,
    상기 고차의 게르만은 디게르만, 트리게르만과 테트라게르만을 포함하는 그룹 중에서 선택되는 것을 특징으로 하는 공정.
  44. 제 41항에 있어서,
    상기 고차의 게르만은 디게르만인 것을 특징으로 하는 공정.
  45. 제 41항에 있어서,
    상기 증착은 475℃ 내지 700℃ 범위 내의 온도에서 이루어지는 것을 특징으로 하는 공정.
  46. 제 41항에 있어서,
    상기 증착이 분당 50Å 이상의 속도로 이루어지는 것을 특징으로 하는 공정.
  47. 제 41항에 있어서,
    상기 증착이 분당 100Å 이상의 속도로 이루어지는 것을 특징으로 하는 공정.
  48. 제 41항에 있어서,
    상기 기체는 모노실릴메탄, 디실릴메탄, 트리실릴메탄, 테트라실릴메탄과 도펀트 전구체를 포함하는 그룹에서 선택된 하나 이상의 화합물을 더 포함하는 것을 특징으로 하는 공정.
  49. 제 41항에 있어서,
    상기 화학기상증착 챔버는 단일-웨이퍼, 수평의 기체유출반응장치인 것을 특징으로 하는 공정.
  50. 삭제
  51. 제 41항에 있어서,
    상기 SiGe 박막은 고차의 실란 대신 실란을 사용하여 만들어진 유사한 박막보다 두께 균일성이 더 높은 것을 특징으로 하는 공정
  52. 제 41항에 있어서,
    상기 SiGe 박막은 고차의 게르만 대신 게르만을 사용하여 만들어진 유사한 박막보다 두께 균일성이 더 높은 것을 특징으로 하는 공정
  53. 제 41항에 있어서,
    패턴을 형성하여 트랜지스터 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 공정.
  54. 삭제
  55. 삭제
  56. 삭제
  57. 실리콘 함유 물질을 표면에 증착하기 위한 공정에 있어서,
    내부에 기판이 배치되고, 단일 레서피(recipe)를 위한 다수의 온도 제어 변수를 갖는 프로그래밍을 허용하도록 구성된 온도 제어기를 구비한 화학기상증착챔버를 제공하는 단계와;
    상기 온도 제어기에 온도 제어 변수 T1을 넣는 단계와;
    상기 챔버에 X1%의 제 1 실리콘 함유 화학 전구체를 포함하는 제 1 기체를 유입하는 단계와,
    질량 전달 제어된 조건 하에서 상기 기판 위에 제 1 실리콘 함유 층을 증착하는 단계와;
    온도 제어 변수 T2를 상기 온도 제어기에 넣는 단계와;
    상기 챔버에 X2%의 제 2 실리콘 함유 화학 전구체를 포함하는 제 2 기체를 유입하는 단계와;
    온도에 독립적인 증착 속도를 갖는 질량 전달 제어된 조건 하에서 상기 제 1 실리콘 함유 층 위에 제 2 실리콘 함유 층을 증착하여, 두께 비-균일성이 5% 이하이며 조성의 비-균일성이 2% 이하인 실리콘 함유 다층의 박막을 형성하는 단계를 포함하고,
    상기 X1은 1×10-4 내지 100 범위 내이며, 상기 X2는 1×10-4내지 100 범위 내이고, 상기 제 1 실리콘 함유 화학 전구체 및 상기 제 2 실리콘 함유 화학 전구체 중 적어도 하나는 트리실란을 포함하는 것을 특징으로 하는 실리콘 함유 물질을 표면에 증착하기 위한 공정.
  58. 제 57항에 있어서,
    상기 온도 제어 변수 T1과 T2는 온도 제어 설정치인 것을 특징으로 하는 공정.
  59. 제 57항에 있어서,
    상기 온도 제어기에 온도 제어 변수 T3를 넣는 단계와;
    상기 챔버에 X3%의 제 3 실리콘 함유 화학 전구체를 포함하는 제 3 기체를 유입하는 단계와;
    상기 제 2 실리콘 함유 층 위에 제 3 실리콘 함유 층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 공정.
  60. 삭제
  61. 제 57항에 있어서,
    상기 제 1 실리콘 함유 화학 전구체와 상기 제 2 실리콘 함유 화학 전구체 중 적어도 하나는 게르만, 디게르만, 트리게르만, NF3, 모노실릴메탄,디실릴메탄, 트리실릴메탄, 테트라실릴메탄, 실릴포스핀 및 실릴아르신을 포함하는 도펀트 전구체를 포함하는 그룹에서 선택된 화합물을 더 포함하는 것을 특징으로 하는 공정.
  62. 제 57항에 있어서,
    상기 기판은 350℃ 이상의 온도를 갖는 것을 특징으로 하는 공정.
  63. 제 57항에 있어서,
    상기 기판은 475℃ 내지 700℃ 범위의 온도를 갖는 것을 특징으로 하는 공정.
  64. 제 57항에 있어서,
    상기 화학기상증착챔버는 단일 웨이퍼, 수평의 기체유출반응장치인 것을 특징으로 하는 공정.
  65. 제 57항에 있어서,
    상기 다층의 실리콘 함유 박막은 마이크로도트(microdot), SiGe박막, SiGeC 박막, SiN 박막, 실리콘 옥시전 박막, 실리콘-옥시전-니트로겐 박막, 보론이 도핑된 박막, 비소가 도핑된 박막, 인이 도핑된 박막, 인듐이 도핑된 박막, 안티몬이 도핑된 박막, 무정형 박막, 다결정 박막, 에피택셜한 박막과 유전상수가 2.2 이하인 박막을 포함하는 그룹에서 선택되는 것을 특징으로 하는 공정.
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 제 1항에 있어서,
    상기 실리콘 함유 박막은 실리콘 옥사이드를 포함하는 것을 특징으로 하는 공정.
  77. 제 1항에 있어서,
    상기 실리콘 함유 박막은 실리콘 옥시나이트라이드를 포함하는 것을 특징으로 하는 공정.
  78. 제 1항에 있어서,
    상기 실리콘 함유 박막은 실리콘 나이트라이드를 포함하는 것을 특징으로 하는 공정.
  79. 제 1항에 있어서,
    상기 기체는 니트로겐원(source)을 더 포함하는 것을 특징으로 하는 공정.
  80. 제 79항에 있어서,
    상기 니트로겐원은 NF3, 트리실릴아민, 니트로겐 원자와 암모니아를 포함하는 그룹 중에서 선택되는 것을 특징으로 하는 공정.
  81. 제 80항에 있어서,
    상기 니트로겐원은 니트로겐 원자인 것을 특징으로 하는 공정.
  82. 제 80항에 있어서,
    상기 트리실란은 펄스 간격으로(in pulse) 유입되는 것을 특징으로 하는 공정.
  83. 제 80항에 있어서,
    상기 실리콘 함유 박막은 10Å 내지 300Å 범위의 두께를 갖는 SiN 박막인 것을 특징으로 하는 공정.
KR1020037010622A 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정 KR101027485B1 (ko)

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
US26833701P 2001-02-12 2001-02-12
US60/268,337 2001-02-12
US27925601P 2001-03-27 2001-03-27
US60/279,256 2001-03-27
US31160901P 2001-08-09 2001-08-09
US60/311,609 2001-08-09
US32364901P 2001-09-19 2001-09-19
US60/323,649 2001-09-19
US33269601P 2001-11-13 2001-11-13
US60/332,696 2001-11-13
US33372401P 2001-11-28 2001-11-28
US60/333,724 2001-11-28
US34045401P 2001-12-07 2001-12-07
US60/340,454 2001-12-07
PCT/US2002/002921 WO2002080244A2 (en) 2001-02-12 2002-02-01 Improved process for deposition of semiconductor films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097009274A Division KR101050377B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정

Publications (2)

Publication Number Publication Date
KR20030076675A KR20030076675A (ko) 2003-09-26
KR101027485B1 true KR101027485B1 (ko) 2011-04-06

Family

ID=27569531

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020037010622A KR101027485B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정
KR1020097009274A KR101050377B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정
KR1020037010624A KR100870507B1 (ko) 2001-02-12 2002-02-12 트리실란을 사용한, 혼합 기판상의 증착
KR10-2003-7010623A KR20030076676A (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 공정
KR1020087027835A KR100934169B1 (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 프로세스

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020097009274A KR101050377B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정
KR1020037010624A KR100870507B1 (ko) 2001-02-12 2002-02-12 트리실란을 사용한, 혼합 기판상의 증착
KR10-2003-7010623A KR20030076676A (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 공정
KR1020087027835A KR100934169B1 (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 프로세스

Country Status (8)

Country Link
US (15) US6821825B2 (ko)
EP (3) EP1421607A2 (ko)
JP (8) JP4866534B2 (ko)
KR (5) KR101027485B1 (ko)
AT (1) ATE400060T1 (ko)
AU (2) AU2002306436A1 (ko)
DE (2) DE60227350D1 (ko)
WO (5) WO2002080244A2 (ko)

Families Citing this family (752)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143631A (en) * 1998-05-04 2000-11-07 Micron Technology, Inc. Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4029420B2 (ja) * 1999-07-15 2008-01-09 独立行政法人科学技術振興機構 ミリ波・遠赤外光検出器
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
FR2812763B1 (fr) * 2000-08-04 2002-11-01 St Microelectronics Sa Formation de boites quantiques
EP1355864A2 (en) * 2000-08-28 2003-10-29 Applied Materials, Inc. Pre-polycoating of glass substrates
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6750119B2 (en) 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
EP1403929A4 (en) * 2001-06-05 2008-06-04 Sony Corp SEMICONDUCTOR SURFACE AND TRAINING METHOD THEREFOR AND SEMICONDUCTOR COMPONENT AND METHOD OF MANUFACTURING THEREOF
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP2003077845A (ja) * 2001-09-05 2003-03-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP2003224204A (ja) * 2002-01-29 2003-08-08 Mitsubishi Electric Corp キャパシタを有する半導体装置
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3719998B2 (ja) * 2002-04-01 2005-11-24 松下電器産業株式会社 半導体装置の製造方法
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6740568B2 (en) * 2002-07-29 2004-05-25 Infineon Technologies Ag Method to enhance epitaxial regrowth in amorphous silicon contacts
US7399500B2 (en) * 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
DE60329421D1 (de) * 2002-12-20 2009-11-05 Imec Verfahren zur herstellung eines halbleiterbauelements
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
WO2004081986A2 (en) * 2003-03-12 2004-09-23 Asm America Inc. Method to planarize and reduce defect density of silicon germanium
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
WO2004084268A2 (en) * 2003-03-13 2004-09-30 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4714422B2 (ja) 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置
JP4689969B2 (ja) * 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US7074630B2 (en) * 2003-05-20 2006-07-11 United Microelectronics Corp. Method of forming light emitter layer
US20040241948A1 (en) * 2003-05-29 2004-12-02 Chun-Feng Nieh Method of fabricating stacked gate dielectric layer
JP4158607B2 (ja) * 2003-06-09 2008-10-01 株式会社Sumco 半導体基板の製造方法
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7122408B2 (en) 2003-06-16 2006-10-17 Micron Technology, Inc. Photodiode with ultra-shallow junction for high quantum efficiency CMOS image sensor and method of formation
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7282738B2 (en) * 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
JP2007505477A (ja) * 2003-07-23 2007-03-08 エーエスエム アメリカ インコーポレイテッド シリコン−オン−インシュレーター構造及びバルク基板に対するSiGeの堆積
JP2007511892A (ja) * 2003-07-30 2007-05-10 エーエスエム アメリカ インコーポレイテッド 緩和シリコンゲルマニウム層のエピタキシャル成長
KR20060054387A (ko) * 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
WO2005020928A2 (en) 2003-08-29 2005-03-10 The Regents Of The University Of California Agents and methods for enhancing bone formation by oxysterols in combination with bone morphogenic proteins
DE10341806B4 (de) * 2003-09-10 2008-11-06 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung einer epitaktischen Silizium-Germanium Basisschicht eines heterobipolaren pnp Transistors
US7175966B2 (en) * 2003-09-19 2007-02-13 International Business Machines Corporation Water and aqueous base soluble antireflective coating/hardmask materials
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4655578B2 (ja) * 2003-10-20 2011-03-23 東京エレクトロン株式会社 成膜装置及び成膜方法
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7329593B2 (en) * 2004-02-27 2008-02-12 Asm America, Inc. Germanium deposition
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
FR2868203B1 (fr) * 2004-03-29 2006-06-09 St Microelectronics Sa Procede de fabrication d'un transistor bipolaire a base extrinseque monocristalline
JP4874527B2 (ja) * 2004-04-01 2012-02-15 トヨタ自動車株式会社 炭化珪素半導体基板及びその製造方法
JP2007535147A (ja) * 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド インサイチュドープトエピタキシャルフィルム
US7084040B2 (en) * 2004-04-23 2006-08-01 Northrop Grumman Corp. Method for growth of group III-V semiconductor material on a dielectric
US7202142B2 (en) * 2004-05-03 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing low defect density strained -Si channel MOSFETS
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
CA2566944C (en) * 2004-05-20 2016-10-11 Nam Hung Tran Bubbler for constant vapor delivery of a solid chemical
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR101176668B1 (ko) * 2004-06-10 2012-08-23 어플라이드 머티어리얼스, 인코포레이티드 Uv 방사를 이용한 실리콘-함유 막들의 저온 에피택셜 성장
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
EA016357B1 (ru) 2004-07-30 2012-04-30 Ринат Ньюросайенс Корп. Антитела, направленные против бета-амилоидного пептида, и способы их применения
ATE526433T1 (de) * 2004-08-04 2011-10-15 Oerlikon Solar Ag Haftschicht für dünnschichttransistor
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
US7309660B2 (en) * 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7071125B2 (en) * 2004-09-22 2006-07-04 Intel Corporation Precursors for film formation
US7314513B1 (en) * 2004-09-24 2008-01-01 Kovio, Inc. Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions
TW200619416A (en) * 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
JP5047486B2 (ja) * 2004-10-13 2012-10-10 アイメック 半導体デバイスの製造方法
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4945072B2 (ja) * 2004-11-09 2012-06-06 株式会社東芝 半導体装置及びその製造方法
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP2006176811A (ja) * 2004-12-21 2006-07-06 Rikogaku Shinkokai 結晶性SiC膜の製造方法
KR100579860B1 (ko) * 2004-12-23 2006-05-12 동부일렉트로닉스 주식회사 원자층 증착법(ald) 및 ⅲ족 중금속을 이용한 반도체소자의 p형 폴리실리콘막 형성 방법
US9640649B2 (en) * 2004-12-30 2017-05-02 Infineon Technologies Americas Corp. III-nitride power semiconductor with a field relaxation feature
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7772088B2 (en) * 2005-02-28 2010-08-10 Silicon Genesis Corporation Method for manufacturing devices on a multi-layered substrate utilizing a stiffening backing substrate
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
MY148086A (en) 2005-04-29 2013-02-28 Rinat Neuroscience Corp Antibodies directed against amyloid-beta peptide and methods using same
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20100104755A1 (en) * 2005-06-29 2010-04-29 Christian Dussarrat Deposition method of ternary films
EP1907599A2 (en) * 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7166520B1 (en) * 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
DE102005047221B4 (de) * 2005-10-01 2015-08-06 APSOL GmbH Halbleiterschichtstruktur, Bauelement mit einer solchen Halbleiterschichtstruktur, Halbleiterschichtstruktur-Scheiben und Verfahren zu deren Herstellung
WO2007044429A2 (en) * 2005-10-05 2007-04-19 Nanogram Corporation Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US20070096091A1 (en) * 2005-11-03 2007-05-03 Chih-Chun Wang Layer structure and removing method thereof and mehod of testing semiconductor machine
KR101019293B1 (ko) 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 원자층 증착 장치 및 방법
US7300849B2 (en) * 2005-11-04 2007-11-27 Atmel Corporation Bandgap engineered mono-crystalline silicon cap layers for SiGe HBT performance enhancement
US7439558B2 (en) 2005-11-04 2008-10-21 Atmel Corporation Method and system for controlled oxygen incorporation in compound semiconductor films for device performance enhancement
US7651919B2 (en) * 2005-11-04 2010-01-26 Atmel Corporation Bandgap and recombination engineered emitter layers for SiGe HBT performance optimization
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP4792956B2 (ja) * 2005-12-13 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
JP4792957B2 (ja) * 2005-12-14 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
US7312154B2 (en) * 2005-12-20 2007-12-25 Corning Incorporated Method of polishing a semiconductor-on-insulator structure
WO2007078802A2 (en) 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
WO2007077917A1 (ja) * 2005-12-28 2007-07-12 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP2009522812A (ja) * 2006-01-09 2009-06-11 インターナショナル レクティファイアー コーポレイション 電界緩和機能を有するiii族窒化物電力半導体
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR100745372B1 (ko) * 2006-02-06 2007-08-02 삼성전자주식회사 반도체 제조설비의 개스플로우량 감시장치 및 그 방법
AU2007217366A1 (en) 2006-02-27 2007-08-30 The Regents Of The University Of California Oxysterol compounds and the hedgehog pathway
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7863157B2 (en) 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
JP2009532918A (ja) 2006-04-05 2009-09-10 シリコン ジェネシス コーポレーション レイヤトランスファプロセスを使用する太陽電池の製造方法および構造
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
WO2007130916A2 (en) * 2006-05-01 2007-11-15 Applied Materials, Inc. A method of ultra-shallow junction formation using si film alloyed with carbon
DE102006020825A1 (de) * 2006-05-04 2007-11-08 Siltronic Ag Verfahren zur Herstellung einer Schichtenstruktur
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
SG171683A1 (en) * 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7547621B2 (en) * 2006-07-25 2009-06-16 Applied Materials, Inc. LPCVD gate hard mask
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
KR100753546B1 (ko) * 2006-08-22 2007-08-30 삼성전자주식회사 트랜지스터의 게이트 및 그 형성 방법.
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
EP2076558B8 (en) 2006-10-24 2018-08-01 Dow Silicones Corporation Composition comprising neopentasilane and method of preparing same
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
WO2008057616A2 (en) 2006-11-02 2008-05-15 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US8110412B2 (en) * 2006-12-22 2012-02-07 Spansion Llc Integrated circuit wafer system with control strategy
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
ITMI20070271A1 (it) * 2007-02-14 2008-08-15 St Microelectronics Srl Processo peer fabbricare un dispositivo tft con regioni di source e dain aventi un profilo di drogante graduale
JP2008218661A (ja) * 2007-03-02 2008-09-18 Fujitsu Ltd 電界効果型半導体装置及びその製造方法
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
EP1973150A1 (en) * 2007-03-20 2008-09-24 S.O.I. Tec Silicon on Insulator Technologies S.A. A (110) oriented silicon substrate and a bonded pair of substrates comprising said (110) oriented silicon substrate and corresponding methods of fabricating same
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080246101A1 (en) * 2007-04-05 2008-10-09 Applied Materials Inc. Method of poly-silicon grain structure formation
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
JP4854591B2 (ja) * 2007-05-14 2012-01-18 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
ES2331824B1 (es) * 2007-06-18 2010-10-22 Consejo Superior De Investigaciones Cientificas (Csic) Microcabidades opticas y esponjas fotonicas, procedimiento de producc ion y sus aplicaciones en la fabricacion de dispositivos fotonicos.
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
CN101720507B (zh) * 2007-06-25 2012-01-11 桑迪士克3D公司 含有碳或氮掺杂的二极管的非易失性存储器件及其制造和操作方法
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
KR100812089B1 (ko) * 2007-06-26 2008-03-07 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
US7799376B2 (en) * 2007-07-27 2010-09-21 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
JP5164465B2 (ja) * 2007-07-27 2013-03-21 株式会社アルバック 樹脂基板
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
EP2231164A1 (en) 2007-12-03 2010-09-29 The Regents of the University of California Oxysterols for activation of hedgehog signaling, osteoinduction, antiadipogenesis, and wnt signaling
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7989360B2 (en) * 2008-01-07 2011-08-02 Micron Technology, Inc. Semiconductor processing methods, and methods for forming silicon dioxide
US8347814B2 (en) * 2008-01-22 2013-01-08 Raytheon Canada Limited Method and apparatus for coating a curved surface
US8318252B2 (en) 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090258151A1 (en) * 2008-04-10 2009-10-15 Raytheon Company Method and Apparatus for Coating Curved Surfaces
US7720342B2 (en) * 2008-04-15 2010-05-18 Hewlett-Packard Development Company, L.P. Optical device with a graded bandgap structure and methods of making and using the same
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
KR101604864B1 (ko) 2008-04-25 2016-03-18 에이에스엠 인터내셔널 엔.브이. 텔루르와 셀렌 박막의 원자층 증착을 위한 전구체의 합성과 그 용도
US20090267118A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Method for forming carbon silicon alloy (csa) and structures thereof
US8398776B2 (en) * 2008-05-12 2013-03-19 Raytheon Canada Limited Method and apparatus for supporting workpieces in a coating apparatus
JP5519649B2 (ja) * 2008-05-29 2014-06-11 エヌディーエスユー リサーチ ファウンデーション 官能化されたシランの形成法
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8246748B2 (en) * 2008-07-09 2012-08-21 Raytheon Canada Limited Method and apparatus for coating surfaces
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
JP5336956B2 (ja) * 2008-07-31 2013-11-06 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
DE102008063402B4 (de) * 2008-12-31 2013-10-17 Advanced Micro Devices, Inc. Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
US20100178758A1 (en) * 2009-01-15 2010-07-15 Macronix International Co., Ltd. Methods for fabricating dielectric layer and non-volatile memory
WO2010088046A1 (en) * 2009-01-30 2010-08-05 Bp Corporation North America Inc. Seed layers and process of manufacturing seed layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
DE102009002758A1 (de) * 2009-04-30 2010-11-11 Evonik Degussa Gmbh Bandgap Tailoring von Solarzellen aus Flüssigsilan mittels Germanium-Zugabe
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
DE102009032854B4 (de) * 2009-07-13 2015-07-23 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von Bipolartransistorstrukturen in einem Halbleiterprozess
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US20110020623A1 (en) * 2009-07-22 2011-01-27 Raytheon Company Method and Apparatus for Repairing an Optical Component Substrate Through Coating
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
CN102687243B (zh) 2009-10-26 2016-05-11 Asm国际公司 用于含va族元素的薄膜ald的前体的合成和使用
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
KR101818272B1 (ko) 2010-01-28 2018-02-21 엔디에스유 리서치 파운데이션 시클로헥사실란 화합물의 제조 방법
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
JP5692763B2 (ja) * 2010-05-20 2015-04-01 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
EP2588650A4 (en) 2010-07-02 2014-03-19 Matheson Tri Gas Inc SELECTIVE EPITAXY OF SI-CONTAINING MATERIALS AND SUBSTITUTIONALLY DOPED SI-CONTAINING CRYSTAL MATERIALS
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
US8263988B2 (en) * 2010-07-16 2012-09-11 Micron Technology, Inc. Solid state lighting devices with reduced crystal lattice dislocations and associated methods of manufacturing
US9017486B2 (en) * 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
CN103189956B (zh) * 2010-09-15 2018-06-22 普莱克斯技术有限公司 延长离子源寿命的方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US20130251913A1 (en) * 2010-11-30 2013-09-26 Advanced Technology Materials, Inc. Ion implanter system including remote dopant source, and method comprising same
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
EP2474643B1 (en) 2011-01-11 2016-01-06 Imec Method for direct deposition of a germanium layer
DE102011009964A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Weich-, Hart- und Hochtemperaturlöten
DE102011009963A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Lichtbogenfügen und Schutzgasmischung
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US10043934B2 (en) * 2011-06-08 2018-08-07 International Business Machines Corporation Silicon-containing heterojunction photovoltaic element and device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
JP5741382B2 (ja) * 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
WO2013169399A1 (en) 2012-05-07 2013-11-14 The Regents Of The University Of California Oxysterol analogue oxy133 induces osteogenesis and hedgehog signaling and inhibits adipogenesis
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8889529B2 (en) * 2012-05-24 2014-11-18 International Business Machines Corporation Heterojunction bipolar transistors with thin epitaxial contacts
US9064924B2 (en) * 2012-05-24 2015-06-23 International Business Machines Corporation Heterojunction bipolar transistors with intrinsic interlayers
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9064694B2 (en) * 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8946035B2 (en) 2012-09-27 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104641453B (zh) 2012-10-12 2018-03-30 住友电气工业株式会社 Iii族氮化物复合衬底及其制造方法以及制造iii族氮化物半导体器件的方法
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US9431068B2 (en) 2012-10-31 2016-08-30 Mie Fujitsu Semiconductor Limited Dynamic random access memory (DRAM) with low variation transistor peripheral circuits
JP2014093345A (ja) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku 複数の基板上へシリコン膜を一括して形成する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
CN103107095A (zh) * 2013-01-25 2013-05-15 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板、显示装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9214630B2 (en) 2013-04-11 2015-12-15 Air Products And Chemicals, Inc. Method of making a multicomponent film
JP2016517888A (ja) 2013-05-02 2016-06-20 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 骨選択的骨形成のオキシステロール骨標的薬剤
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
CN105164300A (zh) * 2013-07-12 2015-12-16 惠普发展公司,有限责任合伙企业 非晶薄金属膜
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
KR102326396B1 (ko) 2013-09-27 2021-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150171321A1 (en) 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2014166957A (ja) * 2014-04-24 2014-09-11 Sumitomo Electric Ind Ltd 炭化珪素半導体およびその製造方法と製造装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
WO2016018284A1 (en) 2014-07-30 2016-02-04 Hewlett-Packard Development Company, L.P. Amorphous metal alloy electrodes in non-volatile device applications
US20170226640A1 (en) * 2014-08-01 2017-08-10 3M Innovative Properties Company Substrate with amorphous, covalently-bonded layer and method of making the same
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9390925B1 (en) 2014-12-17 2016-07-12 GlobalFoundries, Inc. Silicon—germanium (SiGe) fin formation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10403744B2 (en) * 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211180A1 (en) * 2016-01-22 2017-07-27 Silcotek Corp. Diffusion-rate-limited thermal chemical vapor deposition coating
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6575433B2 (ja) * 2016-05-23 2019-09-18 株式会社デンソー 半導体装置の製造方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102369071B1 (ko) 2016-10-03 2022-03-02 어플라이드 머티어리얼스, 인코포레이티드 다중 채널 유량 제어기 및 처리 챔버
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125141A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Methods for incorporating stabilized carbon into silicon nitride films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) * 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
RU2661320C1 (ru) * 2017-04-26 2018-07-13 Закрытое акционерное общество Научно-инженерный центр "ИНКОМСИСТЕМ" Способ гидрофобизации субстрата
JP2018199863A (ja) * 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン柱を形成する方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
FR3073665B1 (fr) * 2017-11-15 2019-11-29 Centre National De La Recherche Scientifique Procede de fabrication de couche mince transferable
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR102501287B1 (ko) 2018-07-30 2023-02-21 어플라이드 머티어리얼스, 인코포레이티드 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11562903B2 (en) * 2019-01-17 2023-01-24 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11791159B2 (en) 2019-01-17 2023-10-17 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11589572B2 (en) 2019-05-23 2023-02-28 Scott A. Butz Moving decoy support system
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111074217A (zh) * 2019-12-24 2020-04-28 江苏杰太光电技术有限公司 一种掺杂非晶硅的靶材及太阳能电池制备方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) * 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
US20220359192A1 (en) * 2021-04-21 2022-11-10 Entegris, Inc. Silicon precursor compounds and method for forming silicon-containing films
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FR3131332A1 (fr) * 2021-12-23 2023-06-30 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nouveaux dérivés de silyle et polysilyle inorganiques d’éléments du groupe v et procédés de synthèse de ceux-ci et procédés d’utilisation de ceux-ci pour un dépôt
WO2023121973A1 (en) * 2021-12-23 2023-06-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New inorganic silyl and polysilyl derivatives of group v elements and methods of synthesizing the same and methods of using the same for deposition
EP4215649A1 (en) 2022-01-24 2023-07-26 Ivan Timokhin Preparation of shaped crystalline layers by use of the inner shape/surface of the ampule as a shape forming surface
WO2024004998A1 (ja) * 2022-06-29 2024-01-04 株式会社日本触媒 シリコン膜の製造方法及びシリコン膜

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0562911A (ja) * 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
JPH07249618A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法

Family Cites Families (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US495218A (en) * 1893-04-11 Elastic tire
US117956A (en) * 1871-08-08 Improvement in barrel-pitching machines
US2002A (en) * 1841-03-12 Tor and planter for plowing
US1217956A (en) * 1916-11-18 1917-03-06 Pittsburgh Plate Glass Co Pot for the manufacture of plate-glass, and the method of making the same.
US1268064A (en) * 1917-06-19 1918-05-28 Johnson & Johnson First-aid packet.
US2155225A (en) * 1936-04-11 1939-04-18 Westinghouse Air Brake Co Empty and load apparatus
US3185817A (en) * 1954-09-30 1965-05-25 North American Aviation Inc Gyroscope filtering and computing system
US3091239A (en) * 1958-08-25 1963-05-28 Moeller Wilhelm Apparatus for intravasal injection of gaseous and liquid media
US3187215A (en) * 1961-10-02 1965-06-01 Bendix Corp Spark gap device
US3292741A (en) * 1964-10-27 1966-12-20 Bendix Corp Parking mechanism for dual brake
DE2023992A1 (de) 1970-05-15 1971-12-02 Siemens Ag Verfahren zum Dotieren von Silicium- oder Germaniumkristallen mit Antimon und/ oder Wismut im Einzonenofen
US3900597A (en) * 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
GB1573154A (en) * 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
US4217374A (en) 1978-03-08 1980-08-12 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4223048A (en) 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4237150A (en) 1979-04-18 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Method of producing hydrogenated amorphous silicon film
FR2464478A1 (fr) * 1979-09-04 1981-03-06 Suisse Horlogerie Detecteur d'avance d'un moteur pas a pas
US4411729A (en) * 1979-09-29 1983-10-25 Fujitsu Limited Method for a vapor phase growth of a compound semiconductor
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4379020A (en) 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US4444812A (en) 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4452875A (en) 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS6043485B2 (ja) 1982-12-08 1985-09-28 豊田株式会社 高速道路の安全対策装置車
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4557794A (en) 1984-05-07 1985-12-10 Rca Corporation Method for forming a void-free monocrystalline epitaxial layer on a mask
US4578142A (en) 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4634605A (en) 1984-05-23 1987-01-06 Wiesmann Harold J Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof
US4592933A (en) 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4707197A (en) 1984-08-02 1987-11-17 American Telephone And Telegraph Company, At&T Bell Laboratories Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method
US4631804A (en) 1984-12-10 1986-12-30 At&T Bell Laboratories Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
JPS61191015A (ja) 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4615762A (en) 1985-04-30 1986-10-07 Rca Corporation Method for thinning silicon
US4695331A (en) 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
JPS61291410A (ja) 1985-06-17 1986-12-22 Mitsubishi Chem Ind Ltd ケイ素の製造方法
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
JPS6276812A (ja) 1985-09-30 1987-04-08 Toshiba Corp ヒステリシス回路
JPS6277612A (ja) 1985-10-01 1987-04-09 Nippon Atom Ind Group Co Ltd プラント異常診断方法
US4891092A (en) 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
EG18056A (en) 1986-02-18 1991-11-30 Solarex Corp Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices
JPS62253771A (ja) 1986-04-28 1987-11-05 Hitachi Ltd 薄膜形成方法
US4755481A (en) 1986-05-15 1988-07-05 General Electric Company Method of making a silicon-on-insulator transistor
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
JP2592238B2 (ja) 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
EP0254651B1 (en) 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US5082696A (en) 1986-10-03 1992-01-21 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4902645A (en) 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
JPH01134932A (ja) 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
JP2534525B2 (ja) * 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
DE3803895C1 (ko) * 1988-02-09 1989-04-13 Degussa Ag, 6000 Frankfurt, De
JPH01217958A (ja) 1988-02-26 1989-08-31 Toshiba Corp 寄生電流誤動作防止回路
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
EP0332101B1 (en) * 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
EP0337445A3 (en) * 1988-04-13 1991-01-16 Hitachi, Ltd. Laminar structure comprising organic material and inorganic material, methods for producing it and its use
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
US4933206A (en) 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US5091761A (en) * 1988-08-22 1992-02-25 Hitachi, Ltd. Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
JPH02235327A (ja) * 1989-03-08 1990-09-18 Fujitsu Ltd 半導体成長装置および半導体成長方法
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
JPH03193880A (ja) 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) * 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
US5068124A (en) 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US5198387A (en) 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP3469251B2 (ja) 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) * 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
US5250452A (en) 1990-04-27 1993-10-05 North Carolina State University Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
DE69130595T2 (de) * 1990-07-06 1999-05-27 Tsubochi Kazuo Verfahren zur Herstellung einer Metallschicht
JPH0485818A (ja) 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
KR100209856B1 (ko) * 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5080933A (en) 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
DE69119354T2 (de) 1990-10-29 1996-09-19 Nec Corp DRAM Zelle mit Stapelkondensator
EP0507933B1 (en) * 1990-10-31 1996-05-22 Baxter International Inc. Close vascularization implant material
US6893906B2 (en) * 1990-11-26 2005-05-17 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
SG63578A1 (en) 1990-11-16 1999-03-30 Seiko Epson Corp Thin film semiconductor device process for fabricating the same and silicon film
JPH10223911A (ja) 1990-11-16 1998-08-21 Seiko Epson Corp 薄膜半導体装置
US5849601A (en) * 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5110757A (en) 1990-12-19 1992-05-05 North American Philips Corp. Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition
JPH0691249B2 (ja) * 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
JP3091239B2 (ja) 1991-01-28 2000-09-25 三菱レイヨン株式会社 プラスチック光ファイバコード
US5112773A (en) 1991-04-10 1992-05-12 Micron Technology, Inc. Methods for texturizing polysilicon utilizing gas phase nucleation
JP2907403B2 (ja) * 1991-03-22 1999-06-21 キヤノン株式会社 堆積膜形成装置
JP2794499B2 (ja) * 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH04299515A (ja) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜およびその製造方法
JP3200863B2 (ja) * 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
JPH04332115A (ja) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2508948B2 (ja) 1991-06-21 1996-06-19 日本電気株式会社 半導体装置の製造方法
JPH07187892A (ja) * 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
JPH0521385A (ja) * 1991-07-10 1993-01-29 Nippon Steel Corp アルミニウム合金薄膜の製造方法
EP0552375B1 (en) * 1991-07-16 2004-06-02 Seiko Epson Corporation Method of forming a semiconductor film with a chemical vapor deposition apparatus
US5225032A (en) 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JP2845303B2 (ja) * 1991-08-23 1999-01-13 株式会社 半導体エネルギー研究所 半導体装置とその作製方法
JPH0562811A (ja) 1991-09-03 1993-03-12 Matsushita Electric Ind Co Ltd 機能トリミング方法
JP3118037B2 (ja) * 1991-10-28 2000-12-18 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5485019A (en) 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5324684A (en) 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JPH05315269A (ja) * 1992-03-11 1993-11-26 Central Glass Co Ltd 薄膜の製膜方法
JP2951146B2 (ja) * 1992-04-15 1999-09-20 キヤノン株式会社 光起電力デバイス
JP3156878B2 (ja) 1992-04-30 2001-04-16 株式会社東芝 半導体装置およびその製造方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
JP3200197B2 (ja) 1992-09-24 2001-08-20 コマツ電子金属株式会社 気相成長装置及びその排気管
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
WO1994014154A1 (en) * 1992-12-10 1994-06-23 Westinghouse Electric Corporation Increased brightness drive system for an electroluminescent display panel
US5563093A (en) 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JPH06302526A (ja) 1993-04-16 1994-10-28 Kokusai Electric Co Ltd アモルファスシリコン膜の形成方法
JPH06310493A (ja) 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5385869A (en) * 1993-07-22 1995-01-31 Motorola, Inc. Semiconductor chip bonded to a substrate and method of making
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) * 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5360986A (en) 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
US6162667A (en) 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3494467B2 (ja) * 1994-04-28 2004-02-09 沖電気工業株式会社 半導体薄膜の形成方法
JP2630257B2 (ja) 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
DE69531654T2 (de) 1994-06-15 2004-07-29 Seiko Epson Corp. Verfahren zur herstellung eines dünnschicht-halbleiter-transistors
US20020009827A1 (en) * 1997-08-26 2002-01-24 Masud Beroz Microelectronic unit forming methods and materials
US6121081A (en) 1994-11-15 2000-09-19 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon
US5656819A (en) * 1994-11-16 1997-08-12 Sandia Corporation Pulsed ion beam source
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5677236A (en) 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
KR0180779B1 (ko) 1995-02-27 1999-03-20 김주용 반도체소자의 캐패시터 제조방법
US5698771A (en) * 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JPH08306688A (ja) 1995-04-28 1996-11-22 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
KR100300263B1 (ko) 1995-08-04 2001-12-17 구사마 사부로 박막트랜지스터의제조방법,액티브매트릭스기판의제조방법및액정표시장치
US6161498A (en) * 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3305929B2 (ja) 1995-09-14 2002-07-24 株式会社東芝 半導体装置及びその製造方法
JP3432059B2 (ja) 1995-09-25 2003-07-28 キヤノン株式会社 光起電力素子の形成方法
US5893949A (en) 1995-12-26 1999-04-13 Xerox Corporation Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates
JPH09191117A (ja) * 1996-01-09 1997-07-22 Mitsui Toatsu Chem Inc 半導体薄膜
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
JP3841910B2 (ja) 1996-02-15 2006-11-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JP4093604B2 (ja) * 1996-03-25 2008-06-04 純一 半那 導電性パターンの形成方法
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
US5863598A (en) 1996-04-12 1999-01-26 Applied Materials, Inc. Method of forming doped silicon in high aspect ratio openings
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
TW393521B (en) * 1996-05-23 2000-06-11 Ebara Corp Vaporizer apparatus and film deposition apparatus therewith
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5930106A (en) 1996-07-11 1999-07-27 Micron Technology, Inc. DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films
US5913921A (en) * 1996-07-12 1999-06-22 Glenayre Electronics, Inc. System for communicating information about nodes configuration by generating advertisements having era values for identifying time reference for which the configuration is operative
JPH1041321A (ja) 1996-07-26 1998-02-13 Sony Corp バイポーラトランジスタの製造方法
US5731238A (en) 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2954039B2 (ja) 1996-09-05 1999-09-27 日本電気株式会社 SiGe薄膜の成膜方法
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
TW471031B (en) * 1997-01-08 2002-01-01 Ebara Corp Vapor feed supply system
JPH10203895A (ja) * 1997-01-20 1998-08-04 Sony Corp シリコンゲルマニウム混晶の成膜方法
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP3084395B2 (ja) * 1997-05-15 2000-09-04 工業技術院長 半導体薄膜の堆積方法
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
JP3408401B2 (ja) 1997-05-30 2003-05-19 シャープ株式会社 半導体記憶素子およびその製造方法
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2765394B1 (fr) * 1997-06-25 1999-09-24 France Telecom Procede d'obtention d'un transistor a grille en silicium-germanium
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JPH1197692A (ja) * 1997-09-18 1999-04-09 Toshiba Corp 多結晶および液晶表示装置
JPH1197667A (ja) * 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
JP3727449B2 (ja) * 1997-09-30 2005-12-14 シャープ株式会社 半導体ナノ結晶の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
US6027760A (en) * 1997-12-08 2000-02-22 Gurer; Emir Photoresist coating process control with solvent vapor sensor
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
EP0928015A3 (en) 1997-12-31 2003-07-02 Texas Instruments Incorporated Method of preventing boron penetration
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP4208281B2 (ja) * 1998-02-26 2009-01-14 キヤノン株式会社 積層型光起電力素子
JP3854731B2 (ja) 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JPH11330463A (ja) * 1998-05-15 1999-11-30 Sony Corp 半導体装置および半導体装置の製造方法
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
JP4364438B2 (ja) 1998-07-10 2009-11-18 アプライド マテリアルズ インコーポレイテッド 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
JP2000038679A (ja) * 1998-07-21 2000-02-08 Canon Inc 堆積膜形成方法および堆積膜形成装置
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
JP3259690B2 (ja) 1998-08-26 2002-02-25 日本電気株式会社 電界効果型トランジスタ及びその製造方法
US6027975A (en) 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
JP2000077658A (ja) 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
US6268068B1 (en) 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000150647A (ja) 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6107147A (en) 1998-12-18 2000-08-22 Texas Instruments Incorporated Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths
JP4511739B2 (ja) * 1999-01-15 2010-07-28 ザ リージェンツ オブ ザ ユニヴァーシティ オブ カリフォルニア マイクロ電子機械システムを形成するための多結晶シリコンゲルマニウム膜
KR100363083B1 (ko) * 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP3754568B2 (ja) * 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
JP3869572B2 (ja) 1999-02-10 2007-01-17 シャープ株式会社 量子細線の製造方法
JP4731655B2 (ja) 1999-02-12 2011-07-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000243831A (ja) * 1999-02-18 2000-09-08 Sony Corp 半導体装置とその製造方法
JPH11317530A (ja) * 1999-02-22 1999-11-16 Semiconductor Energy Lab Co Ltd 半導体装置
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
US6281559B1 (en) 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1036807B1 (en) 1999-03-18 2007-12-12 Kaneka Corporation Curable composition
US6365465B1 (en) 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
JP3443379B2 (ja) 1999-03-23 2003-09-02 松下電器産業株式会社 半導体膜の成長方法及び半導体装置の製造方法
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
JP2000323420A (ja) 1999-05-14 2000-11-24 Sony Corp 半導体装置の製造方法
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
JP2000340684A (ja) 1999-05-31 2000-12-08 Sony Corp 半導体装置の製造方法
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
JP2001007301A (ja) 1999-06-17 2001-01-12 Sony Corp 半導体装置およびその製造方法
EP1125321B1 (en) 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
DE60042045D1 (de) 1999-06-22 2009-06-04 Panasonic Corp Heteroübergangsbipolartransistoren und entsprechende Herstellungsverfahren
KR100306812B1 (ko) * 1999-06-29 2001-11-01 박종섭 반도체 소자의 게이트 형성방법
JP2001015736A (ja) 1999-06-29 2001-01-19 Sony Corp 半導体装置の製造方法
JP3324573B2 (ja) * 1999-07-19 2002-09-17 日本電気株式会社 半導体装置の製造方法および製造装置
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4192353B2 (ja) * 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6373112B1 (en) * 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
DE60041522D1 (de) 1999-12-15 2009-03-19 Genitech Co Ltd Methode zur herstellung von kupfer-zwischenverbindungen und dünnen filmen mittels cvd und einem katalysator
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
KR20020010666A (ko) * 2000-03-23 2002-02-04 마츠시타 덴끼 산교 가부시키가이샤 반도체결정의 제조방법
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6274463B1 (en) 2000-07-31 2001-08-14 Hewlett-Packard Company Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method
US6403981B1 (en) * 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
JP4710187B2 (ja) 2000-08-30 2011-06-29 ソニー株式会社 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法
US6365479B1 (en) * 2000-09-22 2002-04-02 Conexant Systems, Inc. Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6372559B1 (en) 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6482705B1 (en) * 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US6905542B2 (en) * 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US7108748B2 (en) 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
US6858196B2 (en) * 2001-07-19 2005-02-22 Asm America, Inc. Method and apparatus for chemical synthesis
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003068654A (ja) 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7005160B2 (en) 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
JP2007535147A (ja) 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド インサイチュドープトエピタキシャルフィルム
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7396415B2 (en) 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (ja) 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd 発光装置の製造方法
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP2007319735A (ja) 2006-05-30 2007-12-13 Fuji Xerox Co Ltd マイクロリアクター装置及び微小流路の洗浄方法
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0562911A (ja) * 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
JPH07249618A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
US20080014725A1 (en) 2008-01-17
US20030082300A1 (en) 2003-05-01
JP4417625B2 (ja) 2010-02-17
US6716713B2 (en) 2004-04-06
JP2008098668A (ja) 2008-04-24
US7285500B2 (en) 2007-10-23
JP2004523903A (ja) 2004-08-05
KR100934169B1 (ko) 2009-12-29
AU2002306436A1 (en) 2002-10-15
WO2002080244A9 (en) 2004-04-22
EP1374290B1 (en) 2008-07-02
US6743738B2 (en) 2004-06-01
AU2002240403A1 (en) 2002-08-28
JP5134358B2 (ja) 2013-01-30
WO2002065508A2 (en) 2002-08-22
US20050208740A1 (en) 2005-09-22
US20020168868A1 (en) 2002-11-14
KR20090052907A (ko) 2009-05-26
US20020173113A1 (en) 2002-11-21
JP2011228724A (ja) 2011-11-10
KR20030076675A (ko) 2003-09-26
JP2008252104A (ja) 2008-10-16
US20070102790A1 (en) 2007-05-10
WO2002065517A3 (en) 2003-10-30
JP2004529496A (ja) 2004-09-24
US6958253B2 (en) 2005-10-25
US20030068851A1 (en) 2003-04-10
JP4224847B2 (ja) 2009-02-18
US20050250302A1 (en) 2005-11-10
JP2004525509A (ja) 2004-08-19
US8067297B2 (en) 2011-11-29
US7547615B2 (en) 2009-06-16
WO2002065516A8 (en) 2004-07-08
US20100012030A1 (en) 2010-01-21
DE60223662T2 (de) 2008-10-30
US7585752B2 (en) 2009-09-08
US7186582B2 (en) 2007-03-06
WO2002065517A2 (en) 2002-08-22
KR101050377B1 (ko) 2011-07-20
JP4866534B2 (ja) 2012-02-01
WO2002065516A3 (en) 2003-11-13
WO2002065508A3 (en) 2003-09-25
US20030022528A1 (en) 2003-01-30
US20020197831A1 (en) 2002-12-26
EP1374291A2 (en) 2004-01-02
EP1374290A2 (en) 2004-01-02
US6821825B2 (en) 2004-11-23
US8360001B2 (en) 2013-01-29
ATE400060T1 (de) 2008-07-15
WO2002065516A2 (en) 2002-08-22
EP1374291B1 (en) 2007-11-21
KR20080104391A (ko) 2008-12-02
US6716751B2 (en) 2004-04-06
US6900115B2 (en) 2005-05-31
US20030068869A1 (en) 2003-04-10
US20050048745A1 (en) 2005-03-03
WO2002064853A3 (en) 2003-11-20
KR100870507B1 (ko) 2008-11-25
US7893433B2 (en) 2011-02-22
DE60223662D1 (de) 2008-01-03
US7273799B2 (en) 2007-09-25
US20050064684A1 (en) 2005-03-24
DE60227350D1 (de) 2008-08-14
JP2004532511A (ja) 2004-10-21
WO2002064853A2 (en) 2002-08-22
KR20030076677A (ko) 2003-09-26
WO2002080244A2 (en) 2002-10-10
WO2002080244A3 (en) 2004-03-18
US6962859B2 (en) 2005-11-08
US20080073645A1 (en) 2008-03-27
JP2005503000A (ja) 2005-01-27
EP1421607A2 (en) 2004-05-26
KR20030076676A (ko) 2003-09-26

Similar Documents

Publication Publication Date Title
KR101027485B1 (ko) 반도체 박막 증착을 위한 개선된 공정
US7005160B2 (en) Methods for depositing polycrystalline films with engineered grain structures
US7029995B2 (en) Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
KR100676521B1 (ko) 저온 산화물 배면 실 형성 방법 및 이를 사용하여 제조되는웨이퍼
US20200203149A1 (en) Method of growing doped group iv materials
US20140353684A1 (en) Silicon carbide epitaxial wafer and method for fabricating the same
TWI277139B (en) Improved process for deposition of semiconductor filme
JPH04298022A (ja) 単結晶シリコン薄膜の製造方法
EP1887617A2 (en) Deposition method over mixed substrates using trisilane

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
E801 Decision on dismissal of amendment
S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150302

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160303

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190227

Year of fee payment: 9