US20080173239A1 - Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor - Google Patents

Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor Download PDF

Info

Publication number
US20080173239A1
US20080173239A1 US11/626,388 US62638807A US2008173239A1 US 20080173239 A1 US20080173239 A1 US 20080173239A1 US 62638807 A US62638807 A US 62638807A US 2008173239 A1 US2008173239 A1 US 2008173239A1
Authority
US
United States
Prior art keywords
recited
growth
sic
reactor
gas mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/626,388
Inventor
Yuri Makarov
Michael Spencer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Widetronix Inc
Original Assignee
Yuri Makarov
Michael Spencer
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yuri Makarov, Michael Spencer filed Critical Yuri Makarov
Priority to US11/626,388 priority Critical patent/US20080173239A1/en
Publication of US20080173239A1 publication Critical patent/US20080173239A1/en
Assigned to WIDETRONIX INC reassignment WIDETRONIX INC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAKAROV, YURI, SPENCER, MICHAEL
Priority to US13/194,967 priority patent/US8329252B2/en
Assigned to YOUNG, JOHN F., BARNETT, SUSAN M., F.J. YOUNG COMPANY reassignment YOUNG, JOHN F. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Widetronix Inc.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides

Definitions

  • the method comprises:
  • An apparatus for the improvement of temperature distributions in the typical commercial cold-wall CVD reactors which comprises:
  • refractory insert in the reactor, to get more uniform temperature distribution and to decrease the temperature gradient in the vicinity of the substrate.
  • Possible materials for such an insert are graphite, SiC-coated graphite, graphite coated with carbides of refractory metals (tantalum, niobium, titanium, tungsten, molybdenum, zirconium, hafnium, etc.), carbides of the refractory metals listed above, quartz or quartz coated with refractory metals (molybdenum, tungsten, niobium, etc.), or pure refractory metals listed above; and
  • a method of SiC layer CVD growth wherein the increase in silicon carbide growth rate and in the epilayer quality are achieved by using input gas mixture containing silicon and carbon species, along with chlorine-containing components with optimal silicon-to-carbon and silicon-to-chlorine ratios.
  • This approach can be realized using halogenated carbon precursors (carbon tetrachloride CCl 4 or halogenated hydrocarbons, CHCl 3 , CH 2 Cl 2 , CH 3 Cl, etc.), or introducing other chlorine-containing species in the gas phase of the growth chamber.
  • x (int) (Si), x (int) (C), x (int) (Cl) are the number of silicon, carbon, and chlorine atoms in the input gas mixture, respectively.
  • the ranges are approximate ranges/values, and any value close to those ranges (but outside those ranges) would also be considered included and protected under this current patent. That is, for the numbers outside the ranges, but in close proximity (e.g. in the same order of magnitudes, or relatively similar values), the system may not work in optimum conditions, but still produces excellent results. In other words, the boundaries of the ranges are not sharply defined, as absolute cut-off values or thresholds. Instead, they are gradually changed, and they are meant to be as guidelines for focusing on the optimum values, and, still, for other outside close-by values, ranges, or regions, the system is producing good or excellent material and results, as well. (This above discussion applies to all ranges mentioned in the current patent application.)
  • SiCl 2 becomes the major source providing Si for SiC growth, instead of SiH 2 and Si in the conventional approach.
  • the lower growth temperature is achieved by the addition of halogenated carbon precursors or other chlorine-containing additions, to provide the above mentioned chlorine-to-silicon and silicon-to-carbon ratios:
  • silicon carbide growth rate decreases with the temperature decrease for the growth conditions (total pressure range, input precursor flow rates, reactor geometry, etc.) typical for the process.
  • epitaxial layers grown at low temperatures see e.g. A. Itoh and H. Matsunami, IEEE Electron Device Lett. 16, 280, (1995)) (describing SiC epilayer growth at temperatures below 1200° C.) are characterized by perfect epilayer quality. So, there is a need to increase SiC growth rate at low temperatures to produce perfect SiC epilayers, effectively.
  • the above mentioned addition of chlorine-containing species increases the net SiC growth rate due to mechanisms described here. As a result, the process temperature can be significantly decreased.
  • SiC epitaxial layers below 1500° C. allows the growth of Silicon Carbide epitaxial layers on composite substrates that may comprise of Silicon substrates, coated with a thin film of monocrystalline SiC.
  • a method of suppressing parasitic deposits on the susceptor, reactor walls, and injector system Parasitic deposits forming at the reactor units during SiC growth are known to be one of the main technical problems in the process. Indeed, silicon, carbon, SiC, or mixed Si—SiC/C—SiC solid phases can be generated on the walls of the injector unit, susceptor and susceptor holder, reactor walls, etc. This negative effect leads to the losses in the source material for SiC growth, deviations in the gas composition and flow characteristics during the process, and/or changes in the injector geometry. In turn, it results in the variations in SiC growth rate, stoichiometry, and the quality of the growing layers. Due to its etching effect, chlorine addition in the system at the following silicon-to-carbon and silicon-to-chlorine ratios will suppress parasitic deposits formation:
  • FIG. 1 (a) A typical design of the commercial cold-wall CVD reactors; and (b) the modified reactor design with the heat shielding insert and graphite showerhead.
  • FIG. 2 One possible geometry/example of the graphite insert with the showerhead for the cold-wall reactor.
  • FIG. 3 The improvement of the uniformity in the temperature distributions as a result of the design modifications in the reactor.
  • FIG. 5 The comparison of the general mechanisms of the gas-phase chemistry during SiC growth for the conventional approach (growth from silane and propane) (shown in part (a), as conventional method), versus the method of growth in Si—C—H—Cl ambience, as shown in part (b), as our method.
  • FIG. 6 SiC growth rate in the typical reactor with propane (C 3 H 8 ) and halogenated carbon precursors from the group CCl 4 , CH 3 C 1 , C 2 Cl 2 , and CHCl 3 .
  • T substrate 1600° C.
  • total pressure 200 Torr
  • silane flow rate 65 cc (10% in hydrogen)
  • rotation rate 1000 rpm
  • main hydrogen flow rate 12500 cc
  • reactant push flow rate 1500 cc
  • pyrometer opening purge 550 cc.
  • Propane flow rate was 96.8 cc (2% in hydrogen), and the halogenated carbon precursors input flow rate was taken to maintain the same Si/C input ratio (e.g. it was 290.4 cc for CCl 4 (2% in hydrogen)).
  • Silane flow rate was varied from 20 to 65 cc (10% in hydrogen), and the halogenated carbon precursors input flow rate was taken to maintain the Si/C input ratio of 1.12.
  • FIG. 8 A modified reactor design, as an example.
  • FIG. 9 Simulation of the temperature profile of our reactor before (left profile) and after (right profile) insertion of a “screen” and “shower head”.
  • FIG. 10 Temperature distribution in the reactor with 3′′ wafer placed on the susceptor.
  • FIG. 11 Illustration of screen system introduction significantly improving the temperature distributions in a “GaNzilla” reactor.
  • silicon carbide as compared with silicon make it a perspective material for high power and high-temperature electronics (high-power transistors, thyristors, and rectifiers). Due to an extremely high thermal conductivity (3 W/cm*K for SiC vs. 1.3 for Si) and high breakdown voltage (1 MV/cm for SiC vs. 0.3 MV/cm for Si), the SiC-based device structures are capable to operate at much higher voltage and power.
  • the wide bandgap of SiC (2.3 eV for SiC vs. 1.1 eV for Si) provides a low leakage current of the p-n junction, even at high temperatures. In addition, SiC exhibits a remarkable mechanical and chemical stability.
  • cold-wall CVD reactors provide the low-cost and effective process of SiC growth, as compared with other systems.
  • the typical design of a cold-wall CVD reactor includes a single heater or some heater system, to achieve the uniform high temperature at the susceptor and in the vicinity of it, and the injector system, reactor walls, and outlet unit are usually kept at a low temperature.
  • the reactor geometry varies by a wide range—horizontal, vertical, barrel, shower-head pyramid, etc. (see, for example, the information on the commercial AIXTRON or Veeco cold-wall CVD reactors for more details, by their respective manufacturers).
  • Silicon nucleation can be partially suppressed via the decrease in the percentage of silicon-containing species in the reactor, using the increased flow rates of the carrier gas (usually hydrogen).
  • Another approach is to decrease the total pressure in the reactor, in order to minimize the partial pressures of silicon-containing species.
  • these methods lead to the high gas flows that require more expensive pumps and manifolds.
  • etching by hydrogen is significant at high hydrogen concentrations. As a result, the decrease in SiC growth rate and the destruction of the construction materials (due to the interactions with hydrogen) can be expected.
  • Another problem typical for cold-wall CVD reactors is poor temperature uniformity in the growth chamber.
  • the main sources for the SiC growth are the products of thermal decomposition of the initial precursors (silane and propane or acetylene), which occurs at temperatures above 800-850° C.
  • the region with such a temperature in a cold-wall reactors is localized near the substrate. It is relatively small, as compared with the whole reactor volume. So, the significant part of the initial precursors can remain un-decomposed. Obviously, this effect will manifest itself at increased input precursor flow rates. In turn, this will also decrease the silicon carbide growth rate.
  • Halogenated carbon precursors (carbon tetrachloride CCl 4 or halogenated hydrocarbons, CHCl 3 , CH 2 Cl 2 , CH 3 Cl, etc.) are primarily considered as chlorine-containing species, forming the gas composition mentioned above;
  • SiC growth on on-axis surfaces is provided, due to the effective etching of silicon clusters at Si/Cl ratios of ⁇ 0.66, which are known as a main source of undesirable cubic SiC phase, typical for the on-axis growth in the conventional approach.
  • Typical commercial cold-wall CVD reactors are characterized by rather non-uniform temperature distributions in the growth chamber.
  • the “hot zone” with the temperatures above 800° C. (necessary for an onset of silane and propane thermal decomposition) is localized near the substrate, and is rather small, as compared with the whole reactor bulk.
  • a graphite or SiC-coated graphite insert arranged near the cold walls of the upper part of the reactor (that acts as a temperature shield) is an effective way to improve the temperature distribution in those types of reactors.
  • Some alternative construction materials can be also used for such an insert.
  • they are: graphite coated with carbides of refractory metals (tantalum, niobium, titanium, tungsten, molybdenum, zirconium, hafnium, etc.); carbides of the refractory metals listed above; quartz or quartz coated with above refractory metals or their carbides; pure refractory metals listed above; or any other material, alloy, or mixture having same or similar properties.
  • refractory metals tantalum, niobium, titanium, tungsten, molybdenum, zirconium, hafnium, etc.
  • carbides of the refractory metals listed above quartz or quartz coated with above refractory metals or their carbides
  • pure refractory metals listed above or any other material, alloy, or mixture having same or similar properties.
  • the insert walls in such a design are heated by the radiation flux from the heated substrate.
  • the high thermal conductivity of the graphite provides the effective and uniform heating of the whole insert.
  • a special design of the insert fixation gives the opportunity to minimize the contact area between the insert and the water-cooled reactor units, and to vary the gap between the insert and the top flange of the reactor. In doing so, the cooling effect of the reactor units on the temperature of the insert walls is minimized. Since the temperature of the insert wall in such a modified reactor became quite higher than the temperature of the water-cooled units, the temperature of the gas in the reactor bulk is increased.
  • FIG. 1 is (a) a typical design of the commercial cold-wall CVD reactors, and (b) the modified reactor design, with the heat shielding insert and graphite showerhead.
  • FIG. 2 demonstrates the geometry of the graphite insert with the showerhead applied in the cold-wall reactor.
  • the described modifications in the reactor design result in significant improvement of the temperature distributions in the reactor.
  • FIG. 3 illustrates the general changes in the temperature distributions obtained as a result of the modifications in the reactor design.
  • temperature distributions in a modified reactor are more uniform.
  • the “hot zone” with the temperature of ⁇ 800° C. is significantly enlarged in the modified reactor.
  • the increase in the average inlet temperature here can be estimated as being more than 350-400° C.
  • thermal decomposition of the precursors used for SiC CVD takes place in the whole reactor volume, providing the optimal utilization of the source materials in the process. This gives an opportunity to increase the SiC growth rate in a modified reactor at the same or even lower precursor input flow rates.
  • the effectiveness of the process can be increased.
  • the temperature gradient near the substrate decreases from 7.2*10 4 K/m for the initial cold wall design to 4*10 4 K/m in the modified geometry. Further optimization of the operating conditions gives the opportunity to get this value to about 1.7-2*10 4 K/m. Obviously, such a significant decrease in the temperature gradient will decrease the strain produced by a thermal expansion mismatch between the substrate and the growing epilayer, which is known to be the main source for defect generation in a growing SiC layer. So, we believe that the suggested modifications will improve the quality of the epitaxial SiC layers, due to the minimization of the defects generation in the growing layer and increase the growth rate via the optimal utilization of the source materials.
  • FIG. 3 shows the improvement of the uniformity in the temperature distributions, as a result of the design modifications in the reactor.
  • substrate temperature 1600° C.
  • the silicon cluster density is expected to be less than 15-20% of the initial value, when the integral molar percentage of silicon-containing species is approximately the same as that of chlorine-containing species.
  • the complete elimination of silicon clusters became possible at rather high HCl input flow rates.
  • the SiC growth rate increased from ⁇ 2-2.2 to 5-6 microns/hour.
  • halogenated carbon precursors carbon tetrachloride CCl 4 or halogenated hydrocarbons, CHCl 3 , CH 2 Cl 2 , CH 3 Cl, etc.
  • FIG. 5 illustrates the mechanisms of silicon nucleation suppression in Si—C—H—Cl gas.
  • FIG. 5 shows the comparison of the general mechanisms of the gas-phase chemistry during SiC growth for the conventional approach (growth from silane and propane), versus our method of growth, in Si—C—H—Cl ambience.
  • It compares the general schemes of precursor decomposition in the conventional CVD of SiC from silane and propane versus our approach.
  • silicon is one of the main decomposition species generated due to the silane thermal decomposition. Due to local supersaturation, gaseous silicon forms silicon clusters. As a result, there is significant loss of the source material for silicon carbide growth.
  • the addition of the chlorine-containing agent in the gas under the process conditions discussed above i.e. the following silicon-to-carbon and silicon-to-chlorine ratios
  • FIG. 6 shows SiC growth rate in the typical reactor with propane (C 3 H 8 ) and halogenated carbon precursors from the group CCl 4 , CH 3 C 1 , C 2 Cl 2 , and CHCl 3 .
  • Propane flow rate was 96.8 cc (2% in hydrogen) and the halogenated carbon precursors input flow rate was taken to maintain the same Si/C input ratio (e.g. it was 290.4 cc for CCl 4 (2% in hydrogen)).
  • the SiC growth rate increased more than twice. This effect can be attributed to the significant suppression of silicon nucleation. Indeed, the estimated mass density of silicon clusters near the substrate decreases from ⁇ 3*10 ⁇ 5 kg/m 3 for the regime with propane to ⁇ 4*10 ⁇ 7 kg/m 3 for CCl 4 (as a carbon-containing precursor). The same effect was observed for all halogenated carbon precursors tested. The slight difference in SiC growth rate is due to the peculiarities of the gas chemistry.
  • Silane flow rate was varied from 20 to 65 cc (10% in hydrogen) and the halogenated carbon precursors input flow rate was taken to maintain the Si/C input ratio of 1.12.
  • the modified reactor design helps to increase the temperature uniformity, and to decrease temperature gradients near the wafer. It includes a spool-like graphite insert that replaces the water-cooled unit of a typical reactor. A shower head is also added near the inlet region. The gap between the reactor top flange and the insert, as well as the gap between the shower head and the insert's inner walls, are additional dimensions that can be adjusted for further optimization of the design, based on the specific gas and gas flow.
  • FIG. 9 The effect of the reactor design modifications on the temperature distributions in the reactor bulk is demonstrated in FIG. 9 .
  • the typical cold wall reactor is characterized by a hot zone localized in the vicinity of the susceptor (as shown in FIG. 9 , left picture).
  • the addition of the graphite insert with the shower head in the inlet region gives an opportunity to make the temperature distributions more uniform (see FIG. 9 , right side (after insertion of a “screen” and “shower head”)).
  • the temperature scale in the figure is limited by 700° C., to clarify the sharp temperature gradient.
  • the distribution of silicon and carbon precursors between the injection zones is adjusted, in order to ensure the necessary growth rate uniformity and preserve a high efficiency process.
  • FIG. 10 shows the temperature distribution in the reactor with 3′′ wafer placed on the susceptor. Of course, this technology can be applied to any size wafer.
  • a point of essential interest in our modified “GaNZilla” reactor is a possibility to realize the regime with decreased input hydrogen flow. Obviously, this can be an important step to get an effective and low-cost process.
  • a set of regimes was computed for the modified GaNZilla reactor. The regimes with the hydrogen flows decreased to 30 slm.
  • Veeco's GaNzilla reactor is modified to accomodate 8 ⁇ 3′′ SiC wafers for thick epitaxial layer growth.
  • FIG. 11 illustrates the effect of these modifications for the regime with substrate temperature of 1400° C.
  • the hot zone in such modified reactor is significantly enlarged.
  • this invention helps/improves temperature uniformity, suppress phase nucleation, reducing Si clusters, reducing total flow rate, reducing defects, reducing mismatch and bow effect, improving morphology, improving deposition condition, improving quality, application for larger diameter wafers, and reducing depositions on graphite, among other results.
  • the system also accepts multiple substrates on the substrate holder.
  • the inserts/additions to the walls/setup/shower-head can be any shape, angled, orientations, size, any material, and at any position, as long as they can stand the environment inside chamber.
  • the precursors can be any other chemical compound, element, or mixture, as long as the ratio, amount, or percentage of the decomposed species stay substantially the same or similar.
  • the temperatures, flow rates, dimensions, and other design and growth parameters can be varied, as long as the main objectives of the invention, mentioned above, are more or less satisfied. It can also be applied to semiconductors other than SiC and its related compounds.

Abstract

An approach for the growth of high-quality epitaxial silicon carbide (SiC) films and boules, using the Chemical Vapor Deposition (CVD) technique is described here. The method comprises modifications in the design of the typical cold-wall CVD reactors, providing a better temperature uniformity in the reactor bulk and a low temperature gradient in the vicinity of the substrate, and an approach to increase the silicon carbide growth rate and to improve the quality of the growing layers, using halogenated carbon-containing precursors (carbon tetrachloride CCl4 or halogenated hydrocarbons, CHCl3, CH2Cl2, CH3Cl, etc.), or introducing other chlorine-containing species in the gas phase in the growth chamber. The etching effect, proper ranges, and high temperature growth are also examined.

Description

    CROSS-REFERENCE TO A RELATED APPLICATION
  • This application is related to another co-pending U.S. application filed on the same day, with same title, inventors, and assignee.
  • BACKGROUND
  • A novel approach for the growth of high-quality epitaxial silicon carbide (SiC) films and boules using the Chemical Vapor Deposition (CVD) technique is described here, as one embodiment. The method comprises:
      • modifications in the design of the typical cold-wall CVD reactors, providing a better temperature uniformity in the reactor bulk and a low temperature gradient in the vicinity of the substrate;
      • an approach to increase the silicon carbide growth rate and to improve the quality of the growing layers, using halogenated carbon-containing precursors (carbon tetrachloride CCl4 or halogenated hydrocarbons, CHCl3, CH2Cl2, CH3Cl, etc.), or introducing other chlorine-containing species in the gas phase in the growth chamber.
  • Some of the prior art dealing with this or similar technology are listed here (US patent number and its title):
  • U.S. Pat. No. 7,061,073, Diamondoid-containing capacitors,
  • U.S. Pat. No. 6,989,428, Methods of preparing polysilynes,
  • U.S. Pat. No. 6,984,591, Precursor source mixtures,
  • U.S. Pat. No. 6,982,230, Deposition of hafnium oxide and/or zirconium oxide, and fabrication of passivated electronic structures,
  • U.S. Pat. No. 6,958,253, Process for deposition of semiconductor films,
  • U.S. Pat. No. 6,878,628, In-situ reduction of copper oxide prior to silicon carbide deposition,
  • U.S. Pat. No. 6,849,109, Inorganic dopants, inks, and related nanotechnology,
  • U.S. Pat. No. 6,830,822, Inorganic colors and related nanotechnology,
  • U.S. Pat. No. 6,821,825, Process for deposition of semiconductor films,
  • U.S. Pat. No. 6,800,552, Deposition of transition metal carbides,
  • U.S. Pat. No. 6,783,589, Diamondoid-containing materials in microelectronics,
  • U.S. Pat. No. 6,733,830, Processes for depositing low dielectric constant materials,
  • U.S. Pat. No. 6,482,262, Deposition of transition metal carbides,
  • U.S. Pat. No. 5,851,942, Preparation of boron-doped silicon carbide fibers,
  • U.S. Pat. No. 5,792,416, Preparation of boron-doped silicon carbide fibers,
  • U.S. Pat. No. 5,789,024, Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds,
  • U.S. Pat. No. 5,593,783, Photochemically modified diamond surfaces, and method of making the same,
  • U.S. Pat. No. 5,536,323, Apparatus for flash vaporization delivery of reagents,
  • U.S. Pat. No. 5,322,913, Polysilazanes and related compositions, processes, and uses,
  • U.S. Pat. No. 5,204,314, Method for delivering an involatile reagent in vapor form to a CVD reactor,
  • U.S. Pat. No. 5,055,431, Polysilazanes and related compositions, processes, and uses,
  • U.S. Pat. No. 5,008,422, Polysilazanes and related compositions, processes, and uses,
  • U.S. Pat. No. 4,952,715, Polysilazanes and related compositions, processes, and uses, and
  • U.S. Pat. No. 4,228,142, Process for producing diamond-like carbon.
  • Other prior results are summarized in the following references:
    • Y. Gao, J. H. Edgar, J. Chaudhari, S. N. Cheema, M. V. Sidorov, D. N. Braski, Journ. Cryst. Growth 191, 439 (1988).
    • J. Chaudhari, K. Ignatiev, J. H. Edgar, Z. Y. Xie, Y. Gao, Z. Rek, Mater. Sci. Eng. B76, 217, (2000).
    • S. Jonas, C. Paluszkiewicz, W. S. Ptak, W. Sadowski, J. Molec. Structure 349, 72 (1995).
    • F. Loumagne, F. Langlais, R. Naslain, J. Cryst. Growth 155, 205, (1995).
    • C.-F. Wang, D.-S. Tsai, Materials Chemistry and Physics 63, 196, (2000).
    • H. Sone, T. Kaneko, N. Miyakawa, Journ. Cryst. Growth 219, 245 (2000).
    • Y.-P. Wu and Y.-S. Won, Combustion and Flame 122, 312 (2000).
  • However, none of the prior art teaches the features of the current invention.
  • SUMMARY
  • In this invention, we present the following:
  • 1. An apparatus for the improvement of temperature distributions in the typical commercial cold-wall CVD reactors, which comprises:
  • an addition of the refractory insert in the reactor, to get more uniform temperature distribution and to decrease the temperature gradient in the vicinity of the substrate. Possible materials for such an insert are graphite, SiC-coated graphite, graphite coated with carbides of refractory metals (tantalum, niobium, titanium, tungsten, molybdenum, zirconium, hafnium, etc.), carbides of the refractory metals listed above, quartz or quartz coated with refractory metals (molybdenum, tungsten, niobium, etc.), or pure refractory metals listed above; and
  • an addition of the showerhead unit near the inlet of the typical commercial cold-wall reactor, which increases the temperature in the inlet region and improves the flow patterns in the reactor. The construction materials for this unit are the same as for the insert, described in the paragraph above.
  • 2. A method of SiC layer CVD growth, wherein the increase in silicon carbide growth rate and in the epilayer quality are achieved by using input gas mixture containing silicon and carbon species, along with chlorine-containing components with optimal silicon-to-carbon and silicon-to-chlorine ratios. This approach can be realized using halogenated carbon precursors (carbon tetrachloride CCl4 or halogenated hydrocarbons, CHCl3, CH2Cl2, CH3Cl, etc.), or introducing other chlorine-containing species in the gas phase of the growth chamber.
  • Increase in SiC growth rate, along with the improvement of the growing layer quality, are achieved under the following silicon-to-carbon and silicon-to-chlorine ratios (range of values):

  • x(int)(Si)/x(int)(C)=0.7-1.3,

  • x(int)(Si)/x(int)(Cl)=0.02-1.5,
  • where x(int)(Si), x(int)(C), x(int)(Cl) are the number of silicon, carbon, and chlorine atoms in the input gas mixture, respectively.
  • Note that for all the discussions in this patent application, the ranges (such as those mentioned above) are approximate ranges/values, and any value close to those ranges (but outside those ranges) would also be considered included and protected under this current patent. That is, for the numbers outside the ranges, but in close proximity (e.g. in the same order of magnitudes, or relatively similar values), the system may not work in optimum conditions, but still produces excellent results. In other words, the boundaries of the ranges are not sharply defined, as absolute cut-off values or thresholds. Instead, they are gradually changed, and they are meant to be as guidelines for focusing on the optimum values, and, still, for other outside close-by values, ranges, or regions, the system is producing good or excellent material and results, as well. (This above discussion applies to all ranges mentioned in the current patent application.)
  • An etching effect, decreasing the net SiC growth rate, can be expected at x(int)(Si)/x(int)(Cl)<0.66.
  • In contrast to the conventional SiC growth from silane (SiH4) and propane (C3H8), some basic mechanisms of the process are changed, as a result of presence of chlorine atoms in the gas phase in a certain optimal amount. First, SiCl2 becomes the major source providing Si for SiC growth, instead of SiH2 and Si in the conventional approach. Second, the formation of silicon clusters and particles in the gas phase is suppressed by chlorine-containing species under the above process conditions. These effects give rise to an increase in the net growth rate, and improve the quality of the grown layers.
  • 3. A method for reducing the growth temperatures from the existing >1500° C. to below 1500° C. (in the range 1000 to 1500° C.). The lower growth temperature is achieved by the addition of halogenated carbon precursors or other chlorine-containing additions, to provide the above mentioned chlorine-to-silicon and silicon-to-carbon ratios:

  • x(int)(Si)/x(int)(C)=0.7-1.3,

  • x(int)(Si)/x(int)(Cl)=0.02-1.5.
  • It is well-known that silicon carbide growth rate decreases with the temperature decrease for the growth conditions (total pressure range, input precursor flow rates, reactor geometry, etc.) typical for the process. However, epitaxial layers grown at low temperatures (see e.g. A. Itoh and H. Matsunami, IEEE Electron Device Lett. 16, 280, (1995)) (describing SiC epilayer growth at temperatures below 1200° C.) are characterized by perfect epilayer quality. So, there is a need to increase SiC growth rate at low temperatures to produce perfect SiC epilayers, effectively. The above mentioned addition of chlorine-containing species increases the net SiC growth rate due to mechanisms described here. As a result, the process temperature can be significantly decreased.
  • The capability to grow SiC epitaxial layers below 1500° C. allows the growth of Silicon Carbide epitaxial layers on composite substrates that may comprise of Silicon substrates, coated with a thin film of monocrystalline SiC.
  • 4. A method of suppressing parasitic deposits on the susceptor, reactor walls, and injector system. Parasitic deposits forming at the reactor units during SiC growth are known to be one of the main technical problems in the process. Indeed, silicon, carbon, SiC, or mixed Si—SiC/C—SiC solid phases can be generated on the walls of the injector unit, susceptor and susceptor holder, reactor walls, etc. This negative effect leads to the losses in the source material for SiC growth, deviations in the gas composition and flow characteristics during the process, and/or changes in the injector geometry. In turn, it results in the variations in SiC growth rate, stoichiometry, and the quality of the growing layers. Due to its etching effect, chlorine addition in the system at the following silicon-to-carbon and silicon-to-chlorine ratios will suppress parasitic deposits formation:

  • x(int)(Si)/x(int)(C)=0.7-1.3,

  • x(int)(Si)/x(int)(Cl)=0.02-1.5,
  • This follows from the fact that chlorine interaction with the above listed deposit phases, in hydrogen ambience, results in the formation of volatile CHiClj and SiHiClj species. Hence, parasitic deposits will be effectively etched, and volatile products of this etching will return silicon and carbon as source materials for SiC growth.
  • 5. In some applications, to get high quality material, we have used high temperatures, in the range of 1500-1800 Centigrade, with an excellent material characteristics.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1. (a) A typical design of the commercial cold-wall CVD reactors; and (b) the modified reactor design with the heat shielding insert and graphite showerhead.
  • FIG. 2. One possible geometry/example of the graphite insert with the showerhead for the cold-wall reactor.
  • FIG. 3. The improvement of the uniformity in the temperature distributions as a result of the design modifications in the reactor.
  • FIG. 4. The decrease in the silicon cluster density near the substrate, with hydrochloric acid input flow rate estimated for a typical reactor, e.g. at Tsubstrate of 1600° C., total pressure of 200 Torr, silane flow rate=65 cc (10% in hydrogen), propane flow rate=96.8 cc (2% in hydrogen), rotation rate=1000 rpm, main hydrogen flow rate=12500 cc, reactant push flow rate=1500 cc, and pyrometer opening purge=550 cc.
  • FIG. 5. The comparison of the general mechanisms of the gas-phase chemistry during SiC growth for the conventional approach (growth from silane and propane) (shown in part (a), as conventional method), versus the method of growth in Si—C—H—Cl ambience, as shown in part (b), as our method.
  • FIG. 6. SiC growth rate in the typical reactor with propane (C3H8) and halogenated carbon precursors from the group CCl4, CH3C1, C2Cl2, and CHCl3. Operating conditions: Tsubstrate=1600° C.; total pressure=200 Torr; silane flow rate=65 cc (10% in hydrogen); rotation rate=1000 rpm; main hydrogen flow rate=12500 cc; reactant push flow rate=1500 cc; and pyrometer opening purge=550 cc. Propane flow rate was 96.8 cc (2% in hydrogen), and the halogenated carbon precursors input flow rate was taken to maintain the same Si/C input ratio (e.g. it was 290.4 cc for CCl4 (2% in hydrogen)).
  • FIG. 7. Silicon carbide growth rates versus silane input flow rate, in a modified reactor at: Tsubstrate=1600° C.; total pressure=200 Torr; silane flow rate=65 cc (10% in hydrogen); rotation rate=1000 rpm; main hydrogen flow rate=12500 cc; reactant push flow rate=1500 cc; and pyrometer opening purge=550 cc. Silane flow rate was varied from 20 to 65 cc (10% in hydrogen), and the halogenated carbon precursors input flow rate was taken to maintain the Si/C input ratio of 1.12.
  • FIG. 8. A modified reactor design, as an example.
  • FIG. 9. Simulation of the temperature profile of our reactor before (left profile) and after (right profile) insertion of a “screen” and “shower head”.
  • FIG. 10. Temperature distribution in the reactor with 3″ wafer placed on the susceptor.
  • FIG. 11. Illustration of screen system introduction significantly improving the temperature distributions in a “GaNzilla” reactor.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The superior properties of silicon carbide as compared with silicon make it a perspective material for high power and high-temperature electronics (high-power transistors, thyristors, and rectifiers). Due to an extremely high thermal conductivity (3 W/cm*K for SiC vs. 1.3 for Si) and high breakdown voltage (1 MV/cm for SiC vs. 0.3 MV/cm for Si), the SiC-based device structures are capable to operate at much higher voltage and power. The wide bandgap of SiC (2.3 eV for SiC vs. 1.1 eV for Si) provides a low leakage current of the p-n junction, even at high temperatures. In addition, SiC exhibits a remarkable mechanical and chemical stability.
  • Despite the obvious advantages, wide-scale application of SiC in the device industry is currently hindered by essential technological difficulties arising in manufacturing of SiC-based structures of the required high quality and by their high costs. Among the tasks, the improvement of the quality of the growing epitaxial layers seems to be most important at the moment. This task includes the achievement of a good surface morphology, high thickness uniformity, an accurate stoichiometry, and a low defect density of the epilayers.
  • Chemical vapor deposition is conventionally used to grow the epitaxial SiC films. Among the devices used in this technique, cold-wall CVD reactors provide the low-cost and effective process of SiC growth, as compared with other systems. The typical design of a cold-wall CVD reactor includes a single heater or some heater system, to achieve the uniform high temperature at the susceptor and in the vicinity of it, and the injector system, reactor walls, and outlet unit are usually kept at a low temperature. Generally, the reactor geometry varies by a wide range—horizontal, vertical, barrel, shower-head pyramid, etc. (see, for example, the information on the commercial AIXTRON or Veeco cold-wall CVD reactors for more details, by their respective manufacturers).
  • However, some technical problems are typical for these systems. First, the high temperature gradient in the vicinity of the substrate is inevitable in this technique. This leads to the decrease in the quality of the growing film, due to the strain produced by a thermal expansion mismatch between the substrate and the growing epilayer, which is known to be the general source for defect generation in the growing layer. As a result, the silicon carbide layer would be low quality. The effective way to minimize this mismatch, and to improve the film quality, is to decrease the growth temperature, but this will significantly decrease the SiC growth rate, making the process less effective and more expensive.
  • The increase in the input precursor flow rates (silane and propane or acetylene typically used in the CVD of SiC) can be suggested as a way to increase the growth rate. Unfortunately, this will increase the partial pressures of silicon-containing species in the reactor. In turn, this effect gives rise to the formation of silicon clusters in the gas phase. As known from experiments, silicon particles in the gas phase lead to the decrease in the epilayer quality, due to the boulders or wavy effect on the surface (particles on the surface can be also observed). In addition, silicon nucleation leads to the losses in the source material for silicon carbide growth, which decreases the net SiC growth rate and distorts the stoichiometry of the growing layer.
  • Silicon nucleation can be partially suppressed via the decrease in the percentage of silicon-containing species in the reactor, using the increased flow rates of the carrier gas (usually hydrogen). Another approach is to decrease the total pressure in the reactor, in order to minimize the partial pressures of silicon-containing species. Unfortunately, these methods lead to the high gas flows that require more expensive pumps and manifolds. In addition, etching by hydrogen is significant at high hydrogen concentrations. As a result, the decrease in SiC growth rate and the destruction of the construction materials (due to the interactions with hydrogen) can be expected.
  • Another problem typical for cold-wall CVD reactors is poor temperature uniformity in the growth chamber. As is well-known, the main sources for the SiC growth are the products of thermal decomposition of the initial precursors (silane and propane or acetylene), which occurs at temperatures above 800-850° C. The region with such a temperature in a cold-wall reactors is localized near the substrate. It is relatively small, as compared with the whole reactor volume. So, the significant part of the initial precursors can remain un-decomposed. Obviously, this effect will manifest itself at increased input precursor flow rates. In turn, this will also decrease the silicon carbide growth rate.
  • Attempts to reduce silicon nucleation and to improve the SiC layer quality using the chlorine-containing silicon precursors (chlorosilanes) or adding a chlorine-containing etching agent (e.g. HCl) in the gas were carried repeatedly. The above approach was suggested in the disclosure for U.S. patent application 20040222501, Serial No. 431819, by O. Kordina. However, HCl is a fairly reactive compound, and its addition requires a special separate manifold line. These modifications are rather expensive. In addition, chlorosilanes decomposition requires temperatures above 800-950° C., and even higher temperatures are necessary to provide the suppression of silicon nucleation by chlorine-containing species. So, the application of the above approach in the cold-wall CVD reactors is strictly limited by the fact that the “hot zone” in these reactors is rather small.
  • Our method differs from the above patents/prior art with respect to the following terms and parameters:
  • (i) The specific range of silicon-to-carbon and chlorine-to-silicon ratios:

  • x(int)(Si)/x(int)(C)=0.7-1.3,

  • x(int)(Si)/x(int)(Cl)=0.02-1.5;
  • (ii) Halogenated carbon precursors (carbon tetrachloride CCl4 or halogenated hydrocarbons, CHCl3, CH2Cl2, CH3Cl, etc.) are primarily considered as chlorine-containing species, forming the gas composition mentioned above;
  • (iii) Suppression of parasitic deposits due to the etching by chlorine-containing species is considered as one of the mechanisms providing the increase in SiC growth rate and layer quality;
  • (iv) Modifications in the reactor design are suggested, which makes the chlorine addition really effective in the cold-wall reactors;
  • (v) Effective SiC growth process at temperatures as low as 1000° C. is possible;
  • (vi) SiC growth on on-axis surfaces is provided, due to the effective etching of silicon clusters at Si/Cl ratios of <0.66, which are known as a main source of undesirable cubic SiC phase, typical for the on-axis growth in the conventional approach.
  • Accordingly, a need exists to increase the temperature uniformity, to minimize the temperature gradient near the substrate in the commercial cold-wall reactors, and to suppress silicon nucleation, to get high SiC growth rates at higher precursor input flow rates and lower carrier gas flow, maintaining high crystal quality.
  • Typical commercial cold-wall CVD reactors are characterized by rather non-uniform temperature distributions in the growth chamber. The “hot zone” with the temperatures above 800° C. (necessary for an onset of silane and propane thermal decomposition) is localized near the substrate, and is rather small, as compared with the whole reactor bulk. A graphite or SiC-coated graphite insert arranged near the cold walls of the upper part of the reactor (that acts as a temperature shield) (see the design modifications in FIG. 1) is an effective way to improve the temperature distribution in those types of reactors. Some alternative construction materials can be also used for such an insert. For example, they are: graphite coated with carbides of refractory metals (tantalum, niobium, titanium, tungsten, molybdenum, zirconium, hafnium, etc.); carbides of the refractory metals listed above; quartz or quartz coated with above refractory metals or their carbides; pure refractory metals listed above; or any other material, alloy, or mixture having same or similar properties.
  • The insert walls in such a design are heated by the radiation flux from the heated substrate. The high thermal conductivity of the graphite provides the effective and uniform heating of the whole insert. A special design of the insert fixation gives the opportunity to minimize the contact area between the insert and the water-cooled reactor units, and to vary the gap between the insert and the top flange of the reactor. In doing so, the cooling effect of the reactor units on the temperature of the insert walls is minimized. Since the temperature of the insert wall in such a modified reactor became quite higher than the temperature of the water-cooled units, the temperature of the gas in the reactor bulk is increased.
  • However, the above modifications in the reactor design can be insufficient for some operating regimes, due to the effect of the cooled inlet. So, the showerhead, made from the refractory materials listed above, can be optionally added to increase the temperature near the reactor inlet. Note that this unit also provides an additional improvement of the flow patterns in the reactor and more uniform species delivery. The scheme of the suggested modifications in the typical design of cold-wall CVD reactors is depicted in FIG. 1. FIG. 1 is (a) a typical design of the commercial cold-wall CVD reactors, and (b) the modified reactor design, with the heat shielding insert and graphite showerhead.
  • As an example, FIG. 2 demonstrates the geometry of the graphite insert with the showerhead applied in the cold-wall reactor. The described modifications in the reactor design result in significant improvement of the temperature distributions in the reactor. For example, typical cold-wall reactor with and without modifications was tested in the following basic regime of SiC CVD: substrate temperature=1600° C.; total pressure=200 Torr; rotation rate=1000 rpm; main hydrogen flow rate=12500 sccm; reactant push flow rate=1500 sccm; pyrometer opening purge=550 sccm; and silane and propane diluted in hydrogen input flow rates were varied during the runs (the typical values of the input flows were hundreds cc). FIG. 3 illustrates the general changes in the temperature distributions obtained as a result of the modifications in the reactor design. As seen from the figure, temperature distributions in a modified reactor are more uniform. We emphasize that the “hot zone” with the temperature of ˜800° C. is significantly enlarged in the modified reactor. The increase in the average inlet temperature here can be estimated as being more than 350-400° C. As a result, thermal decomposition of the precursors used for SiC CVD takes place in the whole reactor volume, providing the optimal utilization of the source materials in the process. This gives an opportunity to increase the SiC growth rate in a modified reactor at the same or even lower precursor input flow rates. Thus, the effectiveness of the process can be increased.
  • The temperature gradient near the substrate decreases from 7.2*104 K/m for the initial cold wall design to 4*104 K/m in the modified geometry. Further optimization of the operating conditions gives the opportunity to get this value to about 1.7-2*104 K/m. Obviously, such a significant decrease in the temperature gradient will decrease the strain produced by a thermal expansion mismatch between the substrate and the growing epilayer, which is known to be the main source for defect generation in a growing SiC layer. So, we believe that the suggested modifications will improve the quality of the epitaxial SiC layers, due to the minimization of the defects generation in the growing layer and increase the growth rate via the optimal utilization of the source materials.
  • FIG. 3 shows the improvement of the uniformity in the temperature distributions, as a result of the design modifications in the reactor. As it follows from the other research data, the addition of the chlorine-containing species in the gas phase during SiC CVD can suppress or completely eliminate silicon nucleation. Two approaches were suggested: HCl addition in the input gas flow and the use of chlorosilanes (chloromethylsilanes) as precursors. Our experiments support these data.
  • As an example, FIG. 4 demonstrates the relative decrease in the silicon cluster mass density in the region near the substrate, estimated for the typical reactor under the following operating conditions: substrate temperature=1600° C.; total pressure=200 Torr; silane flow rate=65 sccm (10% in hydrogen); propane flow rate=96.8 sccm (2% in hydrogen); rotation rate=1000 rpm; main hydrogen flow rate=12500 sccm; reactant push flow rate=1500 sccm; and pyrometer opening purge=550 sccm. One can see that the chlorine effect manifests itself immediately upon the HCl addition. Small amounts of HCl provide the sharp decrease in the mass density of silicon clusters. The silicon cluster density is expected to be less than 15-20% of the initial value, when the integral molar percentage of silicon-containing species is approximately the same as that of chlorine-containing species. However, the complete elimination of silicon clusters became possible at rather high HCl input flow rates. As a result of silicon nucleation suppression, the SiC growth rate increased from ˜2-2.2 to 5-6 microns/hour.
  • However, the addition of HCl in the input flow requires a separate input pipeline, so the input system became more complicated and expensive. In addition, the compound is fairly reactive. For this reason, the use of halogenated carbon precursors (carbon tetrachloride CCl4 or halogenated hydrocarbons, CHCl3, CH2Cl2, CH3Cl, etc.) is a reasonable alternative to HCl addition. As it was shown above, the significant suppression of silicon nucleation is observed, even at low chlorine percentage in the gas.
  • FIG. 5 illustrates the mechanisms of silicon nucleation suppression in Si—C—H—Cl gas. (FIG. 5 shows the comparison of the general mechanisms of the gas-phase chemistry during SiC growth for the conventional approach (growth from silane and propane), versus our method of growth, in Si—C—H—Cl ambience.) It compares the general schemes of precursor decomposition in the conventional CVD of SiC from silane and propane versus our approach. As seen from the figure, silicon is one of the main decomposition species generated due to the silane thermal decomposition. Due to local supersaturation, gaseous silicon forms silicon clusters. As a result, there is significant loss of the source material for silicon carbide growth. The addition of the chlorine-containing agent in the gas under the process conditions discussed above (i.e. the following silicon-to-carbon and silicon-to-chlorine ratios) leads to a set of interaction reactions between the precursor decomposition products:

  • x(int)(Si)/x(int)(C)=0.7-1.3,

  • x(int)(Si)/x(int)(Cl)=0.02-1-5
  • Three basic results of such interactions under the suggested process conditions are:
      • Silicon dichloride (SiCl2), instead of silicon, is the main source of silicon for SiC growth. So, the silicon percentage is significantly lower than that in the conventional approach. As a result, silicon supersaturation is eliminated and nucleation is suppressed.
      • Gaseous hydrochloric acid is generated and its content can be quite high, as compared with the percentage of silicon- and carbon-containing species. Being a strong etching agent, HCl reacts with the gaseous silicon and silicon clusters forming volatile chlorides. As a result, an additional suppression of silicon nucleation is observed.
      • Parasitic deposits at the susceptor, injector, and reactor walls (pure carbon, silicon, silicon carbide, Si—SiC and C—SiC phases) are effectively etched, as a result of formation of volatile CHiClj and SiHiClj species. Such parasitic deposits suppression increases the stability of the process and decreases the losses in the source material.
  • Since the typical silicon-to-carbon input ratio in SiC CVD technologies is ˜1, the integral percentages of silicon- and chlorine-containing species are comparable in the SiC CVD from silane and halogenated carbon precursors. A low temperature of the onset of thermal decomposition is an additional advantage of the suggested method (since it provides optimal precursor utilization).
  • FIG. 6 illustrates the comparison of the SiC growth rates obtained for a typical reactor using propane and carbon tetrachloride, as carbon-containing precursors, under the similar growth conditions. The only exception was the carbon-containing precursor input flow rate: For CCl4, it was taken to provide the same silicon-to-carbon input ratio as for propane (Si/C=1.12).
  • FIG. 6 shows SiC growth rate in the typical reactor with propane (C3H8) and halogenated carbon precursors from the group CCl4, CH3C1, C2Cl2, and CHCl3. Operating conditions are: Tsubstrate=1600° C.; total pressure=200 Torr; silane flow rate=65 cc (10% in hydrogen); rotation rate=1000 rpm; main hydrogen flow rate=12500 cc; reactant push flow rate=1500 cc; and pyrometer opening purge=550 cc. Propane flow rate was 96.8 cc (2% in hydrogen) and the halogenated carbon precursors input flow rate was taken to maintain the same Si/C input ratio (e.g. it was 290.4 cc for CCl4 (2% in hydrogen)).
  • As seen from the figure, the SiC growth rate increased more than twice. This effect can be attributed to the significant suppression of silicon nucleation. Indeed, the estimated mass density of silicon clusters near the substrate decreases from ˜3*10−5 kg/m3 for the regime with propane to ˜4*10−7 kg/m3 for CCl4 (as a carbon-containing precursor). The same effect was observed for all halogenated carbon precursors tested. The slight difference in SiC growth rate is due to the peculiarities of the gas chemistry.
  • Thus, the possibility of the significant suppression of the silicon nucleation by using halogenated carbon precursors is proven. In turn, this gives the opportunity to use such advantages of the modified cold-wall reactors, as high temperature uniformity and low temperature gradient near the substrate at elevated input precursor flow rates. We believe that this method increases the SiC growth rate significantly, while maintaining the high quality of the grown layers.
  • FIG. 7 shows silicon carbide growth rates vs. silane input flow rate in a modified reactor at: Tsubstrate=1600° C.; total pressure=200 Torr; silane flow rate=65 cc (10% in hydrogen); rotation rate=1000 rpm; main hydrogen flow rate=12500 cc; reactant push flow rate=1500 cc; and pyrometer opening purge=550 cc. Silane flow rate was varied from 20 to 65 cc (10% in hydrogen) and the halogenated carbon precursors input flow rate was taken to maintain the Si/C input ratio of 1.12.
  • Comparing the data from FIG. 7 with the growth rates typical for the regime with a low silane input flow rate of 65 cc (10% in hydrogen), as shown in FIG. 6, one can see a significant increase in the silicon carbide growth rate.
  • In addition, in some applications, to get high quality material, we have used high temperatures, in the range of 1500-1800 Centigrade, with an excellent material characteristics.
  • An Example: Scale-up to 3 Inch Wafers It is well known from the reference data that the strains produced by temperature mismatch between the substrate and the growing epilayer are the main sources of wafer bow. Obviously, a decrease in the temperature gradient in the wafer region is an effective way to improve the layer quality. One approach to minimize the gradient is to decrease the growth temperature. However, this will significantly decrease the SiC growth rate. Another method is to improve temperature uniformity in the reactor, increasing wall and inlet temperatures. An additional advantage of such a method is the optimal precursor utilization. Indeed, thermal decomposition of silane, propane, and halogenated carbon precursors were shown to occur in a narrow hot zone near the wafer. An increase of this hot zone will provide the possibility of more effective precursor decomposition. In turn, this will lead to an additional increase in SiC growth rate.
  • The modified reactor design, as seen for example in FIG. 8, helps to increase the temperature uniformity, and to decrease temperature gradients near the wafer. It includes a spool-like graphite insert that replaces the water-cooled unit of a typical reactor. A shower head is also added near the inlet region. The gap between the reactor top flange and the insert, as well as the gap between the shower head and the insert's inner walls, are additional dimensions that can be adjusted for further optimization of the design, based on the specific gas and gas flow.
  • The effect of the reactor design modifications on the temperature distributions in the reactor bulk is demonstrated in FIG. 9. One can see that the typical cold wall reactor is characterized by a hot zone localized in the vicinity of the susceptor (as shown in FIG. 9, left picture). The addition of the graphite insert with the shower head in the inlet region gives an opportunity to make the temperature distributions more uniform (see FIG. 9, right side (after insertion of a “screen” and “shower head”)). Note that the temperature scale in the figure is limited by 700° C., to clarify the sharp temperature gradient.
  • Proper transfer of the growth recipe to 3 inch wafers (FIG. 10) requires a careful tuning of all main growth parameters. Within this task, modeling is used to find the optimal flow rate and rotation rate, providing the stable, recirculation-free growth conditions in the reactor. The special attention should be paid to suppression of the recirculation near the reactor side walls that may spoil the uniformity in case of growth on large-diameter wafers.
  • The distribution of silicon and carbon precursors between the injection zones is adjusted, in order to ensure the necessary growth rate uniformity and preserve a high efficiency process.
  • Modification of the flow profile allows for the required doping and thickness uniformity, while modification of the temperature gradient potentially improves the wafer bow. FIG. 10 shows the temperature distribution in the reactor with 3″ wafer placed on the susceptor. Of course, this technology can be applied to any size wafer.
  • An Example: Modification of Veeco's “GaNzilla” Reactor The modifications in our cold-wall Veeco Ganzilla reactor provide the optimization of the temperature distributions, due to the introduction of the screen system, making the existing reactor close to hot-wall devices. As a result, the SiC growth rate will be increased, maintaining the high layer quality. We have applied the approach tested above for the Veeco Instruments reactor. It was shown that temperature distributions can be significantly improved in the modified geometry. The temperature gradient near the wafer is estimated as 15-20 K/mm. Note that these values can be further decreased during the optimization of the process parameters for a specific situation.
  • A point of essential interest in our modified “GaNZilla” reactor is a possibility to realize the regime with decreased input hydrogen flow. Obviously, this can be an important step to get an effective and low-cost process. A set of regimes was computed for the modified GaNZilla reactor. The regimes with the hydrogen flows decreased to 30 slm. Veeco's GaNzilla reactor is modified to accomodate 8×3″ SiC wafers for thick epitaxial layer growth.
  • The effect of the screen system introduction was preliminary computed for GaNzilla reactor (FIG. 10). FIG. 11 illustrates the effect of these modifications for the regime with substrate temperature of 1400° C. As seen from the figure, the hot zone in such modified reactor is significantly enlarged. (Screen system introduction significantly improves the temperature distributions in GaNzilla reactor.) Thus, this invention helps/improves temperature uniformity, suppress phase nucleation, reducing Si clusters, reducing total flow rate, reducing defects, reducing mismatch and bow effect, improving morphology, improving deposition condition, improving quality, application for larger diameter wafers, and reducing depositions on graphite, among other results.
  • The system also accepts multiple substrates on the substrate holder.
  • The embodiments above are just for the purpose of clarification (as examples). However, the inserts/additions to the walls/setup/shower-head can be any shape, angled, orientations, size, any material, and at any position, as long as they can stand the environment inside chamber. The precursors can be any other chemical compound, element, or mixture, as long as the ratio, amount, or percentage of the decomposed species stay substantially the same or similar. In addition, the temperatures, flow rates, dimensions, and other design and growth parameters can be varied, as long as the main objectives of the invention, mentioned above, are more or less satisfied. It can also be applied to semiconductors other than SiC and its related compounds.
  • Any variations of the teachings above are also included and meant to be protected by the current patent application.

Claims (35)

1. A chemical vapor deposition system, said system comprising:
an enclosure with walls;
a substrate holder; and
an inlet for one or more gasses,
wherein said system uses or produces one or more of the followings: halogenated carbon, carbon tetrachloride, halogenated hydrocarbon, CHCl3, CH2Cl2, or CH3Cl.
2. A system as recited in claim 1, wherein said halogenated carbon comprises one or more of the followings: F, Cl, Br, I, or At.
3. A system as recited in claim 1, wherein said halogenated hydrocarbon comprises one or more of the followings: F, Cl, Br, I, or At.
4. A system as recited in claim 1, wherein said system uses or produces a gas comprising Si, H, C, and Cl species.
5. A system as recited in claim 1, wherein said system is used for the growth of SiC.
6. A system as recited in claim 1, wherein said system comprises a heating element.
7. A system as recited in claim 1, wherein said system comprises a water cooling unit.
8. A system as recited in claim 1, wherein said system produces or uses one or more of the followings: SiH2, SiH, Si, CCl3, or CCl2.
9. A system as recited in claim 1, wherein said system produces or uses one or more of the followings: HCl, CH3Cl, CH4, or SiH2Cl2.
10. A system as recited in claim 1, wherein said system produces or uses one or more of the followings: SiCl2, CH4, or HCl.
11. A system as recited in claim 1, wherein said system produces an etching agent.
12. A system as recited in claim 1, wherein said substrate holder holds a wafer or substrate of at least 3 inch in diameter.
13. A system as recited in claim 1, wherein said system comprises one or more of the following materials, or their alloys or mixtures: graphite, SiC-coated graphite, graphite coated with carbides of refractory metals, carbides of refractory metals, quartz, quartz coated with refractory metals, or pure refractory metals.
14. A system as recited in claim 1, wherein said system comprises at least a refractory metal.
15. A system as recited in claim 14, wherein said at least a refractory metal is made of one or more of the following materials, or their alloys or mixtures:
tantalum, niobium, titanium, tungsten, molybdenum, zirconium, or hafnium.
16. A system as recited in claim 1, wherein said system is a cold-wall CVD reactor.
17. A system as recited in claim 1, wherein said system has a relatively uniform temperature distribution around said substrate holder.
18. A system as recited in claim 1, wherein said system is used for the growth of semiconductor materials.
19. A system as recited in claim 1, wherein said system is used for the growth of epitaxial materials.
20. A system as recited in claim 1, wherein said system uses a specific ratio of the number of Si to C atoms in input gas mixture.
21. A system as recited in claim 1, wherein said system uses a specific ratio of the number of Si to Cl atoms in input gas mixture.
22. A system as recited in claim 1, wherein said system uses an input gas mixture with a value in the range of 0.02 to 1.5 for the ratio of the number of Si to Cl atoms in said input gas mixture.
23. A system as recited in claim 1, wherein said system uses an input gas mixture with a value in the range of 0.7 to 1.3 for the ratio of the number of Si to C atoms in said input gas mixture.
24. A system as recited in claim 1, wherein said system uses an input gas mixture with a value close to the range of 0.02 to 1.5 for the ratio of the number of Si to Cl atoms in said input gas mixture.
25. A system as recited in claim 1, wherein said system uses an input gas mixture with a value close to the range of 0.7 to 1.3 for the ratio of the number of Si to C atoms in said input gas mixture.
26. A system as recited in claim 1, wherein said system uses a relatively low growth temperature.
27. A system as recited in claim 1, wherein said system produces a relatively high growth rate.
28. A system as recited in claim 1, wherein said system accepts multiple substrates on said substrate holder.
29. A system as recited in claim 1, wherein said system suppresses parasitic deposits inside said system.
30. A system as recited in claim 1, wherein said system uses a Si substrate coated with a thin film of monocrystalline SiC.
31. A system as recited in claim 1, wherein said system produces or uses one or more of the CHiClj or SiHmCln species, wherein i, j, m, and n are non-negative integers.
32. A system as recited in claim 1, wherein said system uses a growth temperature in the range of 1000 to 1800 centigrade.
33. A system as recited in claim 1, wherein said system reduces the consumption of the source materials.
34. A system as recited in claim 1, wherein said system uses a growth temperature in the range of 1500 to 1800 centigrade.
35. A system as recited in claim 1, wherein said system uses a relatively high growth temperature.
US11/626,388 2007-01-24 2007-01-24 Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor Abandoned US20080173239A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/626,388 US20080173239A1 (en) 2007-01-24 2007-01-24 Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US13/194,967 US8329252B2 (en) 2007-01-24 2011-07-31 Method for the growth of SiC, by chemical vapor deposition, using precursors in modified cold-wall reactor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/626,388 US20080173239A1 (en) 2007-01-24 2007-01-24 Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/194,967 Continuation US8329252B2 (en) 2007-01-24 2011-07-31 Method for the growth of SiC, by chemical vapor deposition, using precursors in modified cold-wall reactor

Publications (1)

Publication Number Publication Date
US20080173239A1 true US20080173239A1 (en) 2008-07-24

Family

ID=39640034

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/626,388 Abandoned US20080173239A1 (en) 2007-01-24 2007-01-24 Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US13/194,967 Active US8329252B2 (en) 2007-01-24 2011-07-31 Method for the growth of SiC, by chemical vapor deposition, using precursors in modified cold-wall reactor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/194,967 Active US8329252B2 (en) 2007-01-24 2011-07-31 Method for the growth of SiC, by chemical vapor deposition, using precursors in modified cold-wall reactor

Country Status (1)

Country Link
US (2) US20080173239A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100081261A1 (en) * 2008-10-01 2010-04-01 National Tsing Hua University Method of fabricating silicon carbide (SiC) layer
US20100112216A1 (en) * 2008-11-06 2010-05-06 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US20120003819A1 (en) * 2010-07-02 2012-01-05 International Business Machines Corporation Methods and apparatus for selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing material
JP2013042092A (en) * 2011-08-19 2013-02-28 Nuflare Technology Inc Film-processing method
US20140345526A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. Coated liner assembly for a semiconductor processing chamber
US20150013595A1 (en) * 2012-01-30 2015-01-15 Erik Janzén Silicon carbide crystal growth in a cvd reactor using chlorinated chemistry
US20150345046A1 (en) * 2012-12-27 2015-12-03 Showa Denko K.K. Film-forming device
US20160194753A1 (en) * 2012-12-27 2016-07-07 Showa Denko K.K. SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101681722B (en) 2006-11-15 2012-08-15 EnerG2股份有限公司 Electric double layer capacitance device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN105226284B (en) 2009-07-01 2017-11-28 巴斯夫欧洲公司 Ultrapure synthetic carbon materials
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120262127A1 (en) 2011-04-15 2012-10-18 Energ2 Technologies, Inc. Flow ultracapacitor
EP2715840B1 (en) 2011-06-03 2015-05-27 Basf Se Carbon-lead blends for use in hybrid energy storage devices
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013120011A1 (en) 2012-02-09 2013-08-15 Energ2 Technologies, Inc. Preparation of polymeric resins and carbon materials
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN105190948B (en) 2013-03-14 2019-04-26 14族科技公司 The complex carbon material of electrochemical modification agent comprising lithium alloyage
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103484933A (en) * 2013-10-22 2014-01-01 西安电子科技大学 Cleaning method for epitaxial chemical vapor deposition (CVD) device
US10195583B2 (en) 2013-11-05 2019-02-05 Group 14 Technologies, Inc. Carbon-based compositions with highly efficient volumetric gas sorption
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102546284B1 (en) 2014-03-14 2023-06-21 그룹14 테크놀로지스, 인코포레이티드 Novel methods for sol-gel polymerization in absence of solvent and creation of tunable carbon structure from same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10763501B2 (en) 2015-08-14 2020-09-01 Group14 Technologies, Inc. Nano-featured porous silicon materials
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
EP3341990A1 (en) 2015-08-28 2018-07-04 Energ2 Technologies, Inc. Novel materials with extremely durable intercalation of lithium and manufacturing methods thereof
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3593369A4 (en) 2017-03-09 2021-03-03 Group14 Technologies, Inc. Decomposition of silicon-containing precursors on porous scaffold materials
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11335903B2 (en) 2020-08-18 2022-05-17 Group14 Technologies, Inc. Highly efficient manufacturing of silicon-carbon composites materials comprising ultra low z
US11174167B1 (en) 2020-08-18 2021-11-16 Group14 Technologies, Inc. Silicon carbon composites comprising ultra low Z
US11639292B2 (en) 2020-08-18 2023-05-02 Group14 Technologies, Inc. Particulate composite materials
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4133689A (en) * 1975-12-03 1979-01-09 Ppg Industries, Inc. Submicron beta silicon carbide powder and sintered articles of high density prepared therefrom
US4228142A (en) * 1979-08-31 1980-10-14 Holcombe Cressie E Jun Process for producing diamond-like carbon
US4952715A (en) * 1985-04-26 1990-08-28 Sri International Polysilazanes and related compositions, processes and uses
US5008422A (en) * 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
US5055431A (en) * 1985-04-26 1991-10-08 Sri International Polysilazanes and related compositions, processes and uses
US5119540A (en) * 1990-07-24 1992-06-09 Cree Research, Inc. Apparatus for eliminating residual nitrogen contamination in epitaxial layers of silicon carbide and resulting product
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5536323A (en) * 1990-07-06 1996-07-16 Advanced Technology Materials, Inc. Apparatus for flash vaporization delivery of reagents
US5593783A (en) * 1994-06-17 1997-01-14 Advanced Technology Materials, Inc. Photochemically modified diamond surfaces, and method of making the same
US5789024A (en) * 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US5792416A (en) * 1996-05-17 1998-08-11 University Of Florida Preparation of boron-doped silicon carbide fibers
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US20030198743A1 (en) * 2002-04-22 2003-10-23 Hitoshi Morisaki Silicon nitride film forming apparatus and film forming method
US6733830B2 (en) * 2000-02-08 2004-05-11 Asm Japan K.K. Processes for depositing low dielectric constant materials
US6783589B2 (en) * 2001-01-19 2004-08-31 Chevron U.S.A. Inc. Diamondoid-containing materials in microelectronics
US6821825B2 (en) * 2001-02-12 2004-11-23 Asm America, Inc. Process for deposition of semiconductor films
US6830822B2 (en) * 1996-09-03 2004-12-14 Nanoproducts Corporation Inorganic colors and related nanotechnology
US6849409B2 (en) * 2000-10-16 2005-02-01 Axxima Pharmaceuticals Ag Cellular kinases involved in Cytomegalovirus infection and their inhibition
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6989428B1 (en) * 2002-03-22 2006-01-24 University Of Massachusetts Methods of preparing polysilynes
US7247513B2 (en) * 2003-05-08 2007-07-24 Caracal, Inc. Dissociation of silicon clusters in a gas phase during chemical vapor deposition homo-epitaxial growth of silicon carbide

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3603725C2 (en) * 1986-02-06 1994-08-18 Siemens Ag Process for structuring silicon carbide
US5230768A (en) * 1990-03-26 1993-07-27 Sharp Kabushiki Kaisha Method for the production of SiC single crystals by using a specific substrate crystal orientation
US6063186A (en) * 1997-12-17 2000-05-16 Cree, Inc. Growth of very uniform silicon carbide epitaxial layers
JP3648112B2 (en) * 1999-11-26 2005-05-18 東芝セラミックス株式会社 CVD-SiC free-standing film structure and manufacturing method thereof
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
JP2007053227A (en) * 2005-08-18 2007-03-01 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US7404858B2 (en) * 2005-09-16 2008-07-29 Mississippi State University Method for epitaxial growth of silicon carbide
TW200805458A (en) * 2006-03-24 2008-01-16 Applied Materials Inc Carbon precursors for use during silicon epitaxial film formation
EP2024531A2 (en) * 2006-05-01 2009-02-18 Applied Materials, Inc. A method of ultra-shallow junction formation using si film alloyed with carbon

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4133689A (en) * 1975-12-03 1979-01-09 Ppg Industries, Inc. Submicron beta silicon carbide powder and sintered articles of high density prepared therefrom
US4228142A (en) * 1979-08-31 1980-10-14 Holcombe Cressie E Jun Process for producing diamond-like carbon
US5322913A (en) * 1985-04-26 1994-06-21 Sri International Polysilazanes and related compositions, processes and uses
US4952715A (en) * 1985-04-26 1990-08-28 Sri International Polysilazanes and related compositions, processes and uses
US5008422A (en) * 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
US5055431A (en) * 1985-04-26 1991-10-08 Sri International Polysilazanes and related compositions, processes and uses
US5536323A (en) * 1990-07-06 1996-07-16 Advanced Technology Materials, Inc. Apparatus for flash vaporization delivery of reagents
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5119540A (en) * 1990-07-24 1992-06-09 Cree Research, Inc. Apparatus for eliminating residual nitrogen contamination in epitaxial layers of silicon carbide and resulting product
US5593783A (en) * 1994-06-17 1997-01-14 Advanced Technology Materials, Inc. Photochemically modified diamond surfaces, and method of making the same
US5789024A (en) * 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US5792416A (en) * 1996-05-17 1998-08-11 University Of Florida Preparation of boron-doped silicon carbide fibers
US5851942A (en) * 1996-05-17 1998-12-22 University Of Florida Preparation of boron-doped silicon carbide fibers
US6830822B2 (en) * 1996-09-03 2004-12-14 Nanoproducts Corporation Inorganic colors and related nanotechnology
US6800552B2 (en) * 1999-10-15 2004-10-05 Asm International, N.V. Deposition of transition metal carbides
US6733830B2 (en) * 2000-02-08 2004-05-11 Asm Japan K.K. Processes for depositing low dielectric constant materials
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6849409B2 (en) * 2000-10-16 2005-02-01 Axxima Pharmaceuticals Ag Cellular kinases involved in Cytomegalovirus infection and their inhibition
US6783589B2 (en) * 2001-01-19 2004-08-31 Chevron U.S.A. Inc. Diamondoid-containing materials in microelectronics
US7061073B2 (en) * 2001-01-19 2006-06-13 Chevron U.S.A. Inc. Diamondoid-containing capacitors
US6821825B2 (en) * 2001-02-12 2004-11-23 Asm America, Inc. Process for deposition of semiconductor films
US6958253B2 (en) * 2001-02-12 2005-10-25 Asm America, Inc. Process for deposition of semiconductor films
US6989428B1 (en) * 2002-03-22 2006-01-24 University Of Massachusetts Methods of preparing polysilynes
US20030198743A1 (en) * 2002-04-22 2003-10-23 Hitoshi Morisaki Silicon nitride film forming apparatus and film forming method
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7247513B2 (en) * 2003-05-08 2007-07-24 Caracal, Inc. Dissociation of silicon clusters in a gas phase during chemical vapor deposition homo-epitaxial growth of silicon carbide

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7763529B2 (en) * 2008-10-01 2010-07-27 National Tsing Hua University Method of fabricating silicon carbide (SiC) layer
US20100081261A1 (en) * 2008-10-01 2010-04-01 National Tsing Hua University Method of fabricating silicon carbide (SiC) layer
US8937000B2 (en) 2008-11-06 2015-01-20 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US20100112216A1 (en) * 2008-11-06 2010-05-06 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US9053935B2 (en) 2008-11-06 2015-06-09 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US20120003819A1 (en) * 2010-07-02 2012-01-05 International Business Machines Corporation Methods and apparatus for selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing material
US8759200B2 (en) * 2010-07-02 2014-06-24 Matheson Tri-Gas, Inc. Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
JP2013042092A (en) * 2011-08-19 2013-02-28 Nuflare Technology Inc Film-processing method
US20150013595A1 (en) * 2012-01-30 2015-01-15 Erik Janzén Silicon carbide crystal growth in a cvd reactor using chlorinated chemistry
US10017877B2 (en) * 2012-01-30 2018-07-10 Epiluvac Ab Silicon carbide crystal growth in a CVD reactor using chlorinated chemistry
US20150345046A1 (en) * 2012-12-27 2015-12-03 Showa Denko K.K. Film-forming device
US20160194753A1 (en) * 2012-12-27 2016-07-07 Showa Denko K.K. SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM
US20140345526A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. Coated liner assembly for a semiconductor processing chamber

Also Published As

Publication number Publication date
US8329252B2 (en) 2012-12-11
US20110283933A1 (en) 2011-11-24

Similar Documents

Publication Publication Date Title
US8329252B2 (en) Method for the growth of SiC, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7901508B2 (en) Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
CA2312790C (en) Growth of very uniform silicon carbide epitaxial layers
WO1997001658A1 (en) A device and a method for epitaxially growing objects by cvd
JP2004323351A (en) Manufacturing apparatus and manufacturing method for single crystal by gas phase growth method
US7147713B2 (en) Phase controlled sublimation
KR101030422B1 (en) Susceptor
JP6180439B2 (en) Silicon carbide crystal growth in a CVD reactor using a chlorination chemistry system.
JP2014058411A (en) Method for producing epitaxial silicon carbide wafer
JP2006290706A (en) Method for producing silicon carbide single crystal
CN103681259B (en) Method for manufacturing sic semiconductor device
TW201443302A (en) Low carbon group-III nitride crystals
US20210399095A1 (en) Sic semiconductor substrate, and, production method therefor and production device therefor
Kim et al. High‐Temperature Corrosion Resistance of Chemically Vapor Deposited Silicon Carbide against Hydrogen Chloride and Hydrogen Gaseous Environments
KR20130134938A (en) Silicon carbide epi wafer and method of fabricating the same
Kim et al. Nitrogen-doping effect on single-crystal diamond synthesis by HFCVD
JPS6115150B2 (en)
US20240072118A1 (en) Method of forming graphene on a silicon substrate
JPH04214099A (en) Manufacture of silicon carbide single crystal
JP3091305B2 (en) Method for manufacturing silicon carbide film
Zelenin et al. Some aspects of SiC CVD epitaxy
KR20130134937A (en) Silicon carbide epi wafer and method of fabricating the same
JP3219832B2 (en) Manufacturing method of silicon carbide thin film
CN115074825A (en) Silicon carbide epitaxial structure, pulse type growth method and application thereof
JPH06321688A (en) Forming method of highly oriented diamond thin film

Legal Events

Date Code Title Description
AS Assignment

Owner name: WIDETRONIX INC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MAKAROV, YURI;SPENCER, MICHAEL;SIGNING DATES FROM 20100727 TO 20100806;REEL/FRAME:026676/0617

STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION

AS Assignment

Owner name: YOUNG, JOHN F., NEW YORK

Free format text: SECURITY INTEREST;ASSIGNOR:WIDETRONIX INC.;REEL/FRAME:035428/0906

Effective date: 20150413

Owner name: BARNETT, SUSAN M., NEW YORK

Free format text: SECURITY INTEREST;ASSIGNOR:WIDETRONIX INC.;REEL/FRAME:035428/0906

Effective date: 20150413

Owner name: F.J. YOUNG COMPANY, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNOR:WIDETRONIX INC.;REEL/FRAME:035428/0906

Effective date: 20150413