DE69531654T2 - Verfahren zur herstellung eines dünnschicht-halbleiter-transistors - Google Patents

Verfahren zur herstellung eines dünnschicht-halbleiter-transistors Download PDF

Info

Publication number
DE69531654T2
DE69531654T2 DE69531654T DE69531654T DE69531654T2 DE 69531654 T2 DE69531654 T2 DE 69531654T2 DE 69531654 T DE69531654 T DE 69531654T DE 69531654 T DE69531654 T DE 69531654T DE 69531654 T2 DE69531654 T2 DE 69531654T2
Authority
DE
Germany
Prior art keywords
layer
semiconductor layer
deposition
semiconductor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69531654T
Other languages
English (en)
Other versions
DE69531654D1 (de
Inventor
Mitsutoshi Miyasaka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Publication of DE69531654D1 publication Critical patent/DE69531654D1/de
Application granted granted Critical
Publication of DE69531654T2 publication Critical patent/DE69531654T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/762Charge transfer devices
    • H01L29/765Charge-coupled devices
    • H01L29/768Charge-coupled devices with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C2360/00Engines or pumps
    • F16C2360/44Centrifugal pumps
    • F16C2360/45Turbo-molecular pumps
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13454Drivers integrated on the active matrix substrate
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/104Materials and properties semiconductor poly-Si

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Formation Of Insulating Films (AREA)
  • Liquid Crystal (AREA)

Description

  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft ein Herstellungsverfahren für einen Dünnschicht-Halbleitertransistor.
  • Stand der Technik
  • In den letzten Jahren ist man im Zuge der zunehmenden Größe von Bildschirmen und im Zuge der Verbesserungen der Auflösung bei den Ansteuerungsverfahren für Flüssigkristallanzeigen (LCDs) von einfachen Matrixverfahren auf aktive Matrixverfahren übergegangen. Die Anzeigen sind dazu in der Lage, große Informationsmengen darzustellen. LCDs mit mehreren Hunderttausend Pixeln sind mit aktiven Matrixverfahren möglich, bei denen jedem Pixel ein Schalttransistor zugeordnet ist. Transparente isolierende Substrate, z. B. aus geschmolzenem Quarz und Glas, die die Herstellung von durchsichtigen Anzeigen ermöglichen, werden als Substrate für sämtliche Typen von LCDs verwendet. Obgleich üblicherweise Halbleiterschichten, wie Schichten aus amorphem Silicium oder polykristallinem Silicium, als aktive Schicht in Dünnschicht-Transistoren (TFTs) verwendet werden, ist die Verwendung von polykristallinem Silicium mit höheren Betriebsgeschwindigkeiten für den Fall der Herstellung von monolithischen Anzeigen, die integrierte Steuerschaltkreise umfassen, vorteilhaft. Bei Verwendung von polykristallinem Silicium als aktiver Schicht, wird pyrogener Quarz als Substrat verwendet. Ein sogenanntes "Hochtemperaturverfahren", bei dem die maximale Bearbeitungstemperatur 1000°C übersteigt, wird zur Herstellung der TFTs verwendet. Andererseits kann im Fall einer aktiven Schicht aus amorphem Silicium ein herkömmliches Glassubstrat verwendet werden. Zur Vergrößerung der LCD-Anzeigegröße (unter Beibehaltung niedriger Kosten) ist die Verwendung von kostengünstigen üblichen Glassubstraten unerlässlich. Derartige Schichten aus amorphem Silicium sind jedoch mit Schwierigkeiten behaftet, da die elektrischen Eigenschaften deutlich schlechter als bei Polysiliciumschichten sind und sich geringe Betriebsgeschwindigkeiten ergeben. Da beim Hochtemperaturverfahren mit Polysilicium-TFTs Quarzsubstrate verwendet werden, treten jedoch Schwierigkeiten in Bezug auf eine Steigerung der Anzeigegröße und eine Verringerung der Kosten auf. Infolgedessen besteht ein starkes Bedürfnis nach einer Technik zur Herstellung einer Dünnschicht-Halbleitervorrichtung unter Verwendung einer Halbleiterschicht, z. B. aus polykristallinem Silicium, als aktiver Schicht auf einem üblichen Glassubstrat. Wenn jedoch dabei große Substrate, die sich gut für die Massenproduktion eignen, verwendet werden, besteht eine starke Einschränkung dahingehend, dass die Substrate unterhalb einer maximalen Bearbeitungstemperatur von etwa 570°C gehalten werden müssen, um eine Deformation der Substrate zu vermeiden. Mit anderen Worten, es besteht ein Bedürfnis nach einer Technik, mit der unter derartigen Einschränkungen die aktive Schicht von Dünnschichthalbleitern gebildet werden kann, die zur Steuerung einer Flüssigkristallanzeige und von Dünnschichttransistoren geeignet sind, die Steuerschaltungen mit hoher Geschwindigkeit betreiben können. Derartige Vorrichtungen sind derzeit als Niedertemperatur-poly-Si-TFTs bekannt.
  • Herkömmliche Niedertemperatur-poly-Si-TFTs werden in SID (Society for Information Display), '93 Digest (1993), S. 387, dargestellt. Gemäß diesen Angaben wird zunächst eine 50 nm starke Schicht aus amorphem Silicium (a-Si) bei 550°C durch LPCVD unter Verwendung von Monosilan (SiH4) als Quellengas abgeschieden und sodann durch Laserbestrahlung von a-Si in poly-Si umgewandelt. Nach Bemusterung der poly-Si-Schicht wird eine Gate-Isolierschicht aus SiO2 durch ECR-PECVD bei einer Substrattemperatur von 100°C abgeschieden. Nach der Bildung der Tantal (Ta)-Gate-Elektrode auf der Gate-Isolierschicht werden sich selbst ausrichtende Transistor-Source- und -Drain-Regionen in der Slliciumschicht durch Ionenimplantation von Donator- oder Akzeptor-Verunreinigungen gebildet, während die Gate-Elektrode als Maske verwendet wird. Diese als "Ionendotierung" bekannte Ionenimplantation wird durch eine Nichtmassen-Trennionen-Implantationsvorrichtung erreicht. Mit Wasserstoff verdünntes Phosphin (PH3), Diboran (B2H6) oder ein ähnliches Gas werden als Quellengas für die Ionendotierung verwendet. Die Aktivierung der Verunreinigungen wird bei 300°C durchgeführt. Im Anschluss an die Abscheidung einer Zwischenisolierschicht werden Elektronen und Verbindungen, z. B. aus Indiumzinnoxid (ITO) und Aluminium (Al), abgeschieden, um die Dünnschicht-Halbleitervorrichtung fertig zu stellen.
  • Wie vorstehend beschrieben, treten jedoch mehrere naturgegebene Schwierigkeiten mit poly-Si-TFTs auf, die durch die bekannte Technik durch das Niedertemperaturverfahren hergestellt worden sind, da dieses Verfahren die Anwendung dieser Technik bei der Massenproduktion verhindert.
    • 1. Die hohe Bearbeitungstemperatur von 550°C verhindert die Verwendung von kostengünstigem Glas, was zu einem starken Anstieg der Herstellungskosten führt. Zusätzlich nimmt der Grad der Verwerfungen der Glassubstrate infolge des Eigengewichts bei steigender Substratgröße zu. Eine Steigerung der Größe der Flüssigkristallanzeigen (LCD) ist nicht möglich.
    • 2. Die geeigneten Bestrahlungsbedingungen, die zur Erzielung einer gleichmäßigen Laserbestrahlung des gesamten Substrats erforderlich sind, sind drastisch und fallen in einen engen Bereich. Infolgedessen kann die Kristallisation der Schicht von Charge zu Charge von einer gleichmäßigen zu einer ungleichmäßigen Beschaffenheit variieren, so dass eine zuverlässige Herstellung nicht möglich ist.
    • 3. Während der Ionendotierung oder der anschließenden Niedertemperaturaktivierung bei 300– 350°C der Source- und Drain-Regionen, die bezüglich der Gate-Elektrode selbstausrichtend sind, tritt gelegentlich das Problem einer erfolglosen Aktivierung auf. Mit anderen Worten, der Widerstand der Source- und Drain-Regionen beträgt mehrere Gigaohm. Insbesondere bei der Herstellung von TFTs mit geringfügig dotierten Drains (LDD) ist dieses Problem schwerwiegend und stellt eine Ursache für eine erhebliche Verminderung der Produktionsausbeute dar.
    • 4. Obgleich nur SiO2, das durch ECR-PECVD gebildet wird, zu geeigneten Transistoreigenschaften bei Niedertemperaturverfahren-poly-Si-TFTs führt, ist es schwierig, die Größe der ECR-Source in der ECR-PECVD-Einrichtung zu erhöhen, da dadurch ECR-PECVD für große LCD-Felder ungeeignet wird. Ferner ergibt sich ein äußerst schlechter Durchsatz. Infolgedessen stellen ECR-PECVD- Reaktoren keine für die Massenproduktion geeigneten, praxisgerechten Gate-Oxidschicht-Abscheidungseinrichtungen, die sich zur Herstellung von großflächigen Anzeigen eignen, dar.
    • 5. Die Anwendung von Maßnahmen, z. B. die Laserbestrahlung für die Schmelzkristallisation von Halbleiterschichten, z. B. aus Silicium, führt zu einer partiellen Agglomeration, die große Variationen der elektrischen Eigenschaften der Halbleiterschicht und eine raue Beschaffenheit der Halbleiterschicht bewirken kann und die elektrische Durchschlagfestigkeit der Gate-Source oder des Gate-Drains vermindert.
    • 6. Bei Verwendung von kostengünstigen Glassubstraten handelte es sich bei der unteren Schutzschicht, die erforderlich ist, um in wirksamer Weise das Eindringen von Verunreinigungen aus dem Glas in die Halbleiterschicht zu verhindern, nicht um die untere Schutzschicht der Halbleiterbauelemente, die optimale elektrische Eigenschaften zeigt. Mit anderen Worten, eine dickere Ausgestaltung der unteren Schutzschicht zur Verhinderung des Eindringens von Verunreinigungen führt zu einer Beeinträchtigung der elektrischen Eigenschaften des Halbleiterbauelements aufgrund der von der unter Schicht erzeugten Spannungen oder führt zur Rissbildung im Halbleiterbauelement.
    • 7. Bei der plasmaverstärkten, chemischen Abscheidung aus der Dampfphase (PECVD) zur Herstellung von Halbleiterschichten, können Elemente, wie Fluor (F) und Kohlenstoff (C), die Bestandteile der Dämpfe, die bei der Reinigung der Abscheidungskammer verwendet werden und die nach der Reinigung zurückbleiben, als Verunreinigungen in die Schichten eingebaut werden. Dies führt dazu, dass die Menge an Verunreinigungen, die in die Substrate eingebaut werden, variiert und es nicht möglich ist, in zuverlässiger Weise hervorragende Dünnschicht-Halbleiterbauelemente zu erzeugen.
    • 8. Da die Abscheidungstemperatur für Halbleiterschichten bei der chemischen Niederdruck-Abscheidung aus der Dampfphase (LPCVD) abnimmt, ist es schwierig, einen verträglichen Zustand zwischen der Gleichmäßigkeit innerhalb eines Substrats und der Abscheidungsgeschwindigkeit zu erreichen. Mit anderen Worten, da die Abscheidungsgeschwindigkeit abnimmt, wenn man die Abscheidungstemperatur senkt, ist ein Druckanstieg erforderlich, um dieses Verhalten auszugleichen, was zu einer erheblichen Verschlechterung der Gleichmäßigkeit innerhalb eines einzigen Substrats führt. Diese Tendenz ergibt sich in noch stärker ausgeprägtem Maße mit zunehmender Substratgröße und stellt ein Haupthindernis zur Massenherstellung von großen LCDs dar.
    • 9. Es gibt drei Typen der Ungleichmäßigkeit der elektrischen Eigenschaften von Dünnschicht-Halbleiterbauelementen. Neben den Variationen innerhalb eines einzigen Substrats, gibt es auch Variationen von Substrat zu Substrat in einer einzigen Charge sowie Variationen zwischen verschiedenen Chargen. Bei Dünnschicht-Halbleiterbauelementen und den Herstellungsverfahren gemäß der existierenden Technik ist es nicht möglich, diese drei Typen von Ungleichmäßigkeit zu kontrollieren. Insbesondere hat man sich bisher mit den Variationen der Eigenschaften von Charge zu Charge fast nicht beschäftigt.
    • 10. Bei der Herstellung von Halbleiter-Dünnschichten durch PECVD ergibt sich eine geringe Haftung zwischen der Halbleiterschicht und der unteren Schutzschicht. Es werden zahlreiche kraterförmige Löcher in der Halbleiterschicht erzeugt, die im schlimmsten Fall zu einer Delamination der Schicht führen können.
  • Ein Verfahren gemäß dem Oberbegriff von Anspruch 1 ist aus EP-A-0 592 227 bekannt. Gemäß diesem Stand der Technik wird eine Kristallisation ohne Schmelzen durch wiederholtes Abscheiden von amorphem Silicium und durch Einwirken eines Wasserstoffplasmas auf die abgeschiedene Schicht erreicht.
  • Die Schmelzkristallisation ist an sich bekannt und wird von K. Masumo et al. ("Low-Temperature Preparation of Poly-Si TFT by Ar Laser Annealing at High Scanning Speed", Electronics and Communications in Japan, Part II-Electronics, Bd. 76 (9. September 1993), S. 112–116) beschrieben.
  • Erfindungsgemäß wird die Überwindung der vorstehend erwähnten Schwierigkeiten mit dem Ziel angestrebt, Maßnahmen zur zuverlässigen Herstellung von hochwertigen Dünnschicht-Halbleitertransistoren durch ein realistisches, zweckmäßiges Verfahren bereitzustellen, wobei eine Bearbeitungstemperatur angewandt wird, die die Verwendung von herkömmlichen großen Glassubstraten ermöglicht.
  • Diese Aufgabe wird durch das Verfahren gemäß Anspruch 1 gelöst. Bevorzugte Ausführungsformen der Erfindung sind Gegenstand der nachgeordneten Ansprüche.
  • Beschreibung der Erfindung
  • Nachstehend werden die grundlegenden Prinzipien und die Ausführung der vorliegenden Erfindung anhand der Zeichnung erläutert.
  • Die 1(a) bis (d) stellen schematische Querschnittansichten des Herstellungsverfahrens für Dünnschicht-Halbleiterbauelemente, die einen MIS-Feldeffekttransistor bilden, dar. Im Anschluss an eine Erläuterung des Niedertemperatur-poly-Si-TFT-Herstellungsverfahrens anhand dieser Figuren werden Einzelheiten der vorliegenden Erfindung für jede Verfahrensstufe erläutert.
  • 1. Beschreibung des Verfahrens zur Herstellung des Dünnschicht-Halbleiterbauelements
  • Beim herkömmlichen Verfahren wird beispielsweise nicht-alkalisches Glas für das Substrat 101 verwendet. Zunächst wird oben auf dem Substrat 101 beispielsweise durch chemische Abscheidung aus der Dampfphase unter atmosphärischem Druck (APCVD), PECVD oder Sputtern eine isolierende untere Schutzschicht 102 gebildet. Anschließend wird eine Halbleiterschicht, z. B, normales Silicium, das später zur aktiven Schicht des Halbleiterbauelements ausgebildet wird, abgeschieden. Die Halbleiterschicht kann durch chemische Abscheidung aus der Dampfphase (CVD), wie LPCVD, PECVD oder APCVD, oder durch physikalische Abscheidung aus der Dampfphase (PVD), z. B. durch Sputtern oder Abdampfen, gebildet werden. Die Kristallisation der auf diese Weise hergestellten Halbleiterschicht wird durch kurzzeitige Bestrahlung unter Anwendung elektromagnetischer Energie oder optischer Energie, z. B. durch Laserlicht, erreicht. Wenn es sich bei der ursprünglich abgeschiedenen Halbleiterschicht um ein amorphes Material oder um ein Gemisch aus einem amorphen und mikrokristallinen Material handelt, wird dieses Verfahren als Kristallisation bezeichnet. Wenn andererseits die ursprünglich abgeschiedene Halbleiterschicht polykristallin ist, wird das Verfahren als Umkristallisation bezeichnet. Im Rahmen der vorliegenden Erfindung werden beide Verfahren einfach als "Kristallisation" bezeichnet, sofern es nicht erforderlich ist, eine Unterscheidung zu treffen. Wenn die Intensität der Energie des Laserlichts oder der anderen Energiequelle hoch ist, kristallisiert die Halbleiterschicht durch anfängliches Schmelzen und anschließend durch Erstarren beim Abkühlen. Dieser Vorgang wird im Rahmen der vorliegenden Erfindung als Schmelzkristallisation bezeichnet. Dagegen wird eine Kristallisation, bei der die Halbleiterschicht nicht schmilzt, die aber in festem Zustand abläuft, als Festphasenkristallisation (SPC) bezeichnet. Die Festphasenkristallisation lässt sich hauptsächlich in drei Typen einteilen: Ofen-SPC, bei der die Kristallisation bei Temperaturen von 550 bis 650°C für Zeitspannen von einigen Stunden bis zu einigen zehn Stunden abläuft, erfolgt ein rasches thermisches Tempern (RTA), bei der die Kristallisation innerhalb einer sehr kurzen Zeitspanne von weniger als 1 Sekunde bis zu etwa 1 Minute bei Temperaturen von 700 bis 1000°C abläuft, und eine sehr kurzzeitige SPC (VST-SPC) unter Einwirkung von Energie geringer Intensität, z. B. aus einem Laser. Obgleich sich erfindungsgemäß alle drei Typen eignen, sind die Schmelzkristallisation, RTA und VST-SPC besonders zweckmäßig im Hinblick auf die Bearbeitung, da sich eine hohe Produktivität für große Substrate ergibt. Der Grund hierfür besteht nicht nur darin, dass diese Kristallisationsverfahren sich äußerst kurzer Bestrahlungszeiten bedienen, sondern auch darin dass das gesamte Substrat während der Kristallisation der Halbleiterschicht nicht erwärmt wird, weil die bestrahlte Fläche in Bezug zur gesamten Substratfläche lokalisiert ist. Daher kommt es nicht zu wärmeinduzierten Deformationen oder Rißbildungen des Substrats. Im Anschluss an die Kristallisation wird die Halbleiterschicht bemustert. Anschließend wird die aktive Halbleiterschicht 103 erzeugt (1(a)).
  • Nach der Bildung der Halbleiterschicht wird die Gate-Isolierschicht 104 beispielsweise durch CVD oder PVD gebildet. Mehrere Verfahren kommen zur Herstellung von Isolierschichten in Betracht, wobei aber eine Herstellungstemperatur von 350°C oder weniger wünschenswert ist. Dies ist wesentlich, um einen thermischen Abbau der MOS-Grenzfläche und der Isolierschicht zu vermeiden. Dies gilt auch für die anschließenden Stufen des Herstellungsverfahrens. Die Bearbeitungstemperaturen im Anschluss an die Herstellung der Gate-Isolierschicht müssen auf 350°C oder darunter gehalten werden. Diese Vorgehensweise ermöglicht die Herstellung von Hochleistungs-Halbleiterbauelementen in einfacher und zuverlässiger Weise.
  • Anschließend wird eine Dünnschicht, die später zur Gate-Elektrode 105 wird, beispielsweise durch PVD oder CVD abgeschieden. Da üblicherweise das gleiche Material sowohl für die Gate-Elektrode als auch für die Gate-Zwischenverbindungen verwendet wird und beide in der gleichen Stufe hergestellt werden, ist es wünschenswert, ein Material zu verwenden, das einen geringen elektrischen Widerstand aufweist und in Bezug auf die thermische Bearbeitung bei etwa 350°C stabil ist. Nach der Musterbildung der Dünnschicht für die Gate-Elektrode erfolgt der Ioneneinbau 106 in die Halbleiterschicht unter Bildung von Source- und Drain-Regionen 107 und der Kanalregion 108 (1(c)). Während dieses Vorgangs wirkt die Gate-Elektrode als Maske für die Ionenimplantation, so dass der Kanal nur unterhalb des Gates in einer selbstausgerichteten Struktur gebildet wird. Für den Einbau von Verunreinigungsionen werden sowohl das Ionendotierungsverfahren, bei dem man sich einer nicht-massentrennenden Einrichtung bedient und hydrierte Verunreinigungsspezies sowie Wasserstoff in die Schicht eingebaut werden, als auch die Ionenimplantation, bei der massentrennende Ionenimplantiervorrichtungen verwendet werden und nur die gewünschten Verunreinigungen selbst in die Schicht eingebaut werden, herangezogen. Quellengase (Source-Gase) für die Ionendotierung bedienen sich üblicherweise hydrierter Spezies der Verunreinigungsionen, wie Phosphin (PH3) und Diboran (B2H6), die in Wasserstoff auf Konzentrationen von 0,1 bis 10% verdünnt werden. Im Fall der Ionenimplantation werden Wasserstoffionen (Protonen oder molekulare Wasserstoffionen) implantiert, wonach sich die Implantation der erwünschten Verunreinigungselemente selbst anschließt. Um eine stabile MOS-Grenzfläche und Gate-Isolierschicht aufrechtzuerhalten, muss sowohl für die Ionendotierung als auch für die Ionenimplantation die Temperatur auf 350°C oder darunter gehalten werden. Um immer eine zuverlässige Aktivierung der Verunreinigungen bei einer geringen Temperatur von 350°C oder darunter zu erreichen, ist es wünschenswert, die Substrattemperatur während der Implantation über 200°C zu halten. Um andererseits eine Niedertemperaturaktivierung von im Kanal implantierten Verunreinigungsionen zu gewährleisten, damit die Transistor-Schwellenspannung oder die Verunreinigungsionen, die in den leicht dotierten Regionen, z. B. in den zur Bildung einer LDD-Struktur verwendeten Regionen, kontrolliert werden können, ist es erforderlich, die Substrattemperatur während der Ionenimplantation auf 250°C oder darüber zu halten. Infolgedessen lässt sich die Amorphisierung der ionenimplantierten Region vermeiden, indem man die Ionenimplantation bei einer hohen Substrattemperatur durchführt, da die Umkristallisation gleichzeitig mit einer Schädigung der Halbleiterschicht erfolgt. Mit anderen Worten, die ionenimplantierte Region bleibt im Anschluss an die Implantation kristallin und die anschließende Aktivierung der implantierten Ionen kann noch bei einer geringen Temperatur der Aktivierungstemperung von weniger als etwa 350°C erreicht werden. Bei der Herstellung eines CMOS-TFT werden die NMOS- oder PMOS-Regionen abwechselnd mit einem geeigneten Maskenmaterial, z. B. einem Polyimidharz, abgedeckt und die Ionenimplantation wird unter Anwendung des vorgenannten Verfahrens durchgeführt. Wenn die Substrattemperatur während der Ionenimplantation unter etwa 300°C gehalten wird, ist es möglich, einen billigen, einfach zu konservierenden, herkömmlichen Photoresist als Ionenimplantationsmaske anstelle des Polyimids zu verwenden.
  • Anschließend wird die Zwischenisolierschicht 109 entweder durch CVD oder PVD gebildet. Im Anschluss an die Ionenimplantation und die Bildung der Zwischenisolierschicht werden eine Ionenaktivierung und eine Verdichtung der Zwischenisolierschicht durch Wärmetemperung in einer geeigneten Wärmeumgebung bei Temperaturen von weniger als etwa 350°C für eine Zeitspanne von mehreren 10 Minuten bis einige Stunden durchgeführt. Wünschenswerterweise liegt die Temperatur beim Tempern über etwa 250°C, um eine Aktivierung der implantierten Ionen zu gewährleisten. Ferner werden für eine wirksame Verdichtung der Zwischenisolierschicht Temperaturen von 300°C oder darüber bevorzugt. Die Schichtqualität der Gate-Isolierschicht und der Zwischenisolierschicht ist normalerweise unterschiedlich. Demgemäß ist es während der Öffnung der Kontaktlöcher in den beiden Isolierschichten im Anschluss an die Bildung der Zwischenisolierschicht üblich, dass die Ätzgeschwindigkeiten der beiden Schichten unterschiedlich sind. Unter derartigen Bedingungen kann sich eine umgekehrt konische Ausgestaltung ergeben, bei der der Boden des Kontaktloches breiter als die Oberseite ist oder es kommt zur Bildung eines "Baldachins". Während der Elektrodenbildung können diese unerwünschten Strukturen Ursachen eines schlechten Kontakts zwischen der Elektrode und den unteren Schichten in der Vorrichtung sein, was zu einem sogenannten "Kontaktversagen" führt. Das Entstehen eines Kontaktversagens kann durch eine wirksame Verdichtung der Zwischenisolierschicht auf ein Minimum beschränkt werden. Im Anschluss an die Bildung der Zwischenisolierschicht werden Kontaktlöcher oberhalb der Source- und Drain-Regionen geöffnet. Source- und Drain-Elektroden 110 und Zwischenverbindungen werden durch PVD oder CVD gebildet, wodurch die Herstellung des Dünnschicht-Halbleiterbauelements abgesättigt wird (1(d)).
  • 2. Ausführliche Erläuterung der einzelnen Stufen des Verfahrens zur Herstellung des Dünnschicht-Halbleiterbauelements
  • 2-1. Für das erfindungsgemäße Verfahren geeignete Substrate und untere Schutzschichten
  • Zunächst werden die erfindungsgemäß geeigneten Substrate und unteren Schutzschichten erläutert. Erfindungsgemäß können beispielsweise folgende Materialien als Substrate verwendet werden: leitfähige Materialien, wie Metalle; keramische Materialien, wie Siliciumcarbid (SiC), Aluminiumoxid (Al2O3) und Aluminiumnitrid (AlN); durchsichtige isolierende Materialien, wie pyrogener Quarz und Glas; Halbleitersubstrate, wie Silicium-Wafer oder verarbeitete LSIs; sowie kristalline Isolatoren, wie Saphir (trigonales Al2O3). Zu kostengünstigen, herkömmlichen Glassubstraten, die verwendet werden können, gehören Corning Japan 7059- und 1737-Glas, OA-2-Glas der Fa. Nippon Electric Glass Co., Ltd., und NH-Techno-Glas NA35. Der Typ des Substrats ist für die Halbleiterschicht unwesentlich. Sofern mindestens ein Teil der Substratoberfläche aus einem Isoliermaterial besteht, kann die Halbleiterschicht oben auf dem Isoliermaterial abgeschieden werden. Dieses Isoliermaterial wird in der vorliegenden Erfindungsbeschreibung als untere Schutzschicht bezeichnet. Wenn beispielsweise ein Substrat aus pyrogenem Quarz verwendet wird, ist es zulässig, eine Halbleiterschicht direkt oben auf der Schicht aus dem pyrogenen Quarz abzuscheiden, da das Substrat selbst isolierend ist. Ferner ist es zulässig, die Halbleiterschicht oben auf der unteren Schutzschicht, wie Siliciumoxid (SiOx: 0 < x ≤ 2) oder Siliciumnitrid (Si3Nx: 0 < x ≤ 4), die oben auf dem Quarzsubstrat gebildet worden ist, abzuscheiden. Bei Verwendung eines üblichen Glassubstrats ist es möglich, die Halbleiterschicht direkt oben auf dem isolierenden Glas abzuscheiden, wobei es aber erwünscht ist, die Halbleiterschicht nach der Bildung einer isolierenden unteren Schutzschicht, z. B. aus Siliciumoxid oder Siliciumnitrid, abzuscheiden, um ein Eindringen von mobilen Ionen, wie Natrium (Na); die im Glassubstrat enthalten sind, in die Halbleiterschicht zu verhindern. Bei dieser Vorgehensweise ändern sich die Betriebseigenschaften der Halbleitervorrichtung bei langzeitigem Betrieb und unter hohen Spannungen nicht und die Stabilität nimmt zu. Erfindungsgemäß wird diese Stabilität als Transistor-Zuverlässigkeit bezeichnet. Mit Ausnahme der Verwendung von kristallinen isolierenden Materialien, wie Saphir, als Substrate, ist es wünschenswert, die Halbleiterschicht oben auf der unteren Schutzschicht abzuscheiden. Bei Verwendung eines beliebigen Typs von keramischen Materialien als Substrat dient die untere Schutzschicht dazu, eine Diffusion der den keramischen Materialien zugesetzten Sinterungshilfsstoffe in die Halbleiterregionen zu verhindern. Im Fall von metallischen Substraten ist die Verwendung einer unteren Schutzschicht wesentlich zur Aufrechter haltung der Isoliereigenschaften. Ferner dienen bei Halbleitersubstraten oder LSI-Elementen Isolierzwischenschichten zwischen Transistoren oder zwischen Zwischenverbindungen als untere Schutzschichten. Die Größe und die Gestalt des Substrats bringen keine zusätzlichen Beschränkungen mit sich, sofern die Substrate in der thermischen Umgebung während der Bearbeitung nicht schrumpfen oder sich verformen. Die Substrate können eine beliebige Ausgestaltung im Bereich von Scheiben mit einem Durchmesser von 3 Zoll (76,2 mm) bis zu rechteckigen Platten von 560 mm × 720 mm aufweisen.
  • Nachdem das Substrat mit entionisiertem Wasser gereinigt worden ist, wird eine untere Schutzschicht aus einem Oxid, wie Siliciumoxid, Aluminiumoxid oder Tantaloxid, oder einem Nitrid, wie Siliciumnitrid, auf dem Substrat durch CVD-Verfahren, wie APCVD, LPCVD oder PEVCD, oder durch PVD-Verfahren gebildet. Oxide und Nitride können gebildet werden, indem man zunächst eine Metallschicht, z. B. aus Silicium, Aluminium oder Tantal auf dem Substrat bildet und anschließend eine thermochemische oder elektrochemische Umsetzung durchführt. Beispielsweise ist es möglich, eine thermische Tantaloxidschicht von etwa 200 nm zu bilden, indem man durch Sputtering Tantal in einer Dicke von etwa 100 nm aufbringt und anschließend zur Erzielung einer thermischen Oxidation in einer oxidierenden Atmosphäre auf etwa 450°C erwärmt. Unter Anwendung von APCVD ist es möglich, eine Siliciumoxidschicht unter Verwendung von Monosilan (SiH4) und Sauerstoff als Quellengase bei einer Substrattemperatur von etwa 250 bis 450°C abzuscheiden. Durch PECVD und Sputtering können untere Schutzschichten unter Anwendung von Substrattemperaturen im Bereich von Raumtemperatur und etwa 400°C gebildet werden.
  • Da erfindungsgemäß die oben auf der unteren Schutzschicht gebildete Halbleiterschicht als aktive Schicht für den Transistor dient und diese Halbleiterschicht durch Kristallisation gebildet wird, besitzen die Eigenschaften der unteren Schutzschicht einen starken Einfluss auf die Qualität der Halbleiterschicht. Zunächst ist es wünschenswert, eine durchschnittliche Mittellinien-Oberflächenrauigkeit der unteren Schutzschicht von 3,0 nm oder weniger zu erzielen. Wenn eine Halbleiterschicht, wie Silicium, durch CVD oben auf der unteren Schutzschicht abgeschieden wird, besteht die allererste Stufe der Schichtbildung in der Erzeugung zahlreicher Kerne oben auf dem Substrat. Während diese Kerne allmählich wachsen, entstehen an Stellen der unteren Schutzschicht, die noch nicht mit Kernen besiedelt sind, neue Kerne. Alle diese Kerne wachsen, stoßen aneinander an und verknüpfen sich schließlich unter Bildung einer Schicht. Unabhängig davon, ob die Schicht amorph oder kristallin ist, werden alle Abscheidungsschichten, deren Ursprung in einem derartigen Wachstumsmechanismus liegt, ausgehend von Regionen, die den Kernen im frühen Wachstumsstadium entsprechen, aufgebaut. Wenn infolgedessen die Kerndichte gering ist, so ergeben sich große Regionen, die die Schicht darstellen. Wenn die Regionen in der Halbleiterschicht vor der Kristallisation groß sind, so ergeben sich auch große Körner, die die kristallisierte Schicht darstellen. Wenn die Körner in der Halbleiterschicht groß sind, verbessern sich die elektrischen Eigenschaften, z. B. die Mobilität, der Halbleitervorrichtung mit einer aktiven Schicht, die aus diesen Körnern besteht. Aus Versuchen des Erfinders hat es sich ergeben, dass die Kerndichte auf einem niederen Wert gehalten werden kann, wenn die durchschnittliche Mittellinien-Oberflächenrauigkeit 3,0 nm oder weniger beträgt, mit dem Ergebnis, dass es möglich ist, Hochleistungs-Halbleiterbauelemente herzustellen. Der Grund dafür scheint darin zu liegen, dass die Unregelmäßigkeit in der Oberfläche der unteren Schutzschicht einen Faktor für die Kernbildung darstellt und die Kernbildungsdichte zunimmt, wenn die Oberflächenunregelmäßigkeiten ausgeprägter sind. Ferner ist es wünschenswert, dass die durchschnittliche Mittellinien-Oberflächenrauigkeit der unteren Schutzschicht 1,5 nm oder weniger beträgt, wenn die Halbleiterschicht der Schmelzkristallisation unterworfen wird. Wenn die Oberfläche diese glatte Beschaffenheit aufweist, verteilt sich das geschmolzene Halbleitermaterial bereitwillig auf der unteren Schutzschicht. Aufgrund dieser Tatsache können Körner von großem Durchmesser leicht wachsen und es ergibt sich eine erhebliche Verbesserung der Eigenschaften des Dünnschicht-Halbleiterbauelements. Gleichzeitig kommt es zu keinen lokalen Agglomerationen von geschmolzenem Material während der Verfestigung des geschmolzenen Halbleitermaterials und die Gleichmäßigkeit innerhalb der geschmolzenen Region steigt. Das LSI-Scaling-Gesetz gilt auch für Dünnschicht-Halbleiterbauelemente. Es hat den Anschein, dass die Miniaturisierung von Bauteilen im Laufe der weiteren Integration sich fortsetzt. Da Transistorgrößen weiter von der Größenordnung von 1 μm zu einer Submicron-Größenordnung schrumpfen, stellt es einen wichtigen Faktor dar, wie lokale Agglomerationen vermieden werden können. Bei der Herstellung der Halbleiterschicht durch Schmelzkristallisation beträgt die durchschnittliche Mittellinien-Oberflächenrauigkeit der unteren Schutzschicht Idealerweise 1,0 nm oder weniger. Durch Einhalten dieses Kriteriums ist es möglich, gleichmäßige Schichten ohne lokale Agglomerationen aus Halbleiterschichten mit Körnern von großem Durchmesser zu bilden.
  • Eine weitere Rolle der unteren Schutzschicht besteht darin, die Diffusion von Verunreinigungselementen aus dem Substrat zu verhindern. Um dies zu erreichen, erweist es sich als wirksam, mindestens zwei oder mehr unterschiedliche Typen von Schichten in einer Schichtstruktur, die als untere Schutzschicht dient, zu kombinieren. Beispielsweise kann eine Schichtstruktur, die aus dem Substrat, einer Tantaloxidschicht, einer Siliciumnitridschicht und einer Siliciumoxidschicht besteht, herangezogen werden. Es gibt in normalen Substraten verschiedene Typen von Verunreinigungselementen. Diese Elemente weisen in den verschiedenen vorerwähnten Isolatoren unterschiedliche Diffusionskoeffizienten auf. Es ist in einfacher Weise möglich, über ein bestimmtes Verunreinigungselement zu verfügen, das langsam durch eine der Schichten der unteren Schutzschicht diffundiert, jedoch rasch durch eine andere Schicht diffundiert. Es liegen verschiedene Verunreinigungselemente innerhalb der Substrate vor. Da, wie nachstehend näher erläutert, eine fixierte Dicke der unteren Schutzschicht vorliegt, erweisen sich untere Schutzschichten, die durch Übereinanderschichten unterschiedlicher Schichten gebildet werden, in Bezug auf eine Verhinderung der Diffusion von Verunreinigungen wirksamer als einzelne Schichten. Für die untere Schutzschicht kommen zahlreiche verschiedene Materialien in Betracht. Jedoch erweisen sich im Hinblick auf die einfache Herstellung beispielsweise durch CVD-Verfahren Verbundschichten aus Siliciumnitrid und Siliciumoxid als besonders zweckmäßig. In derartigen unteren Schutzschichten in Form von zweischichtigen oder mehrschichtigen Verbundstoffen ist es wünschenswert, dass die oberste Schicht aus Siliciumoxid besteht. Dies ist darauf zurückzuführen, dass die Grenzflächenzustände, die unvermeidlicherweise zwischen der unteren Schutzschicht und der Halbleiterschicht entstehen, unter Verwendung von Siliciumoxid auf ein Minimum beschränkt werden. Insbesondere für dünne Halbleiterschichten von weniger als einigen Hundert nm, bei denen die unter den Transistorbetriebsbedingungen gebildete Sperrschicht die gesamte Dicke der Halbleiterschicht aufbrauchen kann, ist es wesentlich, derartige Grenzflächenzustände zu unterdrücken. Erfindungsgemäß variiert die optimale Dicke der Halbleiterschicht für das Dünnschicht-Halbleiterbauelement geringfügig je nach dem Herstellungsverfahren, beträgt aber weniger als etwa 150 nm. Da die Qualität der Halbleiterschicht hoch ist, entstehen trotzdem wenige Korngrenzflächen-Einfangzustände und wenige intragranulare Defekte. Aufgrund dieser Tatsache erstreckt sich die Sperrschicht durch die gesamte Halbleiterschicht, wenn der Transistor in Betrieb ist. Wenn an der Grenzfläche zwischen der unteren Schutzschicht und der Halbleiterschicht zahlreiche Grenzflächenzustände entstehen, wird die Verteilung der Sperrschicht während der Kanalbildung verzögert, was zu einer hohen Schwellenspannung führt, da die Grenzflächenzustände in wirksamer Weise gleichzeitig als Donator- und Akzeptorionen wirken. Mit anderen Worten, diese Grenzflächenzustände können zu einem Faktor bei der Verschlechterung der Transistoreigenschaften werden. Der Einfluss der Oberfläche der unteren Schutzschicht auf die Transistoreigenschaften tritt in Erscheinung, wenn die Halbleiterschicht eine Dicke von weniger als etwa 150 nm aufweist und wenn die wirksame Dotierungskonzentration in der Kanalschicht {[(Akzeptorionenkonzentration) – (Donatorionenkonzentration) + (Konzentration von Einfangzuständen und Kristalldefekten, die als Akzeptorionen wirken)] im Fall von NMOS; [(Donatorionenkonzentration) – (Akzeptorionenkonzentration) + (Konzentration von Einfangzuständen und Kristalldefekten, die als Donatorionen wirken)] im Fall von PMOS} weniger als etwa 1 × 1018 cm–3 beträgt oder wenn die Schwellenspannung des Dünnschicht-Halbleiterbauelements weniger als etwa 4,5 V beträgt. Zur Wiederherstellung von Transistoreigenschaften, die diesen Bedingungen genügen, ist es unerlässlich, die Oberfläche der unteren Isolierschicht zu kontrollieren. Ein Weg hierfür besteht in der Verwendung von Siliciumoxid als oberster Schicht in einer mehrschichtigen unteren Schutzschicht-Verbundanordnung.
  • Es ist erforderlich, über eine ausreichend dicke untere Schutzschicht zu verfügen, um eine Diffusion von Verunreinigungsionen aus dem Substrat in die Halbleitervorrichtung zu verhindern. Diese Dicke liegt in der Größenordnung von mindestens 100 nm. Unter Berücksichtigung von Variationen von Charge zu Charge oder von Wafer zu Wafer innerhalb einer einzelnen Charge ist es günstiger, über eine Dicke von mehr als 200 nm zu verfügen. Wenn die Dicke 300 nm beträgt, so kann die Schicht in ausreichendem Maße als Schutzschicht wirken. Wenn die untere Schutzschicht auch als Zwischenisolierschicht zwischen IC-Elementen oder Zwischenverbindungen, die diese Elemente verbinden, dient, ist eine Dicke von 400 bis 600 nm gebräuchlich. Da eine zu dicke Isolierschicht eine Ursache von Spannungen, die zur Rissbildung führen können, darstellen kann, ist jedoch eine maximale Dicke von etwa 2 μm bevorzugt. Unter Berücksichtigung des Durchsatzes wird jedoch die maximale Dicke auf 1 μm verringert. Für den vorerwähnten Fall einer zwischenliegenden Verbundschutzschicht, die aus einer Bodenschicht aus Siliciumnitrid und einer oberen Schicht aus Siliciumoxid besteht, gelten die gleichen Beziehungen. Es ist erforderlich, über eine Gesamtdicke von 100 nm zu verfügen, wobei jede Einzelschicht eine Dicke von 50 nm aufweist. Im erfindungsgemäßen Dünnschicht-Halbleiterbauelement wird die Gate-Isolierschicht durch CVD oder PVD bei einer Temperatur unter etwa 350°C gebildet. Um bei diesem Verfahren eine saubere MOS-Grenzfläche zu erhalten, wird die ursprüngliche Oxidschicht auf der Halbleiterschicht-Oberfläche unmittelbar vor Bildung der Gate-Oxidschicht entfernt. Diese Stufe der Entfernung des ursprünglichen Oxids führt zu keiner Entfernung des ursprünglichen Oxids von der Oberfläche der Halbleiterschicht, entfernt aber ungünstigerweise einen Teil der freiliegenden, unteren Siliciumoxid-Schichtoberfläche, die nicht mit der Halbleiterschicht bedeckt ist. Auch nach der Stufe der Entfernung des ursprünglichen Oxids müssen 100 nm oder mehr der unteren Schutzschicht aus Siliciumoxid vorliegen, damit die Schicht einwandfrei funktionieren kann. Mit anderen Worten, die Mindestdicke der Siliciumoxidschicht beträgt etwa 100 nm und die Mindestdicke des Siliciumnitrids beträgt etwa 50 nm. Bei einer Dicke von weniger als 50 nm verknüpfen sich die Schichtinseln nicht unter Bildung einer vollständigen Schicht, sondern lassen in der gesamten Schicht sporadisch verteilte Lücken zurück. Da dadurch die untere Schutzschicht ihre Fähigkeit zur Verhinderung des Eindringens von diffundierenden Verunreinigungen verliert, ist es erforderlich, über eine Mindestschichtdicke von 50 nm auch dann zu verfügen, wenn mehrere Schichten verwendet werden. Wie vorstehend erwähnt, beträgt die Obergrenze der Dicke der Siliciumnitrid- und Siliciumoxidschichten 2 μm. Da eine Dicke der unteren Schutzschicht von 300 nm ausreichend ist und dickere Schichten Spannungen unterliegen, die zu Rissbildungen oder zu einer Beeinträchtigung der Transistoreigenschaften führen, beträgt die ideale Obergrenze etwa 500 nm. Wenn mehrere Schichten in einer Verbundschichtstruktur vereinigt werden, ergeben sich unterschiedliche Spannungsbedingungen für die einzelnen Schichten. Wenn die Dicken sämtlicher Schichten unter etwa 500 nm gehalten werden, sollten keine Schwierigkeiten auftreten.
  • Im allgemeinen weisen durch CVD oder PVD bei Temperaturen von weniger als etwa 350°C abgeschiedene Siliciumoxidschichten starke innere Spannungen auf. Üblicherweise wird ein Teil dieser Spannungen durch eine thermische Temperungsbehandlung bei hohen Temperaturen im Anschluss an die Abscheidung beseitigt. Beim erfindungsgemäßen Niedertemperaturverfahren muss jedoch die maximale Bearbeitungstemperatur im Anschluss an die Gate-Isolatorbildung unter etwa 350°C gehalten werden. Da es schwierig ist, die Spannung in einer Siliciumoxidschicht, die nur einer Temperungsbehandlung bei einer derart niederen Temperatur unterworfen worden ist, zu beseitigen, können Siliciumoxidschichten, die dicker als etwa 2 μm sind, zu Rissen im Substrat z. B. von mehr als 300 mm × 300 mm, leichter zur Anhäufung von Spannungen und zur Einleitung der Rissbildung im Substrat. Die Bedingungen sind die gleichen, unabhängig davon, ob es sich bei der Siliciumoxidschicht um eine Einzelschicht oder um eine Verbundstruktur handelt. Risse entstehen, wenn die Gesamtdicke der Siliciumoxidschicht 2 μm oder mehr beträgt. In der erfindungsgemäßen Dünnschicht-Halbleitervorrichtung wird auf einem Teil des Substrats eine untere Schutzschicht aus einem Isoliermaterial abgeschieden. Anschließend wird oben auf der unteren Schutzschicht ein Feldeffekttransistor gebildet, der aus einer Halbleiterschicht, einem Gate-Isolator und einer Gate-Elektrode besteht. Daran schließt sich die Bildung einer mittleren Isolierschicht zwischen den Zwischenverbindungen des Feldeffekttransistors an, um eine elektrische Isolierung zu erreichen. Zusätzlich zu der Tatsache, dass mindestens die oberste Schicht der unteren Schutzschicht aus Siliciumoxid besteht, besteht auch der MOS-Grenzflächenteil des Gate-Isolators aus Siliciumoxid. Normalerweise wird auch in mindestens einem Bereich der mittleren Isolierschicht Siliciumoxid verwendet. Wenn infolgedessen die Summe der Dicken dieser drei Typen von Siliciumoxid weniger als 2 μm beträgt, ist die Bildung eines Dünnschicht-Halbleiterbauelements durch das Niedertemperaturverfahren auf großen Substraten möglich, ohne dass es zur Rissbildung kommt. Selbstverständlich ist es möglich, in wirksamer Weise die Erzeugung von Rissen zu verhindern, wenn die Summe der Dicken der unteren Schutzschicht, der Gate-Isolierschicht und der mittleren Isolierschicht weniger als 2 μm beträgt.
  • Wie vorstehend bei der Begründung, warum vorzugsweise die obere Schicht der unteren Isolierschicht aus Siliciumoxid gefertigt ist, ausgeführt wurde, ist bei Verwendung einer hochwertigen Halbleiterschicht für die aktive Schicht, z. B. beim erfindungsgemäßen Dünnschicht-Halbleiterbauelement die Kontrolle der Grenzfläche zwischen der Halbleiterschicht und der unteren Schutzschicht wichtig. Insbesondere bei Bildung der Halbleiterschicht unter Anwendung der Schmelzkristallisation ist es erwünscht, dass die Oberfläche der unteren Schutzschicht so sauber wie möglich ist. Wenn die Oberfläche der unteren Schutzschicht sauber ist, wird dadurch nicht nur die Anzahl der Grenzflächenzustände zwischen der Halbleiterschicht und der unteren Schutzschicht vermindert, sondern es wird auch der Einbau von teilchenförmigen oder anderen Verunreinigungen in die Halbleiterschicht während der Schmelzkristallisationsstufe vermieden. Daher ist es günstig, wenn die untere Schutzschicht und die Halbleiterschicht nacheinander im gleichen Ausrüstungsteil gebildet werden. Wenn die untere Schutzschicht aus Siliciumnitrid, Siliciumoxid oder aus einer Doppelschicht aus beiden Bestandteilen besteht und es sich bei der Halbleiterschicht um eine Silicium- oder Silicium-Germanium-Schicht handelt, ist es möglich, diese Schichten nacheinander unter Verwendung eines einzigen PECVD-Reaktors zu bilden. Wenn eine Massenproduktion der Dünnschicht-Halbleiterbauelemente in Betracht kommt, wird die Abscheidungskammer für diese Schichten periodisch gereinigt. Es ist erforderlich, die Schichten, die im Innern der PECVD-Abscheidungskammer haften, zu entfernen. Wenn die Reinigung nicht durchgeführt wird, und die Schichten haften bleiben, kann es schließlich zu einem Ablösen und Herunterfallen der Schichten führen oder es kann zur Bildung von abnormalen Mikroteilchen kommen, was zu einer erheblichen Abnahme der Produktionsausbeute führt. Auf der anderen Seite verbleiben während der Reinigungsstufe zur Entfernung von abgeschiedenen Dünnschichten von der Abscheidungskammer mit Sicherheit Spurenmengen der Elemente der Reinigungsdämpfe, wie Fluor (F) und Kohlenstoff (C), in der Abscheidungskammer zurück. Unter diesen Bedingungen können die Spurenelemente während des Abscheidungsvorgangs als Verunreinigungen in die Halbleiterschicht eingebaut werden und einen Abbau der Transistoreigenschaften bewirken. Wenn das Reinigungsverfahren wiederholt wird, nachdem eine Anzahl von Substraten bearbeitet worden ist, ist die Menge an in die Substrate unmittelbar nach der Reinigungsstufe eingebauten Verunreinigungen groß, während die unmittelbar vor der Reinigungsstufe in die Substrate eingebaute Menge gering ist. Mit anderen Worten, die Menge an Verunreinigungen, die eingebaut werden, variiert von Substrat zu Substrat. Somit ist es nicht möglich, in zuverlässiger Weise hervorragende Dünnschicht-Halbleiterbauelemente zu erzeugen. Daher wird erfindungsgemäß das Reinigungsverfahren als Teil der vorstehend beschriebenen sequentiellen Abscheidung der Schichten durchgeführt. Mit anderen Worten, das Reinigungsverfahren wird in das Schichtabscheidungsverfahren für jedes Substrat aufgenommen. Als erste Stufe wird vor dem Einbringen des Substrats in den PECVD-Reaktor die Abscheidungskammer von jeglichen Schichten, die während der Bearbeitung eines vorhergehenden Substrats abgeschieden wurden, gereinigt. Speziell werden Reinigungsgase, wie NF3, CF4, CHF3, CH2F2 und CH3F, allein oder in Kombination mit reaktionssteuernden Gasen, wie Sauerstoff (O2), Wasserstoff (H2) oder Ammoniak (NH3), eingeleitet, gegebenenfalls auch zusammen mit Inertgasen, wie Helium (He), Argon (Ar) oder Stickstoff (N2). Sodann wird ein Plasma gebildet. In dieser Stufe werden Dünnschichten, die in der Abscheidungskammer abgeschieden sind, entfernt. Nach Beendigung dieses Reinigungsvorgangs wird ein Vakuum an die Kammer angelegt, um verbleibende Gasdämpfe soweit wie möglich zu entfernen.
  • Sodann wird in der zweiten Stufe eine Siliciumnitrid- oder Siliciumoxid-Passivierungsschicht für die verbleibenden Verunreinigungselemente abgeschieden. Mit anderen Worten, die Verunreinigungselemente werden durch die Passivierungsschicht versiegelt. Wie im Fall der unteren Schutzschicht verhindert eine Passivierungsschicht von mehr als 100 nm in wirksamer Weise das Eindringen der Verunreinigungen. Es ist erforderlich, diese Passivierungsschicht nach der Bearbeitung jedes Substrats vollständig zu entfernen. Da eine zu dicke Ausgestaltung der Schicht das Herstellungsverfahren verlangsamt, indem es sowohl die Zeitspanne zur Entfernung in der ersten Stufe als auch die Zeitspanne für die Abscheidung in der zweiten Stufe verlängert, beträgt die Obergrenze für die Dicke der Passivierungsschicht etwa 1 μm. Wenn Siliciumnitrid als Passivierungsschicht verwendet wird, werden Ammoniak (NH3) und Silan (SiH4, Si2H6 und dergl.) als Quellengase (Source-Gase) verwendet. Für Siliciumoxid-Passivierungsschichten werden Stickoxid (N2O) und Silan verwendet. Im Anschluss an das Einbringen des Substrats in die Abscheidungskammer in der dritten Stufe wird die untere Schutzschicht in der vierten Stufe auf dem Substrat gezüchtet. Die abgeschiedene Schicht dient als untere Schutzschicht oben auf dem Substrat, dient aber auch als zweite Passivierungsschicht auf Bereichen in der Abscheidungskammer, die sich im Abstand vom Substrat befinden. Da die untere Schutzschicht selbst dazu befähigt ist, die Diffusion von Verunreinigungen innerhalb der unteren Schicht zu verhindern, wenn eine Kombination mit der in der zweiten Stufe abgeschiedenen Passivierungsschicht gegeben ist, ist die untere Schutzschicht dazu in der Lage, fast vollständig den Einbau von Verunreinigungen in die Halbleiterschicht zu verhindern. Im Anschluss an die vierte Stufe wird die Halbleiterschicht in der fünften Stufe ohne Unterbrechung des Vakuums gezüchtet. Zum Abschluss der Bearbeitung eines einzelnen Substrats wird in Stufe 6 das Substrat aus der Abscheidungskammer entnommen. Das gleiche Verfahren wird für jedes folgende Substrat wiederholt. Durch Einhalten dieses Substratbearbeitungsverfahrens und durch sequentielle Abscheidung der unteren Schutzschicht und der Halbleiterschicht ist es möglich, die Grenzfläche zwischen der unteren Schutzschicht und dem Halbleiter sauber zu halten und hervorragende Dünnschicht-Halbleitervorrichtungen zu erzeugen. Ferner ist es möglich, die Menge an Verunreinigungen, wie Fluor und Kohlenstoff, die in die Halbleiterschicht eingebaut werden, auf ein Minimum zu beschränken. Selbst wenn winzige Mengen innerhalb der Schichten vorliegen (deren Mengen aber immer konstant gehalten werden können), lassen sich in zuverlässiger Weise hervorragende Dünnschicht-Halbleitervorrichtungen in einem sehr produktiven Verfahren herstellen.
  • 2-2. Halbleiterschichten und zu deren Züchtung verwendete Quellengase
  • Im vorliegenden Verfahren werden Halbleiterschichten auf einem bestimmten Typ von Substraten abgeschieden. Dies stellt ein gemeinsames Merkmal sämtlicher nachstehender erfindungsgemäßer Ausführungsformen dar. Neben der Anwendungsmöglichkeit für Einzelelementschichten, wie Silicium (Si) und Germanium (Ge), sind auch die folgenden Typen von Halbleiterschichten möglich: Halbleiterschichten von Verbindungen der Gruppe IV, wie Silicium-Germanium (SixGe1–x: 0 < x < 1), Siliciumcarbid (SixC1–x: 0 < x < 1) und Germaniumcarbid (GexC1–x: 0 < x < 1); Halbleiterschichten von Verbindungen der Gruppen III-V, wie Galliumarsenid (GaAs) und Indiumantimonid (InSb); Halbleiterschichten von Verbindungen der Gruppen II-VI, wie Cadmiumselenid (CdSe). Die vorliegende Erfindung ist auch auf Halbleiterschichten aus mehreren Bestandteilen, wie Siliciumgermaniumgalliumarsenid (SixGeyGazAsz: x + y + z = 1) sowie auf Halbleiterschichten vom N-Typ anwendbar, bei denen Donatorelemente, wie Phosphor (P), Arsen (As) oder Antimon (Sb) zugesetzt worden sind, und auf Halbleiter vom P-Typ, bei denen Akzeptorelemente, wie Bor (B), Aluminium (Al), Gallium (Ga) und Indium (In), zugesetzt worden sind.
  • Wenn im erfindungsgemäßen Verfahren Halbleiterschichten durch CVD abgeschieden werden, werden die Schichten unter Verwendung von chemischen Spezies als Quellengasen, die Elemente der Schichten enthalten, abgeschieden. Wenn es sich beispielsweise bei der Halbleiterschicht um Silicium (Si) handelt, wird ein Silan, wie Monosilan (SiH4), Disilan (Si2H6), Trisilan (Si3H8) oder Dichlorsilan (SiH2Cl2) als Quellengas verrendet. In der vorliegenden Beschreibung werden Disilan und Trisilan als höhere Silane bezeichnet (SinH2n+2: n bedeutet eine ganze Zahl mit einem Wert von 2 oder mehr). Wenn es sich bei der Halbleiterschicht um Germanium (Ge) handelt, wird German (GeH4) verwendet. Phosphin (PH3) und Diboran (B2H6) können ferner verwendet werden, wenn Phosphor (P) oder Bor (B) der Halbleiterschicht zugesetzt werden. Obgleich chemische Spezies, die die Elementbestandteile der verschiedenen Typen von vorerwähnten Schichten als Quellengase enthalten, verwendet werden können, ist es bevorzugt, hydrierte Spezies der Elementbestandteile zu verwenden, da einige der Quellengase immer in die Halbleiterschicht eingebaut werden. Beispielsweise enthalten Siliciumschichten, die aus Dichlorsilan (SiH2Cl2) gezüchtet worden sind, immer eine gewisse Menge an Chlor (Cl), unabhängig davon, ob diese Mengen klein oder groß sind. Dieses eingebaute Cl kann zu einer Beeinträchtigung der Transistoreigenschaften führen, wenn die Siliciumschicht als aktive Schicht in einem Dünnschicht-Halbleiterbauelement verwendet wird. Daher ist gegenüber Dichlorsilan Monosilan (SiH4), eine hydrierte Form des Elementbestandteils, bevorzugt. Eine möglichst hohe Reinheit der Quellengase und gegebenenfalls der Verdünnungsgase ist erwünscht. Berücksichtigt man die Tatsache, dass sowohl die Kosten als auch die technischen Schwierigkeiten bei der Herstellung von hochreinen Gasen zunehmen, ist eine Reinheit von 99,9999% oder mehr erwünscht. Der Hintergrunddruck einer üblichen Halbleiterschicht-Abscheidungseinrichtung liegt in der Größenordnung von 10–6 Torr. Der Verfahrensdruck liegt im Bereich von 0,1 Torr bis zu einigen Torr. Somit liegt das Verhältnis des Einbaus von Verunreinigungen aus dem Hintergrunddruck bei der Schichtwachstumsstufe in der Größenordnung von 10–5 bis 10–6. Die Reinheit der Quellen- oder Verdünnungsgase ist ausreichend, wenn sie dem Verhältnis des Verfahrensdrucks zum Hintergrunddruck der diese Gase verwendenden Einrichtung entspricht. Infolgedessen ist erfindungsgemäß eine Reinheit von 99,999% oder mehr (Verunreinigungsanteil 1 × 10–5 oder weniger) für Gase, die in der Abscheidungseinrichtung strömen, erwünscht. Wenn die Reinheit 99,9999% beträgt (Verunreinigungsanteil 1 × 10–6 oder weniger), bestehen absolut keine Schwierigkeiten bei der Verwendung als Quellengas. Im Idealfall, bei dem die Reinheit das 10-fache des Verhältnisses des Hintergrunddrucks zum Verfahrensdruck beträgt (99,99999% im vorliegenden Beispiel; Verunreinigungsanteil 1 × 10–7 oder weniger), muss der Einbau von Verunreinigungen aus den Gasen nicht einmal berücksichtigt werden.
  • 2-3. Im vorliegenden Verfahren verwendeter LPCVD-Reaktor
  • Nachstehend wird der im vorliegenden Verfahren zur Abscheidung von Halbleiterschichten durch das LPCVD-Verfahren verwendete Reaktor erläutert. Beim LPCVD-Reaktor kann es sich um einen Ofen vom vertikalen oder horizontalen Typ handeln. Im allgemeinen wird die Abscheidungskammer aus Quarz oder einem ähnlichen Material gefertigt. Die Substrate werden in der Nähe des Mittelbereiches der Abscheidungskammer platziert. Die Außenseite der Abscheidungskammer ist in mehrere Zonen unterteilt, wobei in jeder Zone Heizvorrichtungen angeordnet sind. Eine gleichmäßige thermische Region mit der erwünschten Temperatur wird in der Region um den Mittelbereich der Reaktionskammer geschaffen, wobei man diese Heizvorrichtungen, die unabhängig gesteuert werden können, verwendet. Hierbei handelt es sich um einen sogenannten Heißwand-LPCVD-Reaktor. Durch unabhängige Steuerung der einzelnen Heizvorrichtungen ist es möglich, die Temperaturvariation innerhalb der gleichmäßigen thermischen Region im Bereich von 0,2° zu halten. Auch wenn diese Temperaturvariation sehr gering ist, ist sie immer gegeben und stellt die Hauptursache für Variationen der Schichtdicke dar. Da ferner der Gleichmäßigkeit der Schichtdicke über ein Substrat hinweg der Vorzug gegenüber der Gleichmäßigkeit der Schichtdicke von Substrat zu Substrat gegeben wird, ist es erwünscht, die Substrate parallel zur radialen Heizrichtung der Heizvorrichtungen anzuordnen. Beispielsweise ergeben sich in einem LPCVD-Reaktor in Form eines vertikalen Ofens gleichmäßigere Dicken der Halbleiterschichten, wenn die Substrate in etwa horizontal anstelle einer vertikalen Anordnung platziert werden. Umgekehrt ist es bei einem horizontalen Reaktor günstiger, die Substrate in etwa vertikal anzuordnen. Die Quellengase, wie Silan (SiH4), Disilan (Si2H6) oder German (GeH4) und gegebenenfalls die Verdünnungsgase, wie Helium, Stickstoff, Argon und Wasserstoff, gelangen in die Abscheidungskammer aus einer Gaseinleitungsöffnung, die in einer bestimmten Richtung angeordnet ist. Nach Abscheidung einer Halbleiterschicht auf den mehreren Substraten, die im Mittelbereich der Abscheidungskammer angeordnet sind, sowie an den Seitenwänden der Abscheidungskammer werden die Gase an einer Stelle, die der Einlassöffnung gegenüber liegt, abgesaugt. Die Gase werden mittels eines Pumpsystems durch ein Gate-Ventil oder ein Leitungsventil gepumpt, wobei es sich beim Pumpsystem beispielsweise um eine turbomolekulare Pumpe oder um eine Rotationspumpe handeln kann. Erfindungsgemäß besteht das Pumpsystem aus einer turbomolekularen Pumpe und einer Rotationspumpe. Jedoch sind auch Kombinationen mit mechanischen Booster-Pumpen oder trockenen Pumpen akzeptabel. Unabhängig davon, ob der Reaktor vertikal oder horizontal angeordnet ist, ist es relativ einfach, eine gleichmäßige Beschaffenheit der Halbleiterschicht zu erreichen, indem man die Richtung des Gasstroms in der Abscheidungskammer im wesentlichen mit der Richtung, die senkrecht zu den in der Abscheidungskammer angeordneten Substraten verläuft, ausrichtet. Mit anderen Worten, bei einem vertikalen Reaktor ist ein vertikaler Gasstrom bevorzugt, da, wie vorstehend erwähnt, die Substrate in etwa horizontal angeordnet werden. Gleichermaßen ist in einem horizontalen Reaktor ein horizontaler Gasstrom bevorzugt, da die Substrate vertikal angeordnet sind. Beim erfindungsgemäß verwendeten LPCVD-Reaktor handelt es sich um einen Hochvakuumreaktor mit einem Hintergrunddruck im Bereich von 10–7 Torr. Infolgedessen ist es möglich, die unvermeidlicherweise aus den Substraten und dem Substratschiffchen austretenden Gase mit einer ausreichenden Geschwindigkeit zu pumpen. Die aus dem Substrat und dem Schiffchen austretenden Gasverunreinigungen, die unter anderem Wasser und Sauerstoff umfassen, behindern das Wachstum von hochwertigen Halbleiterschichten. Diese aus den Substraten und dem Schiffchen austretenden gasförmigen Verunreinigungen können während der anfänglichen Abscheidungsstufen einer Halbleiterschicht vom Siliciumtyp oder eines anderen Typs zu Kernen für die abgeschiedene Schicht werden. Wenn die austretenden Gase nicht in ausreichendem Maße abgesaugt werden, liegen auf der Substratoberfläche große Mengen an adsorbierten gasförmigen Verunreinigungen vor, die zur Bildung von zahlreichen Kernen führen. Selbst wenn die Halbleiterschicht durch eine thermische Temperbehandlung oder eine Laserbestrahlung im Anschluss an die Abscheidung kristallisiert wird, führt das Vorliegen der zahlreichen Kerne, die sich durch die austretenden Gase ergeben, dazu, dass die durchschnittliche Korngröße der kristallisierten Schicht gering ist und eine Ursache für eine Beeinträchtigung der Transistoreigenschaften darstellt. Ferner führt das Einfangen der austretenden gasförmigen Verunreinigungen in der Halbleiterschicht während des Wachstums zu einer weiteren Verschlechterung der Transistoreigenschaften. Wie im Abschnitt (2-1) erläutert wurde, spielen die Schichtqualitäten und die Oberflächenrauigkeit der unteren Schutzschicht eine wichtige Rolle bei der Unterdrückung der Erzeugung von Kernen. Gleichzeitig müssen die Abscheidungsbedingungen der Halbleiterschicht sorgfältig kontrolliert werden. Infolgedessen ist neben der Regulierung der Oberfläche der unteren Schutzschicht, um die Erzeugung von Kernen auf ein Minimum zu beschränken, die Verwendung eines LPCVD-Reaktors, der in ausreichendem Maße die unvermeidlichen, aus den Substraten und den umgebenden Oberflächen austretenden Gase abpumpen kann, unerlässlich.
  • Beim LPCVD-Verfahren werden Halbleiterschichten oben auf Substraten unter Anwendung einer thermischen Zersetzung der Quellengase abgeschieden. Die beiden wichtigsten Gesichtspunkte bei Anwendung des LPCVD-Verfahrens zur Herstellung von Schichten auf großen Substraten, z. B. von 300 mm × 300 mm, bei relativ niederen Temperaturen unter Aufrechterhaltung einer hohen Produktivität sind die Abscheidungsgeschwindigkeit (DR) und die Gleichmäßigkeit. Beispielsweise kommen bei Abscheidung einer Siliciumoxidschicht auf große Substrate herkömmliche, kostengünstige Glassubstrate gemäß den vorstehenden Ausführungen in Frage. Bei Substraten von 300 mm × 300 mm oder mehr kommt es während des Schichtwachstums unabhängig vom Einsetzen des Substrats zu einer Verformung des Substrats aufgrund seines Eigengewichts, sofern die Temperatur nicht unter etwa 450°C gehalten wird. Selbstverständlich nimmt eine derartige Wärmeverformung bei niedrigeren Abscheidungstemperaturen ab. Damit jedoch die Verformung auf ein Ausmaß absinkt, das absolut keine Auswirkungen auf die späteren Verfahrensstufen, z. B. die Belichtung während der Musterbildung, hat, muss die Abscheidungstemperatur unter etwa 430°C gehalten werden. Obgleich Halbleiterschichten, wie Silicium, bei einer niederen Temperatur von 425°C abgeschieden werden, führt bei Verwendung von höheren Silanen, wie Disilan, die Verringerung der Abscheidungstemperatur auf eine derartige Höhe zu einer äußerst langsamen Abscheidungsgeschwindigkeit. Um daher eine hohe Abscheidungsgeschwindigkeit auch bei einer derart niedrigen Abscheidungstemperatur zu erzielen, wird der Abscheidungsdruck erhöht. Da die Gasdichte proportional zum Druck ist, entspricht eine Erhöhung des Abscheidungsdruckes einer Erhöhung der Dichte des Quellengases. Somit ergibt sich eine erhöhte Geschwindigkeit des Transports des Quellengases über die Substratoberfläche und somit eine höhere Abscheidungsgeschwindigkeit. Ungünstigerweise führt jedoch ein derartiges Abscheidungsverfahren dazu, dass der äußere Bereich von großen Substraten besonders dick wird. Daraus ergibt sich eine Beeinträchtigung der Schichtgleichmäßigkeit über die Substratoberfläche hinweg. Die Differenz in der Schichtdicke zwischen den mittleren und äußeren Bereichen des Substrats wird mit zunehmender Substratgröße sowie mit sinkender Abscheidungstemperatur erheblich. Ein Grund hierfür scheint darin zu liegen, dass Turbulenzen an den Substraträndern auftreten, wenn die Transportgeschwindigkeit des Quellengases zunimmt. Aufgrund einer derartigen Turbulenz wird eine erhebliche Gasmenge nur zu den Randbereichen transportiert, was letztlich dazu führt, dass die Schicht entlang den Rändern dicker wird, verglichen mit dem Mittelbereich des Substrats. Ein weiterer Grund scheint darin zu liegen, dass die Transportgeschwindigkeit der Gase zum Substratzentrum mit steigender Substratgröße abnimmt. Mit anderen Worten, um sowohl eine hohe Abscheidungsgeschwindigkeit als auch eine gleichmäßige Verteilung der Schichtdicke bei niederen Temperaturen unter 450°C oder sogar unter 430°C zu erzielen, ist es wesentlich, immer über eine hohe Transportgeschwindigkeit der Gasphase zu verfügen, unabhängig davon, ob diese an den Rändern oder in der Mitte des Substrats gegeben ist, sowie die Erzeugung von Turbulenzen an den Substraträndern auf ein Minimum zu beschränken. Der Erfinder hat eine Reihe von Versuchen durchgeführt, die gezeigt haben, dass für ein Vakuumniveau, das durch einen Quellengas-Partialdruck (Disilan-Partialdruck, wenn Disilan als Quellengas verwendet wird) von etwa 10 mTorr bis 5 Torr während der Abscheidung der Grad der Turbulenzen und der Differenzen in der Transportgeschwindigkeit vom Abstand d zwischen den Substraten im LPCVD-Reaktor abhängt und in gewissem Ausmaß gesteuert werden kann. Bei den Versuchen wurde festgestellt, dass die Gleichmäßigkeit der Schichtdicke im allgemeinen tendenziell mit zunehmendem Substratabstand d sich besserte und dass ferner zur Erzielung des gleichen Grads an gleichmäßiger Beschaffenheit für größere Substrate ein noch größerer Substratabstand notwendig war. Offensichtlich verbesserte sich die gleichmäßige Beschaffenheit als Folge von zwei Erscheinungen. Wurde der Substratabstand in einem gewissen Umfang erhöht, so kam es zu einer Zunahme des effektiven Gastransports in den mittleren Bereich und die Differenz der Transportmenge zwischen dem mittleren und dem äußeren Bereich nahm ab. Ferner verringerte sich auch die Bildung von Turbulenzen am Substratumfang. Speziell lässt sich bei einer Abscheidungstemperatur im Bereich von etwa 410 bis 440°C die Gleichmäßigkeit der Schichtdicke verbessern, indem man die Bedingungen der nachstehend angegebenen Gleichung (1) für Substrate mit einer Fläche A von 90000 mm2 oder mehr (Substratgröße 300 mm × 300 mm) erfüllt: d ≥ 0,02 × A1/2 (mm) (1)
  • Beispielsweise erweist sich ein Substratabstand d von 6 mm oder mehr für Substrate von 300 mm × 300 mm in einem LPCVD-Reaktor als günstig. Mit Ausnahme des Außenbereiches von 1 cm betrug bei Substraten von 300 mm × 300 mm die Variation der Schichtdicke 3,4%, wenn die Substrate in einem Abstand von 7,5 mm in einem LPCVD-Reaktor unter folgenden Abscheidungsbedingungen angeordnet wurden: tatsächliche Abscheidungstemperatur 425°C, Disilan-Strömungsgeschwindigkeit 200 sccm, Helium-Strömungsgeschwindigkeit 1000 sccm, Druck 1,2 Torr, Disilan-Partialdruck 200 mTorr und Abscheidungsgeschwindigkeit 0,85 nm/min. (Die Variation der Schichtdicke ist als (max – min)/(max + min) definiert, wobei max und min das Maximum bzw. das Minimum der Schichtdicke im Bereich von 280 mm × 280 mm der Substratfläche ohne den Umfangsbereich von 1 cm bedeuten.) Im Gegensatz dazu wurde eine Variation der Schichtdicke von 8,9% für Substrate der gleichen Größe unter den exakt gleichen Abscheidungsbedingungen erreicht, wenn die Substrate im Abstand von 5 mm im LPCVD-Reaktor angeordnet wurden. Wie in einem späteren Abschnitt erläutert, besitzt die Schichtdicke des Halbleiters einen starken Einfluss auf das Verhalten der Dünnschicht-Halbleitervorrichtungen. Sofern die Variation der Schichtdicke weniger als etwa 5% beträgt, bestehen im wesentlichen jedoch keine Schwierigkeiten im Hinblick auf Schwankungen des Verhaltens der Vorrichtung. Gleichermaßen ergab sich eine Variation der Schichtdicke von 4,2%, wenn Substrate der Abmessungen 360 mm × 465 mm in einem LPCVD-Reaktor mit einem Abstand von 10 mm angeordnet wurden, wobei bei einem Abstand von 7,5 mm die Variation 10,1% betrug. Gemäß der Gleichung (1) ist ein Substratabstand von 8,2 mm oder mehr für Substrate der Abmessungen 360 mm × 465 mm erforderlich. Dies wird durch die tatsächlich erzielten Ergebnisse genau bestätigt. Auf diese Weise kann ein Reaktor mit einer Breite von 120 cm der gleichmäßigen Wärmezone 100 Substrate verarbeiten, wenn die Substrate im Abstand von 10 mm angeordnet werden, selbst wenn jeweils ein Scheinsubstrat oben und unten (oder vorne und hinten) angeordnet wird. Wie im nachstehenden Abschnitt erläutert wird, liegt die Bearbeitungszeit für eine Charge unter Anwendung des erfindungsgemäßen Abscheidungsverfahrens in der Größenordnung von 3 Stunden. Infolgedessen beträgt die Bearbeitungszeit für ein einzelnes Substrat (erfindungsgemäß als Taktzeit bezeichnet) 1 Minute und 48 Sekunden. Addiert man hierzu die Wartungs- und die Abschaltzeit für den LPCVD-Reaktor, so ergibt sich eine Taktzeit von 2 Minuten. Mit anderen Worten, es ist möglich, Dünnschicht-Halbleitervorrichtungen mit einer gleichmäßigen Dicke in hoher Produktivität herzustellen.
  • Wie vorstehend erwähnt, ist eine Verringerung der Abscheidungstemperatur von einer Abnahme der Abscheidungsgeschwindigkeit begleitet, was die Erzielung einer gleichmäßigen Beschaffenheit erschwert. Wenn die Abscheidungstemperatur weniger als etwa 410°C beträgt, wird die Gleichung (1) durch die nachstehende Gleichung (2) ersetzt: d ≥ 0,04 × A1/2 (mm) (2)
  • Wenn die Substrate entsprechend der Gleichung (2) angeordnet werden, ist eine ebenso gute Gleichmäßigkeit wie im Fall der Gleichung (1) möglich. Wie in 3(a) gezeigt, entspricht dann, wenn zwei Substrate Rücken an Rücken in einer vertikalen Anordnung als ein Paar in einem horizontalen LPCVD-Reaktor zur Abscheidung einer Halbleiterschicht angeordnet werden, der Abstand zwischen benachbarten Paaren dem Substratabstand d. Betrachtet man das vorstehende Beispiel mit Substraten der Abmessungen 360 mm × 465 mm, so ist es möglich, in einem einzigen Ansatz 200 Substrate zu verarbeiten. Ferner steigt die Produktivität um den Faktor 2. Eine ähnliche Beziehung gilt für vertikale LPCVD-Reaktoren. Auch in diesem Fall werden zwei Glassubstrate Rücken an Rücken als ein Paar angeordnet und etwa horizontal aufgestellt. Mit anderen Worten, in einem Paar von Glassubstraten ist die Vorderseite des unteren Substrats nach unten gerichtet, während die Vorderseite des oberen Substrats nach oben gerichtet ist. Wie im Fall des horizontalen Reaktors entspricht der Abstand zwischen Paaren von Substraten dem Substratabstand d (vergl. 3(b)). 4 zeigt ein Problem, das auftritt, wenn große Substrate horizontal in einem vertikalen Heißwand-LPCVD-Reaktor angeordnet werden. Der Mittelbereich des Substrats wirft sich. Diese Verwerfung nimmt mit steigender Substratgröße zu. Eine Zunahme erfolgt auch bei Substraten mit niedrigerem Glas-Spannungspunkt. Umgekehrt besteht bei Glassubstraten mit hohem Spannungspunkt, die eine gute Wärmebeständigkeit aufweisen, eine Tendenz zu höheren Kosten. Wie in 3(b) gezeigt, werden beim Einsetzen von mehreren Glassubstraten in Paaren von zwei Substraten in einen LPCVD-Reaktor Halbleiterschichten unter Verwendung von Substraten mit unterschiedlichen Spannungspunkten abgeschieden, wobei die Substrate paarweise angeordnet werden und das Glassubstrat mit hohem Spannungspunkt auf der Unterseite angeordnet wird. Da das Glas mit hohem Spannungspunkt eine geringe Verwerfung zeigt, kann unter Anwendung dieser Technik auch das oben angeordnete Glassubstrat mit niedrigem Spannungspunkt unter geringer Verwertung bearbeitet werden. Infolgedessen ist es möglich, auch billigere Glassubstrate zu verwenden. Somit erhöht die paarweise Anordnung von zwei Glassubstraten nicht nur die Produktivität um den Faktor 2, sondern ermöglicht auch die Kosten eines LCD in einfacher Weise zu verringern.
  • 2-4. LPCVD-Halbleiterschichtabscheidung mit dem vorliegenden Verfahren
  • Wie im vorstehenden Abschnitt erläutert, ist bei herkömmlichen, großflächigen Glassubstraten eine möglichst niedere Abscheidungstemperatur wünschenswert. Eine Verminderung der Abscheidungstemperatur bedeutet jedoch auch eine Verringerung der Abscheidungsgeschwindigkeit. Neben der offensichtlichen Abnahme der Produktivität, die sich aufgrund der für die Schichtabscheidung mit einer geringeren Abscheidungsgeschwindigkeit erforderlichen längeren Zeitspanne ergibt, hat eine langsamere Abscheidungsgeschwindigkeit auch nachteilige Einflüsse auf das Verhalten des Dünnschicht-Halbleiterbauelements. Mit anderen Worten, bei der Herstellung eines hochwertigen, Dünnschicht-Halbleiterbauelements mit einer siliciumhaltigen Halbleiterschicht nach dem Niedertemperaturverfahren lässt sich bei Abscheidung der Halbleiterschicht unter Verwendung von Disilan oder einem höheren Silan bei einer Abscheidungstemperatur von weniger als 450°C und insbesondere von etwa 430°C oder darunter, dann, wenn die Abscheidungsgeschwindigkeit 0,20 nm/min oder mehr beträgt, ein Dünnschicht-Halbleiterbauelement mit hoher Mobilität erreichen. Wenn ferner die Abscheidungsgeschwindigkeit 0,60 nm/min oder mehr beträgt, so ist es möglich, die Schwankungen der Transistoreigenschaften innerhalb eines einzigen Substrats zu verringern. Ferner ist es möglich, ein Dünnschicht-Halbleiterbauelement mit hochwertigen Transistoreigenschaften unter Verwendung von poly-Si-TFT, wobei die Gate-SiO2-Isolierschicht ohne Anwendung von ECR-PECVD gebildet wird und wobei die reine Silicium-Halbleiterschicht bei einer Abscheidungstemperatur von weniger als etwa 430°C mit einer Abscheidungsgeschwindigkeit von etwa 0,20 nm/min oder mehr abgeschieden wird, herzustellen, wobei das Bauelement in Bezug auf Laser-Variationen während der Schmelzkristallisation stabil ist. Tatsächlich zeigten amorphe Siliciumschichten, die mit einer Abscheidungstemperatur von 400°C , einer Disilan-Strömungsgeschwindigkeit von 200 sccm, einer Helium-Strömungsgeschwindigkeit von 1000 sccm, einem Druck von 880 mTorr, einem Disilan-Partialdruck von 147 mTorr und einer Abscheidungsgeschwindigkeit von 0,12 nm/min hergestellt worden waren, sowie Schichten, die mit einer Abscheidungstempetatur von 425°C, einer Disilan-Strömungsgeschwindigkeit von 200 sccm, einer Wasserstoff-Strömungsgeschwindigkeit von 200 sccm, einem Druck von 131 mTorr, einem Disilan-Partialdruck von 65,5 mTorr und einer Abscheidungsgeschwindigkeit von 0,19 nm/min hergestellt worden waren, in elektronenmikroskopischen Transmissionsaufnahmen durchgehend schwarze Flecken und zeigten nach Kristallisation durch RTA geringe Korngrößen. Infolgedessen ergaben sich ebenfalls niedrige Mobilitätswerte, wenn diese Schichten als aktive Schichten in Transistoren verwendet wurden. Obgleich die Einzelheiten möglicherweise nicht gesichert sind, lässt sich das Auftreten von schwarzen Flecken in elektronenmikroskopischen Transmissionsaufnahmen und eine Verschlechterung der Transistoreigenschaften bei Abscheidung von Schichten mit einer Abscheidungsgeschwindigkeit von weniger als 0,20 nm/min möglicherweise folgendermaßen erklären. Wenn die Wachstumsgeschwindigkeit übermäßig nieder ist, wird möglicherweise die Oberfläche der wachsenden Schicht für eine längere Zeitspanne der Gasphase ausgesetzt, was dazu führt, dass mehr Verunreinigungen aus dem Hinter grundvakuum eingebaut werden. Daher hängt die Untergrenze der Abscheidungsgeschwindigkeit vom Hintergrunddruck im LPCVD-Reaktor ab. Mit anderen Worten, in einem LPCVD-Reaktor mit einem Hintergrunddruck von 1 × 10–7 Torr bis 1 × 10–6 Torr, wie es im erfindungsgemäßen Reaktor gegeben ist, lässt sich eine hochwertige Halbleiterschicht abscheiden, wenn die Abscheidungsgeschwindigkeit 0,20 nm/min oder mehr beträgt. Wenn die Abscheidungsgeschwindigkeit 0,60 nm/min oder mehr beträgt, verschwinden die Einflüsse von Gasverunreinigungen vollständig. Ebenso nimmt der Betrag der Schwankungen der Transistoreigenschaften ab. Wie später erläutert, beträgt die optimale Schichtdicke der Halbleiterschicht für eine erfindungsgemäß durch LPCVD gebildete Dünnschicht-Halbleitervorrichtung etwa 50 nm. Daher liegt die Abscheidungszeit in der Größenordnung von 80 Minuten bei einer Abscheidungsgeschwindigkeit von 0,60 nm/min oder mehr. Einschließlich der etwa 20 Minuten, die zum Einsetzen der Substrate in den LPCVD-Reaktor und zum Anlegen eines Vakuums erforderlich sind, und einschließlich der etwa 1 Stunde für das Vorheizen vor dem Schichtwachstum, sowie einschließlich der Zeitspanne von 1 Stunde und 20 Minuten für die Abscheidung und der Zeitspanne von 20 Minuten, die zum Abbau des Vakuums nach dem Schichtwachstum und zur Entnahme der Substrate erforderlich sind, beträgt die gesamte Bearbeitungszeit für einen Ansatz etwa 3 Stunden. Wie im vorstehenden Abschnitt erläutert, beträgt die Taktzeit zur Bearbeitung eines Ansatzes mit 100 Substraten etwa 2 Minuten. Wenn das Verfahren der paarweisen Anordnung von zwei Substraten herangezogen wird, ergibt sich eine äußerst hohe Produktivität mit einer Taktzeit von weniger als 1 Minute.
  • Wie in den vorstehenden Abschnitten erläutert, sind zur zuverlässigen Herstellung eines hochwertigen poly-Si-TFT auf großen Substraten nach dem Niedertemperaturverfahren eine Abscheidungstemperatur der siliciumhaltigen Halbleiterschicht von weniger als etwa 430°C, eine Abscheidungsgeschwindigkeit von 0,6 nm/min oder mehr und eine Schwankung der Schichtdicke von weniger als etwa 5% über ein großes Substrat hinweg in idealer Weise erforderlich. Für den Fall, dass ein höheres Silan, z. B. Disilan, als Quellengas bei der Schichtbildung unter Anwendung von LPCVD verwendet wird, lassen sich diese Bedingungen erfüllen, indem man eine Beziehung zwischen der Gesamtoberfläche A (cm2) im Innern des LPCVD-Reaktors, der mit der Halbleiterschicht bedeckt werden kann, und der Strömungsgeschwindigkeit Q (sccm) des in die Abscheidungskammer während des Wachstums eingeleiteten höheren Silans aufrechterhält. Mit anderen Worten, durch Steuerung der Strömungsgeschwindigkeit des höheren Silans pro Flächeneinheit, d. h. von R (sccm/cm2), das folgende Bedeutung hat R = Q/A,ist es möglich, die drei vorerwähnten idealen Bedingungen zu erfüllen. Beim Wachstum der Halbleiterschichten durch LPCVD bestimmt vorwiegend die Abscheidungstemperatur die chemische Reaktionsgeschwindigkeit der Substratoberflächen. Auf der anderen Seite besteht eine positive Korrelation zwischen der Raumkonzentration des Quellengases und der Transportgeschwindigkeit des Quellengases in der Gasphase. Die Quellengaskonzentration C steht mit dem Quellengasdruck P und der Temperatur Tg in folgender Beziehung: C = P/kTg, worin k die Boltzmann-Konstante bedeutet. Wird die Abscheidungsgeschwindigkeit bei Festlegung der Abscheidungstemperatur auf einen festen Wert weiter erhöht, d. h. bei Fixierung der potenziellen Geschwindigkeit der Oberflächenreaktion, so ist es üblich, die tatsächliche Geschwindigkeit der Oberflächenreaktion durch Erhöhung des Quellengasdrucks P zu steigern, um die Transportgeschwindigkeit in der Gasphase zu erhöhen. Jedoch beeinträchtigt, wie vorstehend erwähnt, eine Erhöhung der Abscheidungsgeschwindigkeit durch Erhöhung des Druckes in ungünstiger Weise die Gleichmäßigkeit der Schichtdicke. Unter Berücksichtigung dieser Tatsache steht der Druck im Innern der Abscheidungskammer P in Beziehung zur Pumpgeschwindigkeit S und zur Gasströmungsgeschwindigkeit Q gemäß folgender Gleichung: P = Q/S.
  • Somit sind drei unabhängige Variable durch eine einzige Gleichung verbunden, was zum Vorliegen von zwei unabhängigen Variablen führt. Mit anderen Worten, wenn nur der Druck P fixiert wird, ist es nicht möglich, einen einzigen physikalischen Zustand zu bestimmen. Dies bedeutet, dass bei einem identischen Druck von 100 mTorr ein System mit einer Gasströmungsgeschwindigkeit von 100 sccm und einer Pumpgeschwindigkeit von 1 sccm/mTorr sich vollständig von einem System mit einer Gasströmungsgeschwindigkeit von 1 sccm und einer Pumpgeschwindigkeit von 0,01 sccm/mTorr unterscheidet. Der Erfinder hat diese Tatsache festgestellt und geprüft, welchen Einfluss Veränderungen der Pumpgeschwindigkeit in der Abscheidungskammer und der Strömungsgeschwindigkeit des Disilan-Quellengases auf die Abscheidungsgeschwindigkeit und die Gleichmäßigkeit der Schichtdicke haben, wenn die Abscheidungstemperatur und der Abscheidungsdruck konstant gehalten werden. Die Ergebnisse zeigten, dass auch bei Fixierung der Abscheidungstemperatur und des Abscheidungsdrucks die Abscheidungsgeschwindigkeit zusammen mit einer Zunahme der Strömungsgeschwindigkeit des Quellengases anstieg und dass ferner die Gleichmäßigkeit der Schichtdicke verbessert wurde. Zusätzlich wurde festgestellt, dass diese Beziehung in starkem Zusammenhang zur Gesamtoberfläche A im Innern der Reaktionskammer steht und dass es erforderlich ist, die Strömungsgeschwindigkeit des Quellengases proportional zur Gesamtoberfläche zu erhöhen. Dies wird anhand von 5 erklärt. Amorphe Siliciumschichten wurden in einem 184,5 Liter fassenden, vertikalen, Heißwand-LPCVD-Reaktor, in dem sich 35 Substrate von 300 mm × 300 mm in einem Abstand von 10 mm befanden, abgeschieden. Die Gesamtoberfläche der 35 Substrate betrug 63000 cm2, da die Fläche eines Substrats (Vorderseite und Rückseite) 30 cm × 30 cm × 2 oder 1800 cm2 beträgt. Da die Oberfläche der Bereiche im Innern des Reaktors die während der Abscheidung mit der Halbleiterschicht beschichtet werden können, 25262 cm2 beträgt, ergibt sich eine Gesamtoberfläche, die im Innern des Reaktors beschichtet werden kann gemäß folgender Gleichung: A = 63000 ÷ 25262 = 88262 cm2.
  • Unter diesen Bedingungen wurden Halbleiterschichten abgeschieden, indem man in der Abscheidungskammer nur Disilan bei einer Abscheidungstemperatur von 425°C und einem Abscheidungsdruck von 320 mTorr strömen ließ. Die Disilan-Strömungsgeschwindigkeit wurde von 50 sccm bis 400 sccm variiert, wobei der Abscheidungsdruck konstant auf 320 mTorr gehalten wurde, indem man die Abscheidungskammer-Pumpgeschwindigkeit mittels der LPCVD-Reaktordruck-Steuereinheit variierte. Die Abscheidungsgeschwindigkeit als Funktion der Disilan-Strömungsgeshwindigkeit unter den gegebenen Bedingungen wird durch die kreisförmigen Datenpunkte und die ausgezogene "DR"-Linie in 5 wiedergegeben, während die Variation der Schichtdicke durch die quadratischen Datenpunkte und die gestrichelte "V"-Linie dargestellt ist. Da A den Wert von 88262 cm2 hat, ergeben sich folgende R-Werte für die angegebenen Strömungsgeschwindigkeiten:
  • Q = 50 sccm, R = 5,66 × 10–4 sccm/cm2; Q = 100 sccm, R = 1,13 × 10–3 sccm/cm2; Q = 200 sccm, R = 2,27 × 10–3 sccm/cm2; und Q = 400 sccm, R = 4,53 × 10–3 sccm/cm2. Für einen R-Wert von mehr als 2,27 × 10–3 sccm/cm2 ist die Abscheidungsgeschwindigkeit im wesentlichen gesättigt. Die Oberflächen-Reaktionsgeschwindigkeit und die potenzielle Geschwindigkeit der Oberflächenreaktion stimmen nahezu überein. Wie vorstehend erörtert, wird für eine Fixierung der Abscheidungstemperatur und des Abscheidungsdrucks eine höhere Abscheidungsgeschwindigkeit bevorzugt, und zwar sowohl im Hinblick auf die Produktivität als auch im Hinblick auf die Qualität der Halbleiterschicht. Bei einer hohen Abscheidungsgeschwindigkeit ergibt sich eine hohe Wachstumsgeschwindigkeit der Schicht verglichen mit der Kernbildungsgeschwindigkeit, so dass sowohl die Korngröße in den Schichten nach der Kristallisation zunimmt als auch die Menge an Verunreinigungsgasen von austretenden Gasen, die in die Schicht eingebaut werden, abnimmt. Diese beiden Faktoren führen zu einer Verbesserung der Qualität der Halbleiterschicht und der durchschnittlichen Zunahme der Beweglichkeit und Abnahme der Schwellenspannung, wenn diese Schichten als aktive Schichten in Dünnschicht-Halbleiterbauelementen verwendet werden.
  • Ferner führt die Abnahme des Einbaus von Verunreinigungen zu einer Unterdrückung des poly-Si-TFT-Aus-Stroms. Bei Berücksichtigung dieser Faktoren wird eine hohe Abscheidungsgeschwindigkeit bevorzugt. Wie aus 5 ersichtlich ist, ergibt sich für diesen Wert eine Sättigung bei einem R-Wert von 2,27 × 10–3 sccm/cm2 oder mehr. Infolgedessen wird ein höherer Wert der Silan-Strömungsgeschwindigkeit pro Flächeneinheit von etwa 2,27 × 10–3 sccm/cm2 oder mehr für die Abscheidung von Halbleiterschichten bevorzugt. Der vorliegende Versuch wurde in einem vertikalen Reaktor durchgeführt, wobei das Gas von oben eingeleitet und am Boden abgezogen wurde. Ein R-Wert von 5,66 × 10–4 sccm/cm2 führte zu einer Differenz der Abscheidungsgeschwindigkeit von 18% zwischen dem obersten Substrat und dem untersten Substrat. Für einen R-Wert von etwa 1,13 × 10–3 sccm/cm2 oder mehr war diese Differenz praktisch nicht feststellbar. Um daher unter den Substraten eine gleichmäßige Beschaffenheit zu erzielen, ist ein R-Wert von etwa 1,13 × 10–3 sccm/cm2 oder mehr wünschenswert. Wie ferner aus 5 ersichtlich ist, erweist sich ein R-Wert ≥ 4,54 × 10–3 sccm/cm2 als ideal, da die Schwankung innerhalb eines einzelnen Substrats 5% oder weniger beträgt und sich eine hohe Abscheidungsgeschwindigkeit von 1,30 nm/min ergibt.
  • Die Strömungsgeschwindigkeit des Quellengases, die der Gesamtoberfläche im LPCVD-Reaktor, der mit einer Halbleiterschicht bedeckt werden kann, entspricht, muss ebenfalls variiert werden. Mit anderen Worten, der Parameter, der kontrolliert werden muss, ist die höhere Silan-Strömungsgeschwindigkeit pro Einheitsfläche R. Der vorstehend beschriebene Versuch wurde mit 17 Substraten der Abmessungen 235 mm × 235 mm, die in einem Abstand von 20 mm im LPCVD-Reaktor ange ordnet waren, genau wiederholt. Die Substratfläche betrug 23,5 cm × 23,5 cm × 2 × 17 = 18777 cm2. Dies ergab in Kombination mit der Reaktoroberfläche von 25262 cm2 eine Gesamtoberfläche von 44039 cm2. Die R-Werte von 5,66 × 10–4 sccm/cm2, 1,13 × 10–3 sccm/cm2, 2,27 × 10–3 sccm/cm2 und 4,53 × 10–3 sccm/cm2 entsprechen den höheren Silan-Strömungsgeschwindigkeiten von 25 sccm, 50 sccm, 100 sccm bzw. 199 sccm. Bei Prüfung der Abscheidungsgeschwindigkeit und der Gleichmäßigkeit der Schichtdicke unter den Substraten für die gegebenen Disilan-Strömungsgeschwindigkeiten wurde ein identisches Verhalten wie beim vorherigen Versuch bestätigt. Dies bedeutet, dass neben der Abscheidungstemperatur und dem Abscheidungsdruck die höhere Silan-Strömungsgeschwindigkeit pro Flächeneinheit einen Parameter darstellt, der zur eindeutigen Definition des physikalischen Systems erforderlich ist. Entsprechend dem vorstehenden Befund ist ein R-Wert von 1,13 × 10–3 sccm/cm2 oder mehr für die Abscheidung einer siliciumhaltigen Schicht bei einer Abscheidungstemperatur von 430°C oder weniger und einem Disilan-Partialdruck von etwa 100 mTorr oder mehr erforderlich. Beispielsweise beträgt für die Abscheidung einer Halbleiterschicht unter Verwendung von einhundert 400 mm × 500 mm-Substraten, die im Abstand von 15 mm in einer zylindrischen Abscheidungskammer mit einem Durchmesser von 900 mm angeordnet sind, die Substratoberfläche 400000 cm2. Die Oberfläche im Innern der Abscheidungskammer beträgt etwa 56550 cm2. Dies ergibt eine Gesamtfläche A von etwa 456550 cm2. Daher ergibt sich die minimale erforderliche Silan-Strömungsgeschwindigkeit durch den R-Wert von 1,13 × 10–3 sccm/cm2, multipliziert mit der Fläche A oder 518 sccm. Gleichermaßen ist eine minimale Strömungsgeschwindigkeit von etwa 1050 sccm für die Abscheidung einer Halbleiterschicht für den Fall erforderlich, bei dem 100 Substrate der Abmessungen 560 mm × 720 mm mit einem Abstand von 25 mm in einer Kammer mit einem Durchmesser von 1 200 mm angeordnet werden, da A etwa 919500 cm2 und R ≥ 1,13 × 10–3 sccm/cm2 betragen.
  • 2-5. Poly-Si-TFT-Kanalschichtdicke und Transistoreigenschaften
  • Nachstehend wird die Beziehung zwischen der Halbleiterschichtdicke der aktiven Schicht, die den Kanal in einem poly-Si-TFT-Dünnschicht-Halbleiterbauelement bildet, und den Transistoreigenschaften erörtert. Im allgemeinen hängt die optimale Schichtdicke der als Kanal in einem Dünnschicht-Halbleiterbauelement zu verwendenden Halbleiterschicht stark vom Herstellungsverfahren ab. Dies ist darauf zurückzuführen, dass die Schichtqualität der Halbleiterschicht stark mit der Schichtdicke variiert. Beispielsweise verbessern sich in Systemen, wie SOS (Silicium-auf-Saphir) und SOI (Silicium-auf-Isolator), bei denen in der Regel die Schichtqualität nicht von der Schichtdicke abhängt, die Transistoreigenschaften für dünnere Halbleiterschichten. (Dieses Prinzip wird hier als Dünnschichtwirkung bezeichnet.) Dies ist darauf zurückzuführen, dass im Fall von dünnen Halbleiterschichten sich die Inversionsschicht rasch über die gesamte Schichtdicke verteilt und sich leicht bilden kann (die Schwellenspannung Vth nimmt ab). Auf der anderen Seite ist bei Dünnschicht-Halbleiterbauelementen unter Verwendung von polykristallinen Siliciumschichten für die Kanalschicht die Qualität der Halbleiterschicht in Abhängigkeit von der Schichtdicke stark unterschiedlich. Der vorerwähnte Mechanismus ist wesentlich komplizierter. Üblicherweise verschlechtert sich die Schichtqualität von polykristallinen Schichten mit abnehmender Schichtdicke. Speziell im Vergleich zu dicken Schichten ergibt sich eine geringere Korngröße in Dünnschichten. Die Anzahl an internen Korndefekten und Korngrenzfallen ist gleichzeitig hoch. Bei geringer Korngröße ergibt sich bei Verwendung derartiger Schichten eine geringe Beweglichkeit der Dünnschicht-Halbleiterbauelemente. Wenn ferner die Anzahl der internen Korndefekte und der Korngrenzfallen hoch ist, verlangsamt sich die Verteilung der Sperrschicht und die Schwellenspannung Vth nimmt erheblich zu. (Dieses Prinzip wird hier als Dünnschichtabbau bezeichnet.) Letztlich handelt es sich bei der vorerwähnten Dünnschichtwirkung und dem Dünnschichtabbau um konkurrierende Vorgänge. Wenn die Schichten dünn ausgestaltet werden, jedoch geringe Veränderungen der Schichtqualität vorliegen (der Dünnschichtabbau ist gering), so ist die Dünnschichtwirkung anwendbar und die Transistoreigenschaften verbessern sich mit dünneren Schichten. Wenn die Schichten umgekehrt dünn sind und ein erheblicher Abbau der Schichtqualität eintritt (der Dünnschichtabbau ist hoch), so wird die Dünnschichtwirkung beseitigt und die Transistoreigenschaften verschlechtern sich für dünnere Schichten. Mit anderen Worten, je nach der Größe der Abhängigkeit der Schichtqualität von der Schichtdicke können sich bei Verwendung von dünneren Schichten die Transistoreigenschaften verbessern oder verschlechtern. Diese Abhängigkeit der Schichtqualität von der Schichtdicke unterscheidet sich je nach der Herstellungstechnik und auch je nach der Schichtdicke. Infolgedessen ergibt eine vollständig unterschiedliche optimale Dicke der Halbleiterschicht je nach dem Herstellungsverfahrens des Dünnschicht-Halbleiterbauelements. Der optimale Wert der Dicke muss für jedes Herstellungsverfahren bestimmt werden.
  • 2-6. Optimale Schichtdicke für das LPCVD-Kristallisationsverfahren
  • Nachstehend wird die optimale poly-Si-TFT-Halbleiter-Schichtdicke für eine Halbleiterschicht, die zunächst durch LPCVD bei einer Abscheidungstemperatur von weniger als 450°C und Idealerweise 430°C oder weniger unter anschließender Kristallisation hergestellt worden ist, zur Verwendung in einem Niedertemperaturverfahren-Dünnschicht-Halbleiterbauelement der vorliegenden Erfindung gemäß den vorstehenden Ausführungen erläutert. Unter Anwendung von LPCVD bei weniger als 450°C oder 430°C oder weniger kann die abgeschiedene Schicht unter Bildung einer kontinuierlichen Schicht koaleszieren, wenn die Schichtdicke einen Wert von etwa 10 nm oder mehr erreicht. Wenn sich keine kontinuierliche Schicht bildet, sondern nur Inselregionen vorliegen, ergeben sich äußerst schlechte Halbleiter-"An"-Eigenschaften, da die Schicht nach der Kristallisation immer noch diskontinuierlich ist, unabhängig davon, ob man sich der Schmelzkristallisation oder der Festphasenkristallisation bedient. Kurz zusammengefasst, der Dünnschichtabbau hat sich gegenüber der Dünnschichtwirkung vollständig durchgesetzt. Daher liegt die minimale Schichtdicke für die LPCVD-Kristallisation in der Größenordnung von 10 nm. Wenn die Schichtdicke 20 nm oder mehr erreicht, verbessern sich die Transistoreigenschaften der schmelzkristallisierten Schichten. Bei der Schmelzkristallisation von Halbleiterschichten erfolgt eine Kristallisation, wobei sich während der Verfestigungsstufe unter Abkühlung periphere Halbleiteratome um einen einzelnen zentralen Kern ansammeln. Aufgrund dieser Tatsache werden bei einer Schichtdicke von weniger als 20 nm selbst bei Bildung einer kontinuierlichen Schicht unmittelbar nach der Abscheidung durch LPCVD im Anschluss an die Schmelzkristallisation Risse und Spalten in der gesamten Schicht erzeugt und die Transistoreigenschaften verbessern sich nicht. Mit anderen Worten, bei der LPCVD-Schmelzkristallisation überwiegt für Schichten von weniger als 20 nm der Dünnschichtabbau. Mit dem Erreichen von Schichtdicken von mehr als etwa 20 nm nimmt der Dünnschichtabbau allmählich ab und die Dünnschichtwirkung setzt sich allmählich gegenüber dem Dünnschichtabbau durch. Unter Fortsetzung dieses Trends bei zunehmender Schichtdicke ergeben sich die günstigsten Transistoreigenschaften für Schichtdicken zwischen etwa 20 nm und etwa 80 nm. Für Dicken von mehr als 80 nm überwiegt die Dünnschichtwirkung und die Transistoreigenschaften verschlechtern sich mit zunehmender Schichtdicke. Für Halbleiterschichten von 30 nm oder mehr ist eine stabile und zuverlässige Herstellung möglich. Insbesondere mit den Fortschritten der Hochpräzisionsverarbeitung lässt sich das Problem von Kontaktfehlern zwischen der Halbleiterschicht und der Metallisierung für Schichten von etwa 30 nm oder mehr erheblich verringern, wenn Verfahren, wie das reaktive Ionenätzen (RIE), zur Öffnung von Kontaktlöchern in der zwischenliegenden Isolierschicht oder der Gate-Isolierschicht herangezogen werden. Üblicherweise beträgt die Gesamtdicke aus der Gate-Isolierschicht und der Zwischenisolierschicht etwa 600 nm. Wenn die Schwankung der Schichtdicke ±10% oder insgesamt 20% beträgt, so liegt die Differenz der Schichtdicke zwischen der dünnsten Isolierschicht und der dicksten Isolierschicht in der Größenordnung von 120 nm. Da das Selektivitätsverhältnis von RIE bezüglich Halbleiterschichten etwa 1 : 10 beträgt, werden 10–15 nm der Halbleiterschicht, die unterhalb der dünnsten Isolierschicht liegen, während der Öffnung von Kontaktlöchern in der dicksten Isolierschicht entfernt. Somit gehen auf diese Weise während der Öffnung von Kontaktlöchern etwa 15 nm verloren, wobei der Kontaktwiderstand ausreichend nieder ist und das Problem eines Kontaktfehlers nicht auftritt, wenn die Halbleiterschichtdicke etwa 30 nm oder mehr beträgt. Beträgt die Halbleiterschichtdicke etwa 70 nm oder weniger, so kann die gesamte Halbleiterschicht gleichmäßig erwärmt werden und eine Kristallisation kann unter Verwendung eines Lasers oder anderer Einrichtungen glatt während der Schmelzkristallisation ablaufen. Beträgt jedoch die Schichtdicke mehr als etwa 140 nm, wird nur der obere Bereich der Schicht während der Laserbestrahlung von oben geschmolzen, während im unteren Bereich der Schicht die amorphen Regionen erhalten bleiben. Dies trägt zum Dünnschichteffekt bei, so dass sich die Transistoreigenschaften erheblich verschlechtern. Mit anderen Worten, die maximale Schichtdicke für das LPCVD-Kristallisationsverfahren liegt in der Größenordnung von 140 nm.
  • 2-7. Abscheidung der Halbleiterschicht unter Anwendung des PECVD-Verfahrens
  • Nachstehend wird das erfindungsgemäße Verfahren zur Bildung der Halbleiterschicht unter Anwendung von PECVD beschrieben. Beim verwendeten PECVD-Reaktor handelt es sich um einen Reaktor vom kapazitiven Kopplungstyp. Das Plasma bedient sich industrieller Hochfrequenzwellen (13,56 MHz) und wird zwischen zwei parallelen Plattenelektroden erzeugt. Von den beiden parallelen Plattenelektroden weist die untere parallele Plattenelektrode ein elektrisches Grundpotenzial auf. Das Substrat, auf dem die Halbleiterschicht abzuscheiden ist, wird auf diese Elektrode gelegt Die Hochfrequenzwellen werden der oberen parallelen Plattenelektrode zugeführt. Ferner befinden sich in der oberen parallelen Plattenelektrode mehrere Gaseinleitungsöffnungen. Die Quellengase werden in einem gleichmäßigen, laminaren Strom von dieser Elektrode zur Abscheidungskammer geleitet. Der Druck beträgt zum Zeitpunkt der Schichtbildung etwa 0,1 Torr bis etwa 5 Torr. Der Abstand zwischen den parallelen Plattenelektroden kann von etwa 10 mm bis etwa 50 mm variiert werden.
  • Nach Bildung der unteren Schutzschicht auf mindestens einem Bereich der Substratoberfläche unter Verwendung eines Isoliermaterials, z. B. einer Siliciumoxidschicht, wird oben auf dieser unteren Schutzschicht eine Halbleiterschicht gebildet. Letztlich wird ein Dünnschicht-Halbleiterbauelement erzeugt, das sich der Halbleiterschicht als aktiver Transistorschicht bedient. Wenn eine Halbleiterschicht unter Verwendung des PECVD-Verfahrens abzuscheiden ist, wird zunächst die untere Schutzschicht einem Sauerstoffplasma ausgesetzt, nachdem das Substrat in die Abscheidungskammer des PECVD-Reaktors gebracht worden ist. Das Sauerstoffplasma wird bei einem Elektrodenabstand von etwa 15 bis 35 mm, einem Druck von etwa 1,0 bis 2,0 Torr und einer Hochfrequenz-Leistungsdichte von etwa 0,05 W/cm2 bis 1 W/cm2 erzeugt. Die Temperatur des Substrats beträgt ebenfalls 250 bis 350°C wie während der Halbleiterabscheidung. Die Sauerstoffplasma-Behandlungszeit beträgt etwa 10 Sekunden bis 1 Minute. Nach der Behandlung mit dem Sauerstoffplasma wird die Plasmabildung zeitweilig gestoppt und die Abscheidungskammer wird 10 bis 30 Sekunden evakuiert. Wenn die Evakuierung 15 Sekunden oder mehr dauert, ergibt sich ein Vakuum in der Abscheidungskammer von 1 mTorr oder weniger. Dieser Vorgang erfolgt, um den Einbau von Sauerstoff in die Halbleiterschicht während der Abscheidung der Halbleiterschicht bei der anschließenden Bearbeitungsstufe zu verhindern. Nach Anlegen des Vakuums lässt man die Quellengase, die für die Abscheidung der Halbleiterschicht verwendet werden, z. B. Silan und Wasserstoff, 10 Sekunden bis 2 Minuten ohne Erzeugung eines Plasmas einströmen. Während dieser Zeitspanne sind die Bedingungen im Innern der Abscheidungskammer, wie der Druck und die Strömungsgeschwindigkeit des Quellengases, die gleichen, wie sie während der Abscheidung der Halbleiterschicht herrschen. Aufgrund dieser Tatsache wird der Sauerstoff in der Abscheidungskammer vollständig durch das Quellengas ersetzt und der Einbau von Sauerstoff in die Halbleiterschicht auf ein Minimum beschränkt. Ferner wird bei einer Zeitspanne des Gasstroms von 30 Sekunden oder mehr die Temperatur des Substrats auf einem konstanten Wert gehalten und die Halbleiterschicht kann ständig unter den gleichen Bedingungen abgeschieden werden. Beim erfindungsgemäßen Dünnschicht-Halbleiterbauelement ist die oberste Schicht der unteren Schutzschicht aus einer Schicht, z. B. Siliciumoxid, zusammengesetzt, die eine niedere Kernbildungsrate aufweist. Da diese Siliciumoxidschicht unter Anwendung des CVD-Verfahrens oder des PVD-Verfahrens gebildet wird, bestehen immer lockere Bindungen des Siliciums. Aus diesem Grund würden bei Bildung der Halbleiterschicht auf der unteren Schutzschicht ohne eine gewisse Vorbehandlung die lockeren Bindungen als fixierte elektrische Ladungen innerhalb der unteren Schutzschicht wirken. Wie vorstehend ausgeführt, verursachen diese fixierten elektrischen Ladungen dann, wenn die Halbleiterschicht dünn ist, z. B. einige 100 nm oder weniger, negative Einflüsse auf das Dünnschicht-Halbleiterbauelement, z. B. Schwankungen in der Schwellenspannung (Vth). Durch Aufbringen eines Sauerstoffplasmas auf die Oberfläche der unteren Schutzschicht kommt es zu einer Bindung der lockeren Bindungen an Sauerstoffatome und somit zu einer erheblichen Verringerung der fixierten elektrischen Ladungen innerhalb der unteren Schutzschicht. Mit anderen Worten, selbst dann, wenn die Halbleiterschicht dünn genug ausgebildet ist, um die Halbleitereigenschaften zu verbessern, lassen sich Instabilitäten in den Eigenschaften, wie Vth-Schwankungen, die durch die untere Schutzschicht verursacht werden, beseitigen. Außerdem führt die Behandlung mit dem Sauerstoffplasma zu einer Reinigung der Oberfläche der unteren Schutzschicht durch eine Oxidationsreaktion (Verbrennung) und führt zu einer weiteren Einschränkung der Kernerzeugungsgeschwindigkeit in der Anfangsstufe der Halbleiterabscheidung. Dies erhöht die Reinheit der Halbleiterschicht, vergrößert die Flächen, die die Abscheidungsschicht bilden, und vergrößert die Kristallkörner, die die kristallisierte Halbleiterschicht bilden. Bezüglich der Eigenschaften des Dünnschicht-Halbleiterbauelements macht sich dies in einer Verringerung des Aus-Stroms, einer Verringerung des Vth-Werts, einer Verbesserung der Schalteigenschaften aufgrund einer steileren Ausgestaltung des Ausschlags unterhalb der Schwelle und einer Erhöhung der Beweglichkeit bemerkbar.
  • Zur Verbesserung der Oberfläche der unteren Schutzschicht ist neben der Behandlung mit einem Sauerstoffplasma auch die Behandlung mit einem Wasserstoffplasma wirksam. Dies bedeutet, dass nachdem das Substrat, auf der die Halbleiterschicht abzuscheiden ist, in den PECVD-Reaktor gebracht worden ist, die untere Schutzschicht auf dem Substrat zunächst einem Wasserstoffplasma ausgesetzt wird. Anschließend wird ohne Aufheben des Vakuums die Halbleiterschicht nacheinander oben auf der unteren Schutzschicht gebildet. Die Halbleiter-Abscheidungsbedingungen bedienen sich einer großen Menge an Wasserstoff, z. B. 3000 sccm Wasserstoff und 100 sccm Monosilan. Wenn ferner das Verhältnis von Wasserstoff zum Silan 10 oder mehr beträgt, ist eine kontinuierliche Bearbeitung von der Bearbeitung mit dem Wasserstoffplasma bis zur Bildung der Halbleiterschicht möglich, ohne das Plasma abzubauen. Wenn die Abscheidungsbedingungen der Halbleiterschicht sich von den Bedingungen des Wasserstoffplasmas unterscheiden, z. B. bei 100 sccm Monosilan in 7000 sccm Argon, wird nach der Bearbeitung mit dem Wasserstoffplasma das Plasma zeitweilig aufgehoben. Mit Ausnahme der Situation, dass kein Plasma erzeugt wird, ist es wünschenswert, dass sämtliche übrigen Verfahrensparameter gleich sind wie die Abscheidungsbedingungen der Halbleiterschicht, um vor der Abscheidung eine Stabilisierungsperiode zu erzeugen. Verfährt man auf diese Weise, so lässt sich die Substrattemperatur bei der Abscheidung der Halbleiterschicht ständig konstant halten. Die Wasserstoffplasma-Bearbeitungszeit beträgt 10 Sekunden bis 1 Minute. Die Stabilisierungsperiode vor der Abscheidung der Halbleiterschicht beträgt 10 Sekunden bis 2 Minuten. Unter den lockeren Bindungen in der unteren Schutzschicht gibt es solche, die durch Sauerstoff abgesättigt sind, wie in Si-*, und solche, die nicht durch Sauerstoff abgesättigt werden können, wie in Si-O-*. Da die Behandlung mit dem Wasserstoffplasma diese lockeren Bindungen in Form von Si-H und Si-OH abschließen kann, lässt sich eine außerordentliche Wirkung in Bezug auf die Abnahme der fixierten elektrischen Ladungen in der unteren Schutzschicht beobachten. Da ferner die Behandlung mit dem Wasserstoffplasma die Wirkung einer Ätzung und Reinigung der Oberfläche der unteren Schutzschicht aufweist, erhöht sich auch die Reinheit der Halbleiterschicht. Ferner wird die Haftung der unteren Schutzschicht und der Halbleiterschicht als Folge dieser Reinigung erheblich verbessert. Wenn eine Halbleiterschicht unter Anwendung des PECVD-Verfahrens gebildet wird, lassen sich kraterförmige Löcher in der Halbleiterschicht erzeugen. Gelegentlich löst sich die Schicht je nach den Abscheidungsbedingungen ab. Dies kann jedoch durch die Bearbeitung mit dem Wasserstoffplasma verhindert werden.
  • Bei der Abscheidung einer Halbleiterschicht ist es besonders wünschenswert, eine Behandlung sowohl mit Sauerstoffplasma als auch mit Wasserstoffplasma durchzuführen. Mit anderen Worten, zunächst wird die Oberfläche der unteren Siliciumoxid-Schutzschicht einem Sauerstoffplasma ausgesetzt. Dabei werden zunächst die lockeren Bindungen in der unteren Schutzschicht durch die Oxidationsreaktion abgesättigt. Gleichzeitig wird die Oberfläche durch Verbrennung gereinigt. Anschließend wird die Behandlung mit dem Sauerstoffplasma beendet und ein Vakuum wird für eine Zeitspanne von etwa 10 Sekunden bis 1 Minute angelegt, um den Sauerstoff innerhalb der Abscheidungskammer zu entfernen. Die untere Schutzschicht wird sodann ohne Unterbrechung des Vakuums einem Wasserstoffplasma ausgesetzt. Einige lockere Bindungen, die mit dem Sauerstoffplasma nicht abgesättigt werden konnten, werden mit dem Wasserstoffplasma abgesättigt. Dadurch werden die fixierten elektrischen Ladungen in der unteren Schutzschicht auf ein Minimum verringert. Außerdem wird die Oberfläche noch reiner und gleichzeitig wird die Haftung zwischen der Halbleiterschicht und der unteren Schutzschicht verbessert. Nach der Bearbeitung mit dem Wasserstoffplasma finden nach Bedarf ein Vakuum-Pumpvorgang und eine Substraterwärmung statt. Anschließend wird die Halbleiterschicht auf der unteren Schutzschicht ohne Unterbrechung des Vakuums gebildet. Durch diese Vorgehensweise werden nicht nur die vorerwähnte Sauerstoffplasma-Wirkung und die Wasserstoffplasma-Wirkung erzielt, sondern es kommt auch zu einer deutlichen Verringerung der Menge des in die Halbleiterschicht eingebauten Sauerstoffes, da die Wasserstoffplasma-Stufe zwischen die Sauerstoff-Stufe und die Bildung der Halbleiterschicht eingeschoben ist. Dies zu erzielen. Wie im Abschnitt (2-1) erwähnt, sind für eine schmelzkristallisierte Halbleiterschicht eine saubere Oberfläche der unteren Schutzschicht und eine Kontrolle der Grenzfläche zwischen der unteren Schutzschicht und der Halbleiterschicht besonders wichtig. Infolgedessen erweist sich die Bearbeitung der Oberfläche der unteren Schutzschicht vor der Abscheidung der Halbleiterschicht als besonders wichtig.
  • Nachstehend wird die Bearbeitung der Halbleiterschicht, die unter Anwendung des PECVD-Verfahrens gebildet worden ist, beschrieben. Nach der Bildung der Halbleiterschicht oben auf der unteren Schutzschicht ist es erwünscht, die Halbleiterschicht ohne Unterbrechung des Vakuums einem Plasma auszusetzen. Dadurch werden die lockeren Bindungen der Halbleiteratome, z. B. die des Siliciums, abgesättigt. Dies erweist sich als besonders wirksam, wenn die Schichtbildung unter Bedingungen erfolgt, bei denen wenig Wasserstoff vorliegt, wenn die Halbleiterschicht abgeschieden wird. Beispielsweise erweist es sich in einem System als besonders wirksam, bei dem die Menge an Wasserstoff weniger als 50% der in die Abscheidungskammer eingeleiteten Gase beträgt, z. B. wenn die Halbleiterschicht unter Verwendung eines mit Inertgasen, wie Helium oder Argon, gemischten Silans abgeschieden wird. Wenn eine Halbleiterschicht unter Verwendung eines derartigen Systems abgeschieden wird, kommt es zum Auftreten einer großen Anzahl an lockeren Bindungen in der Schicht. Da diese lockeren Bindungen chemisch äußerst aktiv sind, reagieren sie mit einer Vielzahl von Verunreinigungen und Substanzen, die in der Atmosphäre vorliegen, oder es kommt zu einer physikalischen Adsorption dieser Materialien. Wenn die Kristallisation unter derartigen Bedingungen, z. B. unter Verwendung eines Lasers, stattfindet, ergibt sich eine Verringerung der Reinheit des Halbleiters. Zusätzlich werden die Körner kleiner, da die adsorbierten Substanzen zu Kernen für das Kristallwachstum werden. Derartige Schwierigkeiten lassen sich leicht durch die Bearbeitung mit dem Wasserstoffplasma beseitigen. Mit anderen Worten, eine Halbleiterschicht von hoher Reinheit und hoher Qualität ist von sich aus instabil und kann durch die Atmosphäre verunreinigt werden. Jedoch kann eine derartige Schicht von hoher Reinheit und hoher Qualität stabilisiert werden, indem man nach ihrer Bildung ein Wasserstoffplasma einwirken lässt.
  • Nach Bildung der Halbleiterschicht oben auf der unteren Schutzschicht lässt sich die gleiche Wirkung erreichen, indem man die Halbleiterschicht anschließend einem Sauerstoffplasma ohne Unterbrechung des Vakuums aussetzt. Wenn es sich bei der Halbleiterschicht um Silicium handelt oder wenn Silicium den Hauptbestandteil darstellt, bildet das Sauerstoffplasma eine Siliciumoxidschicht auf der Oberfläche der Halbleiterschicht. Diese Oxidschicht ist äußerst stabil. Verglichen mit der Oberfläche der Halbleiterschicht ist ihre Fähigkeit zur Verhinderung der Adsorption von chemischen und physikalischen Verunreinigungen sowie der Diffusion derartiger Verunreinigungen in die Halbleiterschicht hervorragend. Dies bedeutet, dass sie sich zum Schutz der Halbleiterschicht gegen äußere Verunreinigungen als optimal erweist. Da ferner die Oxidation unter Verwendung eines Sauerstoffplasmas stattfindet, in dem der Sauerstoff in einen qualitativ hochwertigen Zustand hoher Reinheit gebracht worden ist, im Gegensatz zum Sauerstoff in der Atmosphäre, ergibt sich eine hohe Reinheit der Oxidschicht selbst. Während der anschließenden Kristallisation ist es wünschenswert, die Oxidschicht zu entfernen. Selbst wenn die Oxidschicht nicht entfernt wird, gibt es praktisch keine Schwierigkeiten mit Verunreinigungen aus der Oxidschicht, die in die Halbleiterschicht eingebaut werden.
  • Idealerweise wird die Schicht nach Abscheidung der Halbleiterschicht unter Verwendung eines PECVD-Reaktors anschließend einem Wasserstoffplasma ausgesetzt, ohne das Vakuum zu unterbrechen. Dadurch wird der Großteil der lockeren Bindungen durch Absättigung mit Wasserstoff inaktiviert. Anschließend und erneut ohne Unterbrechung des Vakuums wird die Halbleiterschicht einem Sauerstoffplasma ausgesetzt. Dieses Sauerstoffplasma sättigt etwaige lockere Bindungen ab, die durch das Wasserstoffplasma nicht abgesättigt wurden, wobei zu erwarten ist, dass es auf der Oberfläche der Halbleiterschicht eine hochreine Siliciumoxidschicht bildet, die die Halbleiterschicht gegen äußere Verunreinigungen schützt. Unter Anwendung dieses Bearbeitungsverfahrens lassen sich nicht nur die Wasserstoffplasma-Wirkung und die Sauerstoffplasma-Wirkung erreichen, sondern die Wirkung der Absättigung von lockeren Bindungen verstärkt sich und die Menge an Sauerstoff, der in die Halbleiterschicht eingebaut wird, lässt sich verringern. Infolgedessen nimmt die Reinheit der Halbleiterschicht nach der Kristallisation stärker als bei Verarbeitung mit einem Sauerstoffplasma allein zu, wodurch ein hochwertigeres Dünnschicht-Halbleiterbauelement gebildet wird.
  • Bei der Stufe der Behandlung mit dem Sauerstoffplasma kommt es, wie vorstehend erwähnt, unabhängig davon, ob das LPCVD- oder das PECVD-Verfahren angewandt wird und selbst dann, wenn die größtmögliche Sorgfalt dafür aufgewandt wird, dass die Halbleiterschicht einen sehr reinen Zustand annimmt, aufgrund des Vorliegens einer Oxidschicht auf der Oberfläche der Halbleiterschicht dazu, dass die Qualität der kristallisierten Schicht abnimmt, wenn während der Kristallisation Sauerstoff in die Halbleiterschicht eingebaut wird. Diese Situation ist im Fall einer Schmelzkristallisation, z. B. durch Laserbestrahlung, besonders schwerwiegend.
  • Eine Halbleiterschicht, die in sorgfältiger Weise unter Anwendung einer Oberflächenbehandlung der unteren Schutzschicht sowie der LPCVD- und PECVD-Verfahren gemäß der Erfindung hergestellt worden ist, muss bei der Kristallisation mit der gleichen Sorgfalt behandelt werden. Mit anderen Worten, wenn eine Halbleiterschicht, die die aktive Schicht eines Dünnschicht-Halbleiterbauelements darstellt, durch Schmelzkristallisation, z. B. durch Laserbestrahlung, gebildet wird, ist es wünschenswert, die Oxidschicht von der Oberfläche der Halbleiterschicht unmittelbar vor der Schmelzkristallisation zu entfernen. Durch diese Vorgehensweise wird es möglich, dass die Menge des Sauerstoffes, die aus der Oxidschicht in die Halbleiterschicht gelangt, auf ein Mindestmaß ver ringert wird, wenn das Schmelzen der Halbleiterschicht erfolgt. Wenn die Menge des in die Halbleiterschicht gelangenden Sauerstoffes verringert wird, steigt nicht nur die Kristallinität der kristallisierten Schicht, sondern es kommt auch zu einer Abnahme der Fehlerdichte und zu einer erheblichen Verbesserung der Transistoreigenschaften.
  • Das Bearbeitungsverfahren, das die Entfernung der Oxidschicht unmittelbar vor der Kristallisation besonders einfach gestaltet, bedient sich eines Fluorwasserstoffsäure-Lösungsmittels. Selbstverständlich kann die Oxidschicht durch ein Gasphasen-Plasmaverfahren, z. B. unter Verwendung eines NF3-Plasmas, entfernt werden. Es ist erwünscht, die Halbleiterschicht unmittelbar nach der Entfernung der Oxidschicht zu kristallisieren. Wenn die Halbleiterschicht innerhalb von 2 Stunden nach Beendigung der Entfernung der Oxidschicht der Schmelzkristallisation unterzogen wird, ist die Menge an Sauerstoff, die in die Halbleiterschicht gelangt, äußerst gering.
  • 2-8. Schmelzkristallisation einer mischkristallinen Halbleiterschicht
  • Das Dünnschicht-Halbleiterbauelement des vorliegenden Verfahrens ist besonders wirksam für obere Gate-poly-Si-TFTs, die während sämtlicher Verfahrensstufen ab der Bildung der Gate-Isolierschicht bei Temperaturen von 350°C oder darunter hergestellt werden. Wenn infolgedessen die Halbleiter-Schichtbildungsstufe bei einer Temperatur von 350°C oder darunter stattfinden kann, werden sämtliche Verfahrensstufen bei 350°C oder darunter durchgeführt. Derzeit beträgt die Dicke von herkömmlichen Glassubstraten für LCDs 1,1 mm. Würde diese Dicke nur 0,7 mm betragen, so wären die Glassubstrate nicht nur billiger, sondern es ergäben sich auch erhebliche Vorteile in Bezug auf die Tragbarkeit und die Herstellung der LCDs aufgrund des leichteren Substrats. Da die Dichte von Glas etwa 2,5 g/cm3 beträgt, ergibt sich beispielsweise ein Gewicht für eine Glasplatte der Abmessungen von 400 mm × 500 mm × 1,1 mm von etwa 550 g. Bei Bearbeitung in Ansätzen von 100 Platten ergäbe sich ein Gewicht von 55 kg, was eine starke Belastung für Produktionseinrichtungen und für Transportroboter darstellen würde. Bei einer Dicke von 0,7 mm würde sich das Gewicht der Charge auf 35 kg verringern, was eine erhebliche Senkung der Belastung darstellt. Deshalb ist eine dünnere Ausgestaltung der Glassubstrate wünschenswert. Eine derartige große, dünne Glasschicht würde sich jedoch unter ihrem eigenen Gewicht selbst bei Raumtemperatur erheblich verbiegen, wie in 4 gezeigt ist. Unabhängig von der zusammen mit dem LPCVD-Verfahren herangezogenen Methode ließe sich eine Halbleiterschicht nicht bilden. Mit anderen Worten, um ein derart großes Stück eines dünnen Glases zu verwenden, müsste die Halbleiterschicht unter Verwendung des PECVD-Verfahrens bei einer Temperatur von 350°C oder darunter gebildet werden. Jedoch kann im allgemeinen aufgrund der geringen Dichte der Schichten und der großen Menge an eingebautem Wasserstoff eine unter Anwendung des PECVD-Verfahrens gebildete Halbleiterschicht nicht kristallisieren, wenn sie nicht zunächst einer Wärmetemperung bei 450°C unterzogen wird.
  • Bei verschiedenen Untersuchungen, die der Erfinder an durch das PECVD-Verfahren hergestellten Halbleiterschichten durchführte, wurde festgestellt, dass bei Anwendung des PECVD-Verfahrens mit einer Abscheidungsgeschwindigkeit von 0,1 nm/s oder mehr eine gemischt kristalline Halbleiterschicht entstand, und dass bei Behandlung dieser gemischt kristallinen Halbleiterschicht mit Laserlicht eine Schmelzkristallisation auch ohne die vorerwähnte Wärmetemperungsstufe möglich war. Obgleich das Vorliegen einer Kristallstruktur in dieser gemischt kristallinen Schicht beispielsweise durch Raman-Spektrometrie kaum beobachtet werden kann, ist es schwierig, die Schicht als polykristallin zu bezeichnen. Ferner ist die Dichte ebenso gering wie bei amorphem Silicium, das durch das herkömmliche PECVD-Verfahren gebildet worden ist, und Wasserstoffatome sind in einem Anteil von gerade unter 20% der Siliciumatome enthalten. Die Einzelheiten, warum eine derartige Schicht in einwandfreier Weise schmelzkristallisiert werden kann, sind nicht bekannt. Es wird aber angenommen, dass möglicherweise die amorphe Region leichter schmilzt als die mikrokristalline Region und dass die Mikrokristalle, die im geschmolzenen, flüssigen Silicium schwimmen, eine Einschränkung der Verdampfung und eine Streuung des geschmolzenen, flüssigen Siliciums bewirken können. Jedoch ist es auch bei einer gemischt kristallinen Halbleiterschicht schwierig, eine derartige Schicht mit einer Abscheidungsgeschwindigkeit von 0,1 nm/s oder darunter einer Schmelzkristallisation zu unterziehen. Wie im Fall von LPCVD, wo die Schichtqualität bei langsameren Abscheidungsgeschwindigkeiten offensichtlich als Folge des erleichterten Einbaus von Verunreinigungen sinkt, scheint auch hier der Einbau von Verunreinigungen während des Schichtwachstums beim PECVD-Verfahren den Hauptfaktor darzustellen, der zu Schwierigkeiten bei der Kristallisation führt. Im Gegensatz zu einem Hintergrund-Vakuumdruck im Bereich von 10–7 Torr bei Verwendung von LPCVD-Reaktoren liegt der Hintergrund-Vakuumdruck bei PECVD-Reaktoren im Bereich von 10–4 Torr. Dies kann der Grund dafür sein, dass sich beim PECVD-Verfahren eine Schichtabscheidung mit hoher Geschwindigkeit beobachten lässt. Wenn ferner die Abscheidungsgeschwindigkeit 0,37 nm pro Sekunde oder mehr betrug, ergab sich eine Verbesserung der Haftung zwischen der Halbleiterschicht und der unteren Schutzschicht. Es kam praktisch nicht mehr zur Bildung von kraterförmigen Löchern und zu Schichtablösungen. Unter Anwendung des PECVD-Verfahrens lässt sich eine gemischt kristalline Siliciumschicht erhalten, indem man das Verhältnis der Fließgeschwindigkeiten von Wasserstoff zu Monosilan auf etwa 30 : 1 einstellt. Alternativ lässt sich eine gemischt kristalline Siliciumschicht erhalten, indem man das Verhältnis der Strömungsgeschwindigkeit von Inertgasen, wie Argon, zur Strömungsgeschwindigkeit der chemischen Spezies, die die Elementbestandteile der Halbleiterschicht enthalten, wie Monosilan, auf weniger als 33 : 1 (Monosilan-Konzentration weniger als etwa 3%) einstellt. Auf der Grundlage von Versuchen des Erfinders lässt sich ein Wasserstoff-Monosilan-System von gemischter Kristallinität auch ohne Wärmetemperung schmelzkristallisieren. Der Bereich der Laserenergie, in dem die Kristallisation gut abläuft, ist jedoch auf einige zehn mJ/cm2 beschränkt. Im Gegensatz dazu kristallisiert ein Argon-Monosilan-System mit einer gemischt kristallinen Siliciumschicht glatt in einem breiteren Bereich der Laserenergie von 100 bis 350 mJ/cm2. Daher eignet sich eine gemischt kristalline Siliciumschicht des Argon-Monosilan-Systems besser als Halbleiterschicht von Niedertempetaturverfahren-poly-Si-TFTs. Das optimale Verhältnis der Strömungsgeschwindigkeiten von Argon zu Monosilan für die Schmelzkristallisation beträgt 124 : 1 (Monosilan-Konzentration etwa 0,8%) bis 40,67 : 1 (Monosilan-Konzentration etwa 2,4%).
  • 2-9. Optimale Schichtdicke für PECVD-kristallisierte Schichten
  • Die optimale Halbleiterschichtdicke für einen poly-Si-TFT (einem erfindungsgemäßen Niedertemperaturverfahren-Dünnschicht-Halbleiterbauelement), der durch Kristallisation nach Bildung der Halbleiterschicht unter Anwendung des PECVD-Verfahrens mit einer Abscheidungstemperatur von 350°C oder darunter hergestellt wurde, wird nachstehend beschrieben. Dabei werden die gemäß der vorstehend erörterten Erfindung hergestellten Niedertemperaturverfahren-Dünnschicht-Halbleiterbauelemente beschrieben. Beim PECVD-Verfahren ergibt sich wie beim LPCVD-Verfahren eine kontinuierliche Schicht, wenn die Schicht eine Dicke von 10 nm oder mehr erreicht. Jedoch beträgt die Dichte der unter Anwendung des PECVD-Verfahrens erhaltenen Halbleiterschicht etwa 85 bis 95% der Schichtdichte, die unter Anwendung des LPCVD-Verfahrens erreicht wird. Wenn daher die 10 nm-Halbleiterschicht des PECVD-Verfahrens kristallisiert wird, fällt die Schichtdicke nach der Kristallisation auf etwa 9 nm. Somit liegt die minimale Schichtdicke einer kristallisierten PECVD-Schicht bei etwa 9 nm. Wie kristallisierte LPCVD-Schichten verbessern sich bei einer Schichtdicke von etwa 18 nm oder mehr allmählich die Transistoreigenschaften der schmelzkristallisierten Schicht. Dies bedeutet, dass bei der PECVD-Schmelzkristallisation bei einer Schichtdicke von 18 nm oder weniger der Dünnschichtabbau überwiegt. Bei 18 nm oder darüber sinkt der Dünnschichtabbau und die Dünnschichtwirkung wird konkurrenzfähig. Dieser Trend setzt sich fort, wenn die Schichtdicke zwischen etwa 18 nm und etwa 72 nm liegt. Die Transistoreigenschaften für Schichten innerhalb dieses Dickenbereiches sind optimal. Wenn die Schichtdicke mehr als 72 nm beträgt, übernimmt die Dünnschichtwirkung die Kontrolle und die Transistoreigenschaften verschlechtern sich allmählich mit zunehmender Schichtdicke. Wenn die Halbleiterschichtdicke 30 nm oder mehr beträgt, ist es möglich, in stabiler Weise hochintegrierte Dünnschicht-Halbleiterbauelemente herzustellen, die eine feine Fertigungsgeometrie erfordern. Mit anderen Worten, mit RIE lassen sich Kontaktlöcher in zuverlässiger Weise öffnen, ohne dass Kontaktfehler auftreten. Wenn die Halbleiterschichtdicke unmittelbar nach der Abscheidung unter Anwendung des PECVD-Verfahrens etwa 80 nm oder weniger beträgt, so lässt sich die gesamte Halbleiterschicht gleichmäßig erwärmen und die Kristallisation kann während der Schmelzkristallisation unter Anwendung eines Lasers oder anderer Maßnahmen glatt ablaufen. Nach der Kristallisation beträgt die Schichtdicke etwa 72 nm. Wenn die Halbleiterschicht unmittelbar nach der Abscheidung eine Dicke von 150 nm oder mehr aufweist, wird nur der obere Bereich der Schicht während der Laser-Bestrahlung von oben geschmolzen und im unteren Bereich der Schicht bleiben amorphe Regionen erhalten. Dies trägt in erheblichem Maße zurr Transistoreigenschaften bei. Mit anderen Worten, die maximale Schichtdicke für das PECVD-Kristallisationsverfahren liegt nach der Kristallisation in der Größenordnung von etwa 135 nm.
  • 2-10. MOS-Grenzfläche, Gate-Isolierschicht und thermische Umgebung
  • Erfindungsgemäß wird die Gate-Isolierschicht unter Anwendung von CVD oder PVD nach Beendigung der Halbleiterschicht-Kristallisation gebildet. Unabhängig davon, welches Verfahren zur Bildung der Gate-Isolierschicht herangezogen wird, ist es wünschenswert, dass die Temperatur bei der Bildung der Isolierschicht 350°C oder weniger beträgt. Der Grund hierfür ist, dass es wichtig ist, den thermischen Abbau der MOS-Grenzfläche und der Gate-Isolierschicht zu verhindern. Das gleiche gilt für sämtliche anschließenden Verfahrensstufen. Sämtliche Verfahrensstufen nach Bildung der Gate-Isolierschicht müssen bei 350°C oder darunter ablaufen. Im allgemeinen weist eine durch CVD oder PVD gebildete Gate-Isolierschicht zahlreiche lockere Bindungen innerhalb der Schicht auf, wobei auch die Struktur der Schicht instabil ist. Erfindungsgemäß werden die lockeren Bindungen durch Behandlung mit einem Sauerstoffplasma abgesättigt. Ferner enthalten CVD-Siliciumoxidschichten SiOH-Gruppen. Lockere Bindungen, die durch derartige Hydroxylgruppen und Sauerstoffplasmas abgesättigt sind, sind in der Wärme instabil und dissoziieren leicht in einer Umgebung von 350°C oder darüber. Dies bedeutet, dass erneut lockere Bindungen, wie Si-O* und Si-* an der MOS-Grenzfläche und in der Gate-Isolierschicht erzeugt werden. Diese werden zu Grenzflächenzuständen oder fixierten Ladungen in der Isolierschicht und bewirken eine Beeinträchtigung der Transistoreigenschaften. Herkömmlicherweise wurde zur Überwindung dieser Schwierigkeit eine Behandlung mit Wasserstoffplasma für 1 Stunde durchgeführt. Da erfindungsgemäß jedoch sämtliche Verfahrensstufen nach Bildung der Halbleiterschicht bei 350°C oder darunter stattfinden, kommt es nicht zu einem derartigen thermischen Abbau. Aus diesem Grund ist eine Hydrierung nicht erforderlich. Erfindungsgemäß lässt sich ein hochwertiges Dünnschicht-Halbleiterbauelement in einfacher und zuverlässiger Weise herstellen. Ferner ist zu erwarten, dass sich der thermische Abbau auch auf die untere Schutzschicht ausdehnt. Wie im Abschnitt (2-1) ausgeführt, führt ein thermischer Abbau der unteren Schutzschicht zu einer Beeinträchtigung der Eigenschaften des Dünnschicht-Halbleiterbauelements. Obgleich diese nicht ebenso empfindlich wie die Gate-Isolierschicht ist, erreicht dieser Einfluss ein nicht vernachlässigbares Ausmaß. Daher wird theoretisch eine Optimierung des Dünnschicht-Halbleiterbauelements erreicht, indem man sämtliche Verfahrensstufen, einschließlich der Abscheidungsstufen der Halbleiterschicht, bei einer Temperatur von 350uk>°C oder darunter durchführt. Bei dieser Vorgehensweise wird ein thermischer Abbau sowohl der unteren Schutzschicht als auch der Gate-loslierschicht vermieden. Zu Verfahren, die bei Temperaturen von 350°C oder darunter zur Bildung von Halbleiterschichten führen können, gehören PECVD und Sputtering.
  • 2-11. Verwendeter VHF-PECVD-Reaktor
  • Zunächst wird 2 zur Beschreibung der allgemeinen Konfiguration des VHF-PECVD-Reaktors (VHF-plasmaverstärkter chemischer Abscheidungsreaktor) herangezogen. Beim PECVD-Reaktor handelt es sich um einen Reaktor vom kapazitiv gekoppelten Typ. Das Plasma wird zwischen parallelen Plattenelektroden unter Verwendung einer 144 MHz-VHF-Stromversorgung erzeugt. Die obere Darstellung in 2 ist eine gesamte perspektivische Ansicht der Reaktionskammer bei Betrachtung von oben. In der unteren Darstellung von 2 ist ein Querschnitt entlang der Linie A-A' dargestellt. Die Reaktionskammer 201 ist nach außen durch das Reaktionsgefäß 202 isoliert, das sich während der Schichtbildung in einem Zustand verminderten Drucks von etwa 5 mTorr bis 5 Torr befindet. Im Innern des Reaktionsgefäßes 202 werden eine untere Plattenelektrode 203 und eine obere Plattenelektrode 204 in paralleler Position zueinander angeordnet. Diese beiden Elektroden bilden die parallelen Plattenelektroden. Der Raum zwischen diesen parallelen Plattenelektroden stellt die Reaktionskammer 201 dar. Erfindungsgemäß werden parallele Plattenelektroden der Abmessungen 410 mm × 510 mm verwendet. Da der Abstand zwischen den Elektroden von 10 mm bis 50 mm variieren kann, variiert das Volumen der Reaktionskammer 201 je nach dem Abstand zwischen den Elektroden von 2091 cm3 bis 10455 cm3. Der Abstand zwischen den parallelen Plattenelektroden kann, wie vorstehend erwähnt, frei von 10 bis 50 mm eingestellt werden, indem man die Position der unteren Elektrode 203 nach oben und unten bewegt. Wenn ein vorgesehener Elektrodenabstand eingestellt ist, beträgt die Abweichung des Elektrodenabstands über die Oberflächen der Plattenelektroden der Abmessungen 410 mm × 510 mm lediglich 0,5 mm. Infolgedessen beträgt die Abweichung der elektrischen Feldstärke, die zwischen den Elektroden auftritt, 5,0% oder weniger über die Oberflächen der Plattenelektroden. Innerhalb der Reaktionskammer 201 wird ein äußerst gleichmäßiges Plasma erzeugt. Ein Substrat 205, auf dem die Dünnschichtabscheidung stattfinden soll, wird oben auf der unteren Elektrodenplatte 203 angeordnet und durch einen Schattenrahmen 206 im Abstand von 2 mm von der Substratkante gehalten. Der Schattenrahmen 206 ist in der oberen Darstellung von 2 weggelassen, um die Gesamtdarstellung des PECVD-Reaktors besser verständlich zu machen. Eine Heizvorrichtung 207 befindet sich innerhalb der unteren Plattenelektrode 203. Die Temperatur der unteren Plattenelektrode 203 kann je nach Bedarf auf 25 bis 400°C eingestellt werden. Mit Ausnahme des Randbereiches von 5 mm liegt die Temperaturverteilung innerhalb der unteren Plattenelektrode 203 im Bereich von ±1,0° relativ zur eingestellten Temperatur. Im wesentlichen kann selbst bei einer Größe des Substrats 205 von 400 mm × 500 mm die Temperaturabweichung innerhalb des Substrats in einem Bereich von 2,0°C gehalten werden. Wenn beispielsweise ein herkömmliches Glassubstrat (z. B. Corning Japan's #7059, Nippon Electric Glass Co., Ltd., OA-2, oder NH-Techno Glass, NA35) als Substrat 205 verwendet wird, hält der Schattenrahmen 206 das Substrat 205 nach unten, um eine konkave Deformation durch Wärme aus der Heizvorrichtung 207 zu verhindern und um die Bildung von unnötigen Dünnschichten an den Kanten und der rückwärtigen Oberfläche des Substrats zu verhindern. Das Reaktionsgas, das aus Quellengasen und gegebenenfalls aus zusätzlichen Gasen gebildet wird, strömt durch das Rohr 208 und wird in die obere Plattenelektrode 204 eingeleitet. Es strömt sodann zwischen Gasdiffusionsplatten 209, die im Innern der Plattenelektrode 204 angeordnet sind, und sodann von der gesamten Oberfläche der oberen Plattenelektrode in die Reaktionskammer 201 mit praktisch gleichwertigem Druck. Wenn die Schichtbildung stattfindet, wird ein Teil des Reaktionsgases beim Verlassen der oberen Plattenelektrode ionisiert und bewirkt die Erzeugung eines Plasmas zwischen den parallelen Plattenelektroden. Ein Teil oder die Gesamtheit des Reaktionsgases nimmt an der Schichtbildung teil. Restliches Reaktionsgas, das an der Schichtbildung nicht teilgenommen hat, und Gase, die als Folge der chemischen Reaktion bei der Schichtbildung entstehen, werden zu Abgasen und durch die Abgasöffnung 210, die sich oben am Umfangsabschnitt des Reaktionsgefäßes 202 befindet, ausgetragen. Die Konduktanz der Entleerungsöffnung 210 ist im Vergleich zur Konduktanz zwischen den parallelen Plattenelektroden ausreichend groß. Der angestrebte Wert beträgt das 100-fache oder mehr der Konduktanz zwischen den parallelen Plattenelektroden. Ferner ist die Konduktanz zwischen den parallelen Plattenelektroden ausreichend größer als die Konduktanz der Gasdiffusionsplatte 209, wobei der angestrebte Wert ebenfalls das 100-fache oder mehr der Konduktanz der Gasdiffusionsplatte 209 beträgt. Durch eine derartige Konfiguration kann Reaktionsgas in die Reaktionskammer in praktisch gleichmäßiger Weise von der gesamten Oberfläche der großen oberen Plattenelektrode der Abmessungen 410 mm × 510 mm strömen. Gleichzeitig wird Abgas in sämtlichen Richtungen aus der Reaktionskammer in einem gleichmäßigen Strom abgegeben. Die Strömungsgeschwindigkeiten der verschiedenen Reaktionsgase zum Rohr 208 werden durch Massendurchfluss-Steuervorrichtungen auf die vorbestimmten Werte eingestellt. Ferner wird der Druck innerhalb des Reaktionsgefäßes 202 durch ein Konduktanzventil 211, das sich am Auslass der Abgabeöffnung befindet, auf den angestrebten Wert eingestellt. Ein Pumpsystem, z. B. eine turbomolekulare Pumpe, ist an der Abgasseite des Konduktanzventils 211 angeordnet. Erfindungsgemäß wird eine ölfreie, magnetische turbomolekulare Pumpe vom Schwebetyp als Teil des Pumpsystems verwendet. Das Hintergrundvakuum in den Reaktionsgefäßen, z. B. in der Reaktionskammer, wird auf ein Niveau von 10–7 Torr eingestellt. In 2 deuten Pfeile die allgemeine Strömung des Gases an. Sowohl das Reaktionsgefäß 202 als auch die untere Plattenelektrode 203 befinden sich auf Erdspannung. Diese Bestandteile und die obere Plattenelektrode 204 sind elektrisch durch ein isolierendes Bindeglied 212 isoliert. Bei Erzeugung eines Plasmas werden beispielsweise 144 MHz-VHF-Wellen, die von einer VHF-Wellen-Oszillationsquelle 213 erzeugt werden, durch den Verstärker 214 verstärkt, durchlaufen die Abgleichschaltung 215 und werden der oberen Plattenelektrode 204 zugeführt.
  • Wie vorstehend erwähnt, handelt es sich aufgrund der Tatsache, dass der verwendete PECVD-Reaktor über eine sehr ausgeklügelte Steuerungseinrichtung des Intraelektrodenabstands verfügt und einen gleichmäßige Gasstrom erzeugt, um einen Reaktor zur Bildung einer Dünnschicht, der zur Handhabung von großen Substraten der Abmessungen 400 mm × 500 mm befähigt ist. Durch bloßes Befolgen dieser grundlegenden Konzepte lassen sich leicht weitere Vergrößerungen des Substrats vornehmen. Tatsächlich ist es möglich, einen Reaktor herzustellen, in dem noch größere Substrate der Abmessungen 550 mm × 650 mm gehandhabt werden können. Ferner wurde erfindungsgemäß eine übliche 144 MHz-VHF-Wellenfrequenz herangezogen. Selbstverständlich können auch VHF-Wellen anderer Frequenzen verwendet werden. Beispielsweise können VHF-Wellen von 100 MHz bis 1 GHz verwendet werden. Andererseits ist es bei einer Hochfrequenz von 10 MHz bis einigen 100 MHz (VHF) möglich, ein Plasma zwischen den parallelen Plattenelektroden zu erzeugen. Daher können Frequenzen, z. B. 27,12 MHz, 40,68 MHz, 54,24 MHz und 67,8 MHz, die ganzzahlige Vielfache der gewerblichen Hochfrequenz (13,56 MHz) darstellen, herangezogen werden. Mit anderen Worten, durch Veränderung des VHF-Wellenoszillators 213, des Verstärkers 214 und der Abgleichschaltung 215 des erfindungsgemäß verwendeten PECVD-Reaktors lässt sich leicht ein Plasma unter Verwendung elektromagnetischer Wellen einer gewünschten Frequenz erzeugen. Wenn im Fall eines elektromagnetischen Wellen-Plasmas die Frequenz zunimmt, steigt im allgemeinen die Elektronentemperatur innerhalb des Plasmas und Radikale lassen sich leicht erzeugen. Daher liegt, wie nachstehend erläutert, auch bei einer niedrigen Substrattemperatur von etwa 340°C die abgeschiedene Schicht bereits unmittelbar nach der Abscheidung in einem polykristallinen Zustand des Siliciums vor. Somit lassen sich poly-Si-TFTs leicht ohne spezielle Verfahrensstufen der Kristallisation herstellen.
  • 2-12. Bildung der Halbleiterschicht und bei Anwendung von VHF-PECVD und Mikrowellen-PECVD verwendete Gase
  • Eine der Eigenschaften des vorliegenden Verfahrens besteht darin, dass eine Schicht, die durch VHF-PECVD oder Mikrowellen-PECVD abgeschieden wird, unmittelbar nach der Abscheidung (Schicht in der abgeschiedenen Form) polykristallin ist. Es ist äußerst schwierig, unter Anwendung eines normalen PECVD-Verfahrens ein Schicht herzustellen, die in der abgeschiedenen Form polykristallin ist. Da die Substrattemperatur weniger als 400°C beträgt, nimmt die Beweglichkeit der Quellengase,zr. B. des Silans, auf der Oberfläche der wachsenden Schicht ab. Die Selektivität des Quellenmaterials für den polykristallinen Zustand geht zugunsten des amorphen Zustands verloren. Das vorliegende Verfahren beseitigt diesen Mangel beim PECVD-Verfahren, indem die Quellenmate rialien unter Verwendung von Edelgaselementen verdünnt werden und indem ein VHF-Plasma oder Mikrowellenplasma, die beide zur Erhöhung der Elektronentemperatur befähigt sind, verwendet wird. Zur Bildung einer Schicht, die in der abgeschiedenen Form polykristallin ist, werden Radikale und Ionen der Edelgaselemente, wie Helium (He), Neon (Ne) und Argon (Ar), erzeugt (ohne dass Radikale und Ionen der Quellenmaterialien gebildet werden) und müssen Energie zur Oberfläche des Substrats transportieren. Da Radikale und Ionen von Quellenmaterialien Dampfphasenreaktionen hervorrufen oder in dem Augenblick, in dem sie an der Substratoberfläche ankommen, reagieren, geht die Selektivität verloren, wodurch ein polykristallines Wachstum vermieden wird. Aus diesen Gründen muss unter allen Umständen die Erzeugung derartiger Radikale und Ionen innerhalb des Plasmas vermieden werden. Die Quellenmaterialien werden in einem inaktiven Zustand zur Oberfläche der wachsenden Schicht transportiert und werden dort adsorbiert. Wenn anschließend Energie für die Umsetzung zugeführt wird, z. B. durch ein verdünntes Gas, entsteht eine Schicht, die im Abscheidungszustand polykristallin ist. Infolgedessen ist eine Verdünnung des Quellengases erwünscht. Dies führt zur Notwendigkeit, als Verdünnungsgas ein Gas zu wählen, das die Umsetzung der Quellenmaterialien an der Substratoberfläche fördert. Es braucht nicht erwähnt zu werden, dass die Edelgaselemente aus Einzelatomen zusammengesetzt sind. Aus diesem Grund ist ihr Ionisationspotenzialspektrum sehr einfach. Beispielsweise beträgt das einwertige Ionisationspotenzial von Helium 24,587 eV, während das zweiwertige Ionisationspotenzial nur 54,416 eV beträgt. Ferner beträgt das einwertige Ionisationspotenzial von Neon 21,564 eV, während das zweiwertige Ionisationspotenzial 40,962 eV beträgt. Das einwertige Ionisationspotenzial von Argon beträgt 15,759 eV, während das zweiwertige Ionisationspotenzial 27,629 eV und das dreiwertige Ionisationspotenzial 40,74 eV betragen. Wenn daher eine geringe Menge von Quellenmaterialien in Helium verdünnt und ein Plasma erzeugt wird, handelt es sich beim Großteil des ionisierten Heliums um einwertige 24,587 eV-Ionen. Wenn eine geringe Menge von Quellenmaterialien in Neon verdünnt und ein Plasma erzeugt wird, wird das Neon hauptsächlich zu einwertigen Ionen mit 21,564 eV ionisiert. Für Argon liegen sowohl hauptsächlich einwertige als auch zweiwertige Ionen vor. Argonradikale und -ionen werden in wirksamer Weise erzeugt, wenn das Quellenmaterial nicht mit einer großen Menge an Argon verdünnt wird, da die Ionisationsenergie relativ nieder ist. Gegensätzlich verhält sich Wasserstoff, der in breitem Umfang gemäß dem Stand der Technik als Verdünnungsgas verwendet wird, bei dem mehr als 10 unterschiedliche Ionisationspotenziale zwischen 15 eV und 18 eV für das Ionisationspotenzial der Wasserstoffmoleküle vorliegen. Daher bilden molekulare Gase, wie Wasserstoff, Plasmen, die ein Energiegemisch enthalten (weißes Licht, wenn auf Licht Bezug genommen wird), während Edelgaselemente, wie Helium, Plasmen mit einem Gehalt an einer oder zwei Energiestufen bilden (Laserlicht, bei Bezug auf Licht). Ebenso wie Laserlicht Energie wesentlich wirksamer als weißes Licht transportiert, wird Energie in wirksamerer Weise zur Substratoberfläche transportiert, wenn das Quellengas mit einem Edelgaselement verdünnt ist. Neben den Edelgaselementen Helium, Neon und Argon können selbstverständlich auch Krypton (Kr) und Xenon (Xe) als Verdünnungsmaterialien während der Abscheidung von Halbleiterschichten verwendet werden. Auf der anderen Seite kann aufgrund der Tatsache, dass VHF- und Mikrowellen-Plasmen hohe durchschnittliche Elektronentemperaturen innerhalb des Plasmen aufweisen, der Wirkungsgrad der Radikalerzeugung bei relativ niedriger Leistung erhöht werden. Mit anderen Worten, da keine hohe Leistung erforderlich ist, können diese Plasmen wenige hochenergetische Ionen erzeugen, so dass die sich ergebende Schichtschädigung auf ein Minimum begrenzt wird.
  • Ferner erhöht ein hoher Wirkungsgrad der Radikalbildung auch die Wachstumsgeschwindigkeit der Schicht. Würde das erfindungsgemäße Verfahren unter Verwendung des 13,56 MHz-Hochfrequenzplasmen, das im Stand der Technik weitgehend eingesetzt wird, durchgeführt werden, so ergäbe sich eine äußerst langsame Schichtbildungsgeschwindigkeit von weniger als einigen Å/min, was sich für die Anwendung als absolut ungeeignet erweist. Außerdem erweist sich eine langsame Schichtbildungsgeschwindigkeit als schädlich, da dadurch die Qualität der Schicht verringert wird. Genau aus diesem Grund werden VHF-Plasmas und Mikrowellen-Plasmas erfindungsgemäß eingesetzt. Somit lässt sich die Erfindung leicht auch unter Anwendung von Mikrowellen-PECVD mit einer Frequenz, die einem ganzen Vielfachen von 2,45 GHz entspricht, durchführen. Mit derartigen Systemen ergibt sich ein noch größerer Freiheitsgrad der Bedingungen der Schichtbildung als bei VHF-PECVD, was bedeutet, dass sich eine Halbleiterschicht mit noch besserer Kristallinität in einfacherer Weise abscheiden lässt.
  • 2-13. Optimale Schichtdicken für direkt nach der Abscheidung erhaltene VHF-PECVD- und Mikrowellen-PECVD-Schichten
  • Eine Schicht im polykristallinen Zustand unmittelbar nach der Abscheidung (in der Abscheidungsform), die unter Anwendung von VHF-PECVD oder Mikrowellen-PECVD hergestellt worden ist, zeigt für Schichtdicken im Bereich von 0 bis 50 nm im Vergleich zu auf normalem Wege kristallisierten Schichten eine äußerst schlechte Schichtqualität. Die Schicht besteht aus kleinen, inselförmigen, kristallinen Körnern in einem Meer aus amorphem Material, weist einen sehr niedrigen Kristallinitätsgrad auf und enthält eine große Anzahl an Defekten. Von 50 bis 100 nm nimmt das Verhältnis von Kristallkörnern zum amorphen Material zu. Von etwa 100 nm bis 150 nm ist die Halbleiteroberfläche im allgemeinen mit Kristallkörnern bedeckt und die amorphen Komponenten an der Oberfläche verschwinden fast vollständig. Von etwa 150 nm bis 200 nm steigt die Größe der Kristallkörner allmählich mit der Schichtdicke an. Bei 200 nm oder darüber wächst die Schicht, während dier Schichtdicke von den Transistoreigenschaften verändert sich ferner in Reaktion auf Veränderungen der Schichtqualität relativ zur Schichtdicke. Bei 200 nm oder darüber ist aufgrund der Tatsache, dass die Schichtqualität praktisch keine Veränderung aufweist (da fast kein Dünnschichtabbau stattfindet), die Dünnschichtwirkung aktiv. Je dünner die Schicht ist, desto besser werden die Transistoreigenschaften. Bei Schichtdicken von 200 nm bis 150 nm wirkt sich allmählich der Dünnschichtabbau aus. Jedoch bleibt wie vorher die Dünnschichtwirkung dominant. Obgleich dieser Effekt bei mehr als 200 nm oder darüber nachlässt, ergeben sich auch hier bessere Transistoreigenschaften je dünner die Schicht ist. Zwischen 150 nm und 20 nm konkurrieren der Dünnschichtabbau und die Dünnschichtwirkung miteinander und die Transistoreigenschaften erreichen im An-Zustand ihre maximalen Werte. Bei einer Schichtdicke von weniger als 20 nm überwiegt der Dünnschichtabbau gegenüber der Dünnschichtwirkung, wobei die Transistoreigenschaften um so schlechter werden, je dünner die Schicht ist. Anders ausgedrückt, im Fall der vorliegenden Erfindung ergeben sich die günstigsten Transistoreigenschaften bei Dicken der Halbleiterschicht von 20 nm bis 150 nm und idealerweise von 40 nm bis 130 nm. Bisher bezog sich die Erörterung auf die Transistoreigenschaften im An-Zustand, jedoch variiert auch der Reststrom in Abhängigkeit von der Schichtdicke. Die Prinzipien des Aus-Zustand-Reststroms im Dünnschicht-Halbleiterbauelement sind nicht klar ersichtlich. Die Prinzipien, die der Erfindung zugrunde liegen sind ungeklärt. Jedoch besteht bei einer Schichtdicke von 100 nm oder mehr eine strenge positive Korrelation zwischen der Schichtdicke und dem Aus-Zustand-Reststrom. Je dicker die Schicht ist, desto größer ist der Aus-Zustand-Reststrom. Bei einer Schichtdicke von weniger als 100 nm schwächt sich die Korrelation ab und wird vom Aus-Zustand-Reststrom unabhängig. Mit anderen Worten, von 0 bis 100 nm liegt der Aus-Zustand-Reststrom beständig bei einem Minimum. Infolgedessen ergeben sich die günstigsten An-Zustand-Transistoreigenschaften, und die Schichtdicken, bei denen der Aus-Zustand-Reststrom am geringsten ist, betragen 20 nm bis 100 nm und idealerweise 40 nm bis 100 nm. Wenn das erfindungsgemäße Dünnschicht-Halbleiterbauelement als LCD verwendet wird, ist es erwünscht, die Einflüsse einer Belichtung auf den Aus-Zustand-Reststrom zu berücksichtigen. Eine Belichtung führt in Dünnschicht-Halbleiterbauelementen zu einer Zunahme des Aus-Zustand-Reststroms. Dieser Strom wird als optischer Reststrom bezeichnet. Ein Zustand mit einem ausreichend geringen optischen Reststrom liegt bei einem hochwertigen Dünnschicht-Halbleiterbauelement vor. Im Fall des erfindungsgemäßen Dünnschicht-Halbleiterbauelements ist der optische Reststrom proportional zur Schichtdicke. Im Hinblick auf eine zuverlässige Herstellung bei gleichzeitiger Berücksichtigung des optischen Reststroms sind Schichtdicken von etwa 10 nm bis 80 nm wünschenswert. In einem Fall, bei dem der Aus-Zustand-Reststrom und der optische Reststrom von Bedeutung sind, z. B. wenn ein Dünnschicht-Halbleiterbauelement für das Pixel-Schaltelement einer LCD verwendet wird, ist es erwünscht, dass eine Halbleiterschichtdicke von 10 nm bis 70 nm vorliegt. Wenn außerdem ein An-Strom noch stärker berücksichtigt werden muss, beträgt die optimale Dicke 20 nm bis 80 nm. Ein System, das sämtliche Bedingungen erfüllt, weist eine Dicke von 40 nm bis 80 nm und idealerweise von 60 nm bis 80 nm auf. Ferner ist es normalerweise recht schwierig, implantierte Ionen in den Source- und Drain-Regionen bei einer niedrigen Temperatur von 350°C oder darunter zu aktivieren, wie dies erfindungsgemäß der Fall ist. Dies ist der Grund dafür, dass zur Durchführung einer stabilen Aktivierung eine Untergrenze für die Halbleiterschichtdicke festgelegt werden muss. Endungsgemäß beträgt der angestrebte Wert 30 nm oder mehr. Bei Verwendung einer LDD-Struktur ist eine Dicke von 50 nm oder mehr erwünscht.
  • 2-14. Kristallisation der Halbleiterschicht durch VHF-PECVD und Mikrowellen-PECVD
  • Wie im Abschnitt (2-12) ausführlich beschrieben, lässt sich unter Anwendung von VHF-PECVD zwar leicht eine Schicht, die im Abscheidungszustand polykristallin ist, erhalten, jedoch ist die Schichtqualität nicht ebenso gut wie bei einer Kristallisationsschicht. Auf der anderen Seite ist es schwierig, eine durch das normale PECVD-Verfahren erhaltene Schicht zu kristallisieren, sofern sie nicht dehydrogeniert oder sorgfältig getempert worden ist. Im Gegensatz dazu lässt sich eine durch VHF-PECVD oder Mikrowellen-PECVD erhaltene Halbleiterschicht sehr leicht unter Anwendung von RTA oder VST-SPC kristallisieren oder beispielsweise durch Laserbestrahlung schmelzkristallisieren. Da der Großteil der Schicht in der Abscheidungsform bereits in kristallinem Zustand vorliegt und der Anteil an restlichen amorphen Komponenten begrenzt ist, lässt sich eine Kristallisation der restlichen amorphen Komponenten leicht unter relativ geringer Energiezufuhr erreichen. Selbst wenn die Schmelzkristallisation unter hohem Energieaufwand abläuft, verhindern die polykristallinen Komponenten die Verdampfung und Streuung der Halbleiteratome. Somit kann die Kristallisation glatt ablaufen, ohne dass es zu einer Schädigung der Halbleiterschicht, einer rauen Beschaffenheit der Oberfläche, einem Materialverlust oder anderen Schwierigkeiten kommt. Letztlich lässt sich fest stellen, dass es anstelle der Situation, bei der eine durch das VHF-PECVD-Verfahren oder das Mikrowellen-PECVD-Verfahren erhaltene Schicht als aktiver Bereich eines Dünnschicht-Halbleiterbauelements im Abscheidungszustand vorliegt, geeigneter ist, dass eine derartige Schicht als erste Halbleiterschicht vorliegt, wenn Niedertemperatur-poly-Si-TFTs unter Anwendung von Schmelzkristallisation hergestellt werden, wobei die höchste Temperatur der Verfahrensstufe 350°C oder weniger beträgt. Anders ausgedrückt, es lässt sich ein hochwertiges Dünnschicht-Halbleiterbauelement herstellen, indem man eine Halbleiterschicht oben auf einer Isoliersubstanz unter Anwendung des VHF-PECVD-Verfahrens oder des Mikrowellen-PECVD-Verfahrens ausbildet und anschließend diese Schicht durch Festphasenkristallisation, wie RTA oder VST-SPC kristallisiert oder sie durch Schmelzkristallisation, z. B. unter Laserbestrahlung, kristallisiert, wobei man die anschließenden Verfahrensstufen bei 350°C oder darunter durchführt.
  • Durch VHF-PECVD oder durch Mikrowellen-PECVD abgeschiedene Schichten liegen bezüglich ihrer Qualität näher bei durch LPCVD abgeschiedenen Schichten als bei durch PECVD abgeschiedenen Schichten. Daher wird die Beziehung zwischen den Transistoreigenschaften und der Halbleiterschichtdicke, die sich bei Herstellung des Dünnschicht-Halbleiterbauelements durch Kristallisation ergibt, gleichwertig mit der Beziehung eines Dünnschicht-Halbleiterbauelements, das durch das LPCVD-Verfahren hergestellt worden ist. Im Gegensatz zu Halbleiterschichten, die durch das LPCVD-Verfahren hergestellt worden sind, die vor und nach der Kristallisation fast keine Verringerung der Schichtdicke zeigen, lässt sich jedoch bei VHF-PECVD- und Mikrowellen-PECVD-Schichten eine leichte Verringerung feststellen. Daher gelten bei Kristallisation derartiger Schichten unter Bildung der Dünnschicht-Halbleiterbauelemente die Erörterungen im Abschnitt (2-6), indem man berücksichtigt, dass die Halbleiterschichtdicke nach der Kristallisation gleich groß sein soll wie die Schichtdicke einer Schicht, die durch das LPCVD-Kristallisationsverfahren erhalten worden ist.
  • Wie vorstehend erörtert, lassen sich erfindungsgemäß hochwertige Halbleiterschichten, die aus polykristallinen Siliciumschichten und anderen Bestandteilen bestehen, leicht bei niederen Temperaturen von weniger als etwa 450°C und bei 430°C oder darunter bilden. Somit werden erfindungsgemäß die Eigenschaften von Dünnschicht-Halbleiterbauelementen erheblich verbessert und eine zuverlässige Massenproduktion wird ermöglicht. Speziell lassen sich die nachstehend beschriebenen Wirkungen erreichen.
  • Wirkung 1: Da die Bearbeitungstemperaturen unter etwa 450°C liegen, kann kostengünstiges Glas verwendet werden, so dass es möglich ist, den Preis der Produkte zu senken. Da es zusätzlich möglich ist, Verwerfungen des Glases unter seinem eigenen Gewicht zu verhindern, lässt sich leicht die Größe von Flüssigkristallanzeigen (LCDs) erhöhen.
  • Wirkung 2: Da die Bearbeitungstemperaturen etwa 350°C oder weniger betragen, kommt es zu keinem thermischen Abbau der unteren Isolierschicht oder der Gate-Isolierschicht. Ferner ist es möglich, in einfacher Weise hochwertige Dünnschicht-Halbleiterbauelemente mit außerordentlicher Zuverlässigkeit herzustellen.
  • Wirkung 3: Auf dem gesamten Substrat kann in gleichmäßiger Weise eine Laserbestrahlung vorgenommen werden. Daraus ergibt sich, dass die Gleichmäßigkeit jeder Charge verbessert wird und eine zuverlässige Herstellung ermöglicht wird.
  • Wirkung 4: Die Bildung von selbstausgerichteten TFTs, bei denen die Gate-Elektrode mit Source und Drain durch Ionendotierung ausgerichtet ist, und eine anschließende Niedertemperaturaktivierung bei etwa 300 bis 350°C werden in bemerkenswerter Weise vereinfacht. Infolgedessen wird es möglich, in zuverlässiger Weise Verunreinigungsionen zu aktivieren. Zusätzlich wird es möglich, in einfacher und zuverlässiger Weise geringfügig dotierte Drain-TFTs (LDD) herzustellen. Da LDD-TFTs durch Niedertemperaturverfahren-poly-Si-TFTs realisiert werden, wird es möglich, die TFT-Elementgröße sowie Aus-Restströme zu vermindern.
  • Wirkung 5: Gemäß dem Stand der Technik zeigten nur Niedertemperatur-poly-Si-TFTs mit SiO2, die durch ECR-PECVD hergestellt worden waren, gute Transistoreigenschaften. Unter Anwendung der vorliegenden Erfindung wird es möglich, herkömmliche PECVD-Reaktoren zu verwenden. Infolgedessen lässt sich eine praxisgerechte Herstellungseinrichtung für Gate-Isolierschichten bereitstellen, die für große Substrate anwendbar ist und sich für die Massenproduktion eignet.
  • Wirkung 6: Dünnschicht-Halbleiterbauelemente werden erhalten, die im Vergleich zum Stand der Technik höhere An-Ströme und niedrigere Aus-Ströme aufweisen. Zusätzlich wird die Ungleichmäßigkeit dieser Werte verringert.
  • Wirkung 7: Bei Verwendung von kostengünstigem, herkömmlichem Glas wird es möglich, untere Schutzschichten zu bilden, die in wirksamer Weise den Einbau von Verunreinigungen aus dem Substrat in die Halbleiterschicht verhindern und gleichzeitig als untere Schutzschicht für Dünnschicht-Halbleiterbauelemente, die optimale elektrische Eigenschaften zeigen, wirken. Ferner werden die Verschlechterung der elektrischen Eigenschaften der Dünnschicht-Halbleiterbauelemente infolge von Spannungen der unteren Schutzschicht sowie die Rissbildung in Dünnschicht-Halbleiterbauelementen vermieden.
  • Wirkung 8: Der Einbau von Elementbestandteilen, wie Fluor (F) und Kohlenstoff (C) aus Reinigungsdämpfen in die Halbleiterschichten (wenn derartige Schichten durch PECVD gebildet werden) wird verhindert. Infolgedessen kann der Anteil an Verunreinigungen in den Substraten immer auf einem Minimum gehalten werden und es wird in zuverlässiger Weise möglich, hervorragende Dünnschicht-Halbleiterbauelemente herzustellen.
  • Wirkung 9: Selbst bei Abscheidung von Halbleiterschichten durch LPCVD bei niederen Temperaturen von weniger als etwa 450°C wird es möglich, gleichzeitig eine gleichmäßige Beschaffenheit (sowohl innerhalb eines einzelnen Substrats als auch unter verschiedenen Substraten) und eine angemessene Abscheidungsgeschwindigkeit zu erreichen. Daher ist es möglich, zunehmende Substratgrößen zu verarbeiten. Ferner wird die Massenproduktion von großflächigen LCDs möglich.
  • Wirkung 10: Es sind drei Arten der Variation der elektrischen Eigenschaften von Dünnschicht-Halbleiterbauelementen bekannt: Variation innerhalb eines einzelnen Substrats; Variation unter Substraten innerhalb der gleichen Charge; und Variation von Charge zu Charge. Erfindungsgemäß lassen sich alle drei Typen von Variationen kontrollieren. Die Variation unter Chargen, die durch PECVD bearbeitet worden sind, wurde in besonders deutlicher Weise verbessert.
  • Wirkung 11: Selbst bei Züchtung der Halbleiterschicht durch PECVD lässt sich eine gute Haftung zwischen der Halbleiterschicht und der unteren Schutzschicht erreichen. Mit anderen Worten, Schwierigkeiten, wie die Bildung von zahlreichen kraterförmigen Löchern der Halbleiterschicht und ein Ablösen der Schichten, werden vermieden.
  • Wirkung 12: Selbst ohne spezielle Kristallisationsbearbeitung lassen sich poly-Si-TFTs in zuverlässiger Weise auf großflächigen Substraten durch eine Niedertemperaturbearbeitung bei etwa 350°C oder darunter herstellen.
  • Kurze Erläuterung der Figuren
  • 1(a)(d) zeigen Bauelement-Querschnittansichten für jede Stufe der Herstellung eines Dünnschicht-Halbleiterbauelements zur Erläuterung eines praktischen Beispiels des vorliegenden Verfahrens.
  • 2 zeigt den im erfindungsgemäßen Verfahren verwendeten PECVD-Reaktor.
  • 3 zeigt die Abscheidungskammer und das Innere der Abscheidungskammer des im erfindungsgemäßen Verfahren verwendeten LPCVD-Reaktors.
  • 4 erläutert Substratverwerfungen, die sich in einer thermischen Umgebung ergeben.
  • 5 erläutert die Ergebnisse des erfindungsgemäßen Verfahrens.
  • Beste Systeme zur Ausführung der Erfindung
  • Nachstehend wird die Erfindung unter Bezugnahme auf die beigefügten Figuren näher erläutert.
  • Beispiel 1
  • Die 1(a) bis (d) zeigen Querschnittansichten des Herstellungsverfahrens eines Dünnschicht-MIS-Feldeffekttransistors.
  • In Beispiel 1 wurde eine Platte aus Nichtalkaliglas (OA2, Produkt der Fa. Nippon Electric Glass Co., Ltd.) der Abmessungen 235 mm × 235 mm als Substrat 101 verwendet, wobei aber der Typ und die Größe des Substrats unmaßgeblich sind, sondern vielmehr beliebige Substrate, die die maximale Bearbeitungstemperatur aushalten, verwendet werden können. Zunächst wird eine Siliciumdioxid schicht (SiO2-Schicht) 102, die als untere Schutzschicht dient, auf dem Substrat 101 durch chemische Abscheidung aus der Dampfphase unter atmosphärischem Druck (APCVD), PECVD, Sputtering oder andere Maßnahmen aufgebracht. Beim APCVD-Verfahren kann die SiO2-Schicht unter Verwendung von Monosilan (SiH4) und Sauerstoff als Quellengasen bei einer Substrattemperatur von 250 bis 450°C aufgebracht werden. Beim PECVD- und Sputtering-Verfahren kann die Substrattemperatur im Bereich von Raumtemperatur bis 400°C liegen. In Beispiel 1 wurde eine SiO2-Schicht mit einer Dicke von 2000 Å bei 300°C durch das APCVD-Verfahren unter Verwendung von SiH4 und O2 als Quellengasen abgeschieden.
  • Anschließend wurde eine eigenleitende Siliciumschicht, die später zur aktiven Schicht des Dünnschicht-Halbleiterbauelements wurde, in einer Dicke von etwa 500 Å abgeschieden. Die eigenleitende Siliciumschicht wurde innerhalb von 58 Minuten bei einer Temperatur von 425°C mit einem Hochvakuum-LPCVD-Reaktor mit einem 200 sccm-Strom von Disilan (Si2H6) als Quellengas abgeschieden. Der in Beispiel 1 verwendete LPCVD-Reaktor wies ein Fassungsvermögen von 184,51 Liter auf. In die auf 250°C gehaltene Reaktionskammer wurden 17 Substrate mit der Schichtseite nach unten eingeführt. Nach dem Einführen der Substrate wurde die turbomolekulare Pumpe angestellt. Nachdem die Pumpe einen stationären Zustand erreicht hatte, wurde ein 2-minütiger Leckagetest durchgeführt. Die Leckagegeschwindigkeit von austretenden Gasen und anderen Quellen betrug zu diesem Zeitpunkt 3,1 × 10–5 Torr/min. Die Einsetztemperatur von 250°C wurde sodann innerhalb von 1 Stunde auf eine Abscheidungstemperatur von 425°C erhöht. In den ersten 10 Minuten nach Beginn des Erwärmungsvorgangs wurde kein Gas in die Reaktionskammer eingeleitet. Die Erwärmung wurde unter Vakuum durchgeführt. Der letztendliche Hintergrunddruck in der Reaktionskammer erreichte 10 Minuten nach Beginn des Erwärmungsvorgangs einen Wert von 5,2 × 10–7 Torr. Während der restlichen 50 Minuten der Erwärmungsperiode wurde Stickstoffgas mit einer Reinheit von mindestens 99,9999% kontinuierlich mit einer Geschwindigkeit von 300 sccm eingeleitet. Der Gleichgewichtsdruck in der Reaktionskammer betrug zu diesem Zeitpunkt 3,0 × 10–3 Torr. Nach Erreichen der Abscheidungstemperatur wurden die Quellengase, nämlich Si2H6 und reines Helium (He) (Reinheit 99,9999%) zur Verdünnung mit Strömungsgeschwindigkeiten von 200 sccm bzw. 1000 sccm eingeleitet. Die Siliciumschicht wurde innerhalb von 58 Minuten abgeschieden. Der Druck unmittelbar nach Einleiten von Si2H6 und anderen Gasen in die Reaktionskammer betrug 767 mTorr. 57 Minuten nach Einleiten dieser Quellengase betrug der Druck 951 mTorr. Die auf diese Weise erhaltenen Siliciumschichten wiesen eine Dicke von 501 Å auf, ausgenommen der 7 mm-Randbereich des Substrats. Die Schichtdicke variierte im quadratischen Bereich von 221 mm × 221 mm um weniger als ±5 Å. In Beispiel 1 wurde die Siliciumschicht, wie angegeben, durch LPCVD gebildet, wobei man sich aber auch des PECVD- und des Sputtering-Verfahrens bedienen kann. Bei den PECVD- und Sputtering-Verfahren kann die Abscheidungstemperatur der Siliciumschicht auf einen beliebigen Wert zwischen Raumtemperatur und etwa 350°C eingestellt werden.
  • Bei den auf diese Weise erhaltenen Siliciumschichten handelte es sich um hochreine a-Si-Schichten. Anschließend wurde diese a-Si-Schicht durch kurzzeitige Bestrahlung mit optischer Energie oder elektromagnetischer Energie kristallisiert, wobei eine Umwandlung in polykristallines Silicium (poly-Si) erfolgte. In Beispiel 1 wurde die a-Si-Schicht unter Verwendung eines Xenonchlorid (XeCl)-Exzimer-Lasers (Wellenlänge 308 nm) bestrahlt. Die Laserpulsbreite bei voller Breite und halbmaxi maler Intensität betrug 45 ns. Da die Bestrahlungszeit äußerst kurz war, wurde das Substrat bei Kristallisation des a-Si unter Bildung von poly-Si nicht erwärmt. Somit trat keine Substratdeformation auf. Die Laserbestrahlung wurde in Luft durchgeführt, wobei das Substrat auf Raumtemperatur (25°C) gehalten wurde. Eine quadratische Fläche der Abmessungen 8 mm × 8 mm wurde während jeder Laserbestrahlung bestrahlt. Die Bestrahlungsfläche wurde nach jeder Bestrahlung um 4 mm verschoben. Zunächst wurde ein Abtastvorgang in horizontaler Richtung (Y-Richtung) durchgeführt. Anschließend wurde das Substrat 4 mm in vertikaler Richtung (X-Richtung) verschoben. Sodann wurde es weitere 4 mm in horizontaler Richtung verschoben, wobei es erneut abgetastet wurde. Anschließend wurden diese Abtastvorgänge wiederholt, bis die gesamte Oberfläche des Substrats der ersten Laserbestrahlung unterzogen worden war. Die Energiedichte bei der ersten Laserbestrahlung betrug 160 mJ/cm2. Nach Beendigung der ersten Laserbestrahlung wurde eine zweite Laserbestrahlung auf der gesamten Oberfläche mit einer Energiedichte von 275 mJ/cm2 durchgeführt. Das Abtastverfahren für die zweite Bestrahlung war identisch mit dem Verfahren für die erste Laserbestrahlung. Der Abtastvorgang wurde durchgeführt, wobei die quadratische Bestrahlungsfläche der Abmessungen 8 mm × 8 mm in Schritten von 4 mm in der Y- und X-Richtung verschoben wurde. Diese zweistufige Laserbestrahlung ergibt eine gleichmäßige Kristallisation des a-Si unter Bildung von poly-Si über das gesamte Substrat hinweg. Obgleich ein XeCl-Exzimerlaser in Beispiel 1 als optische Energie oder elektromagnetische Energie verwendet wurde, können auch andere Energiequellen verwendet werden, vorausgesetzt, dass die Zeitspanne für die Bestrahlung mit Energie weniger als einige 10 Sekunden beträgt. Beispielsweise kann die Bestrahlung auch unter Verwendung eines ArF-Exzimerlasers, eines XeF-Exzimerlasers, eines KrF-Exzimerlasers, eines YAG-Lasers, eines Kohlendioxid-Gaslasers, eines Ar-Lasers, eines Farbstofflasers oder anderer Laser, sowie mit einer Bogenlampe, einer Wolframlampe oder einer anderen Lichtquelle durchgeführt werden. Bei Verwendung einer Bogenlampe zur Bestrahlung der a-Si-Schicht zur Umwandlung in poly-Si werden eine Ausgangsleistung von etwa 1 kW/cm2 oder mehr und eine Bestrahlungszeit von etwa 45 Sekunden angewandt. Selbst zum Zeitpunkt dieser Kristallisation ist die Zeitspanne der Bestrahlung mit Energie kurz, so dass Schwierigkeiten, wie eine Deformation und Rissbildungen, die durch ein Erwärmen des Substrats hervorgerufen werden, nicht auftreten. Anschließend wurde die Siliciumschicht bemustert und eine Kanalregion-Halbleiterschicht 103, die später zur aktiven Transistorschicht wird, wurde erzeugt (1(a)).
  • Anschließend wurde die Gate-Isolierschicht 104 durch ECR-PECVD, PECVD oder ein anderes Abscheidungsverfahren gebildet. In Beispiel 1 wurde eine SiO2-Schicht als Gate-Isolierschicht verwendet und durch PECVD in einer Dicke von 1200 Å abgeschieden (1(b)). Unmittelbar vor dem Einsetzen des Substrats in den PECVD-Reaktor wurde das Substrat 20 Sekunden in eine 1,67%ige, verdünnte Fluorwasserstoffsäurelösung getaucht, um die native Oxidschicht von der Oberfläche der Halbleiterschicht zu entfernen. Eine Pause von etwa 15 Minuten von der Entfernung der Oxidschicht bis zum Einsetzen des Substrats in die Beladungskammer des PECVD-Reaktors wurde eingehalten. Diese Pause soll so kurz wie möglich sein, um die Sauberkeit der MOS-Grenzfläche aufrechtzuerhalten. Eine Pause von höchstens etwa 30 Minuten ist erwünscht. Beim PECVD-Verfahren wurden Monosilan (SiH4) und Distickstoffoxid (N2O) als Quellengase zur Bildung der Gate-Isolierschicht bei einer Substrattemperatur von 300°C verwendet. Ein 900 W-Hochfrequenzplasma mit 13,56 MHz wurde bei einem Druck von 1,5 Torr erzeugt. Die SiH4-Strömungsge schwindigkeit betrug 250 sccm und die N2O-Strömungsgeschwindigkeit betrug 7000 sccm. Die SiO2-Abscheidungsgeschwindigkeit betrug 48,3 Å/s. Unmittelbar vor und nach der Bildung der SiO2-Schicht unter diesen Bedingungen wurden die Siliciumschicht und die abgeschiedene Oxidschicht einem Sauerstoffplasma ausgesetzt, um die MOS-Grenzfläche und die Oxidschicht zu verbessern. Während Monosilan und Distickstoffoxid in Beispiel 1 als Quellengase verwendet wurden, können auch ein organisches Silan, wie TEOS (Si-(O-CH2-CH3)4) und ein oxidierendes Gas, wie Sauerstoff, verwendet werden. Obgleich ferner ein PECVD-Reaktor für allgemeine Zwecke verwendet wurde, kann natürlicherweise auch das ECR-PECVD-Verfahren zur Bildung der Isolierschicht herangezogen werden. Unabhängig vom Typ des CVD-Reaktors oder der verwendeten Quellengase ist es wünschenswert, dass die Bildung der Isolierschicht bei einer Temperatur von 350°C oder weniger erfolgt. Dies ist wichtig, um einen thermischen Abbau der MOS-Grenzfläche und der Gate-Isolierschicht zu verhindern. Entsprechendes gilt für sämtliche nachstehend beschriebenen Vorgänge. Sämtliche Verfahrenstemperaturen nach Abscheidung der Gate-Isolierschicht müssen auf 350°C oder darunter gehalten werden. Eine Kontrolle der Verfahrenstemperatur auf diese Weise ermöglicht die einfache und zuverlässige Herstellung von hochwertigen Dünnschicht-Halbleiterbauelementen.
  • Anschließend wird eine Dünnschicht, die später zur Elektrode 105 wird, durch Sputtering, Bedampfen oder CVD abgeschieden. In Beispiel 1 wurde Tantal (Ta) als Material der Gate-Elektrode ausgewählt und durch Sputtering in einer Dicke von 5000 Å abgeschieden. Die Substrattemperatur während des Sputtering-Vorgangs betrug 180°C. Argon (Ar) mit einem Gehalt an 6,7% Stickstoff (N2) wurde als Sputtering-Gas verwendet. Die optimale Stickstoffkonzentration im Argon beträgt 5,0 bis 8,5%. Die unter diesen Bedingungen erhaltene Tantalschicht besteht zum großen Teil aus α-Ta mit einem spezifischen Widerstand von 40 μΩcm. Somit betrug der spezifische Flächenwiderstand der Gate-Elektrode in Beispiel 1 0,8 μΩ/Quadrat.
  • Die Musterbildung wird nach Abscheidung der Dünnchicht, die zur Gate-Elektrode wird, durchgeführt. Anschließend wurden Verunreinigungsionen 106, z. B. Phosphor, unter Verwendung einer nicht-massentrennenden Ionendotiervorrichtung vom Eimertyp implantiert, um Source- und Drain-Regionen 107 und eine Kanalregion 108 in der eigenleitenden Siliciumschicht zu bilden (1(c)). In Beispiel 1 bestand das Ziel in der Herstellung von NMOS-TFTs, so dass die Implantation bis zu 5 × 1015 l/cm2 unter Verwendung von mit Wasserstoff verdünntem, 5%igem Phosphin (PH3) als Quellengas mit einer Hochfrequenzleistung von 38 W und einer Beschleunigungsspannung von 80 kV durchgeführt wurde. Ein geeigneter Wert von etwa 20 W bis 150 W wurde für die Hochfrequenzleistung herangezogen. Während der PMOS-TFT-Herstellung wurde eine Implantation bis etwa 5 × 1015 l/cm2 unter Verwendung eines mit Wasserstoff verdünnten, 5%igen Diboran (B2H6)-Quellengases, einer Hochfrequenzleistung von 20 W bis 150 W und einer Beschleunigungsspannung von 60 kV durchgeführt. Bei der Herstellung von CMOS-TFTs werden die NMOS und PMOS abwechselnd mit einer Maske aus einem geeigneten Material, z. B. einem Polyimidharz, abgedeckt und Ionen werden jeweils unter Anwendung des vorstehend beschriebenen Verfahrens implantiert.
  • Anschließend wurde die Zwischenisolierschicht 109 in einer Dicke von 5000 Å abgeschieden. In Beispiel 1 wurde die Zwischenisolierschicht durch PECVD unter Verwendung von SiO2 gebildet. Beim PECVD-Verfahren wurde die Zwischenisolierschicht bei einer Substrattemperatur von 300°C unter Verwendung von TEOS (Si-(O-CH2-CH3)4) und Sauerstoff (O2) als Quellengase gebildet. Ein 800 W-Hochfrequenzplasma mit 13,56 MHz wurde bei einem Druck von 8,0 Torr erzeugt. Die TEOS-Strömungsgeschwindigkeit betrug 200 sccm und die O2-Strömungsgeschwindigkeit betrug 8000 sccm. Die Abscheidungsgeschwindigkeit für die SiO2-Schicht betrug zu diesem Zeitpunkt 120 Å/s. Anschließend wurden Ionen implantiert und die Zwischenisolierschicht wurde gebildet. Eine thermische Temperbehandlung wurde 1 Stunde bei 300°C in einer Sauerstoffatmosphäre durchgeführt, um eine Aktivierung von implantierten Ionen und eine Verdichtung der Zwischenisolierschicht zu erreichen. Die erwünschte Temperatur dieser thermischen Temperbehandlung liegt zwischen 300 und 350°C. Kontaktlöcher werden nach Durchführung der thermischen Temperbehandlung geöffnet. Source- und Drain-Elektroden 110 werden durch Sputtering oder andere Maßnahmen gebildet, um das Dünnschicht-Halbleiterbauelement fertig zu stellen (1(d)). Indiumzinnoxid (ITO) und Aluminium (Al) und dergl. werden als Source- und Drain-Elektroden verwendet. Die Substrattemperatur während des Sputtering-Vorgangs dieser Leiter liegt etwa im Bereich von 100 bis 250°C.
  • Die Transistoreigenschaften der auf diese Weise für experimentelle Zwecke hergestellten Dünnschicht-Halbleiterbauelemente wurden gemessen. Es wurde festgestellt, dass IAN = (23,3 + 1,73, –1,51) × 10–6 A im Vertrauensbereich von 95% lag. Dabei ist der An-Strom, IAN, als Source-Drain-Strom Ids definiert, wenn die Transistoren mit einer Source-Drain-Spannung von Vds = 4 V und einer Gate-Spannung von Vgs = 10 V angeschaltet werden. Der Aus-Strom beim Ausschalten des Transistors mit Vds = 4 V und Vgs = 0 V betrug IAUS = (1,16 + 0,38, –0,29) × 10–12 A. Diese Messungen wurden bei einer Temperatur von 25°C für Transistoren mit einer Kanallänge L = 10 μm und einer Breite W = 10 μm durchgeführt. Die wirksame Elektronenbeweglichkeit (J. Levinson et al., J. Appl. Phys., Bd. 53 (1982), S. 1193), die im Sättigungsstrombereich festgestellt wurde, betrug μ = 50,92 ± 3,26 cm2/v.sec. Herkömmliche Niedertemperaturverfahren-poly-Si-TFTs weisen folgende Werte auf: IAN = (18,7 + 2,24, –2,09) × 10–6 A und IAUS = (4,85 + 3,88, –3,27) × 10–12 A. Wie vorstehend beschrieben, werden erfindungsgemäß erstmals mittels eines Niedertemperaturverfahrens, bei dem eine maximale Bearbeitungstemperatur von 425°C oder darunter für nicht mehr als einige Stunden aufrechterhalten wird, äußerst hochwertige und gleichmäßige Dünnschicht-Halbleiterbauelemente erhalten, die eine hohe Mobilität, eine geringe Variation und Ids-Werte aufweisen, die sich bei einer Gate-Spannungsänderung von 10 V um 7 Größenordnungen oder mehr verändern. Wie vorstehend ausgeführt, stellte die Gleichmäßigkeit der Laserkristallisation sowohl innerhalb eines einzelnen Substrats als auch von Charge zu Charge ein schwerwiegendes Problem dar. Erfindungsgemäß werden jedoch Variationen des An-Stroms und des Aus-Stroms stark verringert. Die Verbesserungen gegenüber den herkömmlichen Techniken in Bezug auf die Gleichmäßigkeit des Aus-Stroms sind besonders ausgeprägt. Wenn erfindungsgemäß hergestellte Dünnschicht-Halbleiterbauelemente für LCDs eingesetzt werden, lässt sich ein gleichmäßiges, hochwertiges Bild über den gesamten LCD-Schirm erhalten. Außerdem bedeutet eine verbesserte Gleichmäßigkeit, dass die ursprüngliche Siliciumschicht in Bezug auf Variationen der Laserquelle stabil ist. Somit werden erfindungsgemäß auch erhebliche Verbesserungen in Bezug auf Variationen unter den Produktionschargen erreicht. Wie vorstehend beschrieben, wird erfindungsgemäß eine äußerst zuverlässige Kristallisation von Silicium unter Verwendung von energetischer Strahlung, z. B. Laserstrahlung, erreicht. Vom Erfinder durchgeführte Tests bestätigten, dass bei Bildung der anfänglichen Siliciumschicht bei einer niederen Temperatur von weniger als 450°C und einer Abscheidungsgeschwindigkeit der Siliciumschicht von mehr als etwa 2 Å/min die Siliciumschicht in Bezug auf Variationen des Lasers stabil war und dass außerdem die Dünnschicht-Halbleiterbauelemente hochwertige Transistoreigenschaften aufweisen, selbst wenn eine nicht durch ECR-PECVD gebildete SiO2-Schicht als Gate-Isolierschicht verwendet wird. Ferner sind nach diesen Verfahren erhaltene poly-Si-Schichten auch in Bezug auf eine durch Ionendotierung gebildete, leicht dotierte Drain-Struktur (LDD-Struktur) stabil, wie nachstehend ausgeführt wird, und lassen sich leicht aktivieren. Dies steht indirekt im Zusammenhang mit der Tatsache, dass a-Si-Schichten, die unter diesen Bedingungen gebildet werden, eine perfekt amorphe Beschaffenheit ohne mikrokristalline Körner aufweisen und dass die Komponenten, die die a-Si-Schicht bilden, aus großen Regionen gebildet sind. Da die a-Si-Schicht keine Mikrokristallite enthält, schreitet die Kristallisation, die mit der energetischen Bestrahlung einhergeht, gleichmäßig innerhalb des bestrahlten Bereiches fort. Da die a-Si-Schicht aus großen Bereichen zusammengesetzt ist, ist gleichzeitig die Größe der nach der Kristallisation erhaltenen Körner hoch und es lassen sich hochwertige elektrische Eigenschaften erzielen. Mit anderen Worten, ideale a-Si-Schichten lassen sich erhalten, indem man die Abscheidungsbedingungen für die anfängliche a-Si-Schicht optimiert. Ferner lassen sich gleichmäßige, hochwertige poly-Si-Schichten erhalten, indem man diese anfängliche a-Si-Schicht kristallisiert. Durch herkömmliche Technik gebildete amorphe Siliciumschichten sind mit den vorstehend beschriebenen Problemen behaftet, da der Qualität der a-Si-Schicht keine Beachtung geschenkt wurde. Beispielsweise betrug die Abscheidungstemperatur beim LPCVD-Verfahren etwa 550°C und die Substrattemperatur beim PECVD-Verfahren lag in der Nähe von 400°C. Ein noch wichtigerer Punkt der vorliegenden Erfindung besteht darin, dass die Verfahrenstemperatur nach der Bildung der poly-Si-Schicht auf 350°C oder darunter gehalten wird. Durch Steuerung der Temperatur auf diese Weise lassen sich die Qualität der MOS-Grenzfläche und der Isolierschicht stabilisieren. Diesbezüglich eignet sich die Erfindung, wie in 1 dargestellt, insbesondere für Top-Gate-TFTs. Im Fall von Bottom-Gate-TFTs wird die Siliciumschicht nach Bildung der Gate-Isolierschicht abgeschieden und ferner später einer Kristallisation durch Laserbestrahlung oder andere Maßnahmen unterworfen. Daher werden notwendigerweise die MOS-Grenzfläche und die Gate-Isolierschicht, wenn auch nur kurz, einer Umgebung von hoher Temperatur von nahezu 1000°C ausgesetzt. Diese thermische Umgebung raut nicht nur die MOS-Grenzfläche auf, sondern verändert auch die chemische Zusammensetzung und chemische Bindungen der Isolierschicht in der Nähe der MOS-Grenzfläche, was zu einer Beeinträchtigung von Transistoreigenschaften und zum Auslösen von Schwierigkeiten mit einer erhöhten Ungleichmäßigkeit führt.
  • Beispiel 2
  • Nachstehend werden weitere Ausführungsformen des erfindungsgemäßen Verfahrens unter Bezugnahme auf die 1(a) bis (d) erläutert.
  • In Beispiel 2 wurden Platten aus Nichtalkaliglas (OA-2, Produkt der Fa. Nippon Electric Glass Co., Ltd.) der Abmessungen 300 mm × 300 mm und aus kristallisiertem Glas (TRC-5, Produkt der Fa. Ohara) der Abmessungen 300 mm × 300 mm als Substrat 101 verwendet. Der Spannungspunkt von OA-2 beträgt etwa 650°C. Auf der anderen Seite stellt TRC-5 ein kristallisiertes Glas dar, so dass der Spannungspunkt nicht definiert werden kann. Da bis zu einer Temperatur von etwa 700°C absolut keine Substratdeformationen oder Verwerfungen festgestellt werden können, lässt sich jedoch feststellen, dass für die Praxis der Spannungspunkt von TRC-5 über etwa 700°C liegt. Zunächst wurde die Siliciumoxidschicht 102, die zur unteren Schutzschicht wird, durch PECVD auf dem Substrat 101 abgeschieden. Die Siliciumoxidschicht wurde unter den gleichen Bedingungen wie die Gate-Isolierschicht in Beispiel 1 abgeschieden. Die Dicke der Siliciumoxidschicht betrug 300 nm. Die durchschnittliche Mittellinien-Oberflächenrauigkeit betrug 0,98 nm. Wie bei der Gate-Isolierschicht in Beispiel 1 wurde die Oberfläche der unteren Schutzschicht unmittelbar vor und nach der Abscheidung der Oxidschicht 15 Sekunden einem Sauerstoffplasma ausgesetzt.
  • Eine eigenleitende Siliciumschicht, die später zur aktiven Schicht des Dünnschicht-Halbleiterbauelements wird, wurde sodann in einer Dicke von etwa 500 Å abgeschieden. Wie in Beispiel 1 wurde die eigenleitende Siliciumschicht mit dem im Abschnitt (2-3) beschriebenen Hochvakuum-LPCVD-Reaktor abgeschieden. Das Quellengas, Disilan (Si2H6), wurde mit einer Strömungsgeschwindigkeit von 400 sccm eingeleitet. Die Abscheidung wurde bei einer Temperatur von 425°C und einem Druck von 320 mTorr durchgeführt. Die Abscheidungsgeschwindigkeit betrug 1,30 nm/min. 35 OA-2-Substrate und 35 TRC-5-Substrate wurden in die Abscheidungskammer, die auf 250°C gehalten wurde, gebracht. Die einzelnen OA-2-Substrate wurden paarweise Rücken an Rücken mit einem TRC-5-Substrat aufgestellt. Die TRC-5-Substrate wurden mit der Schichtseite nach unten und die OA-2-Substrate wurden über den TRC-5-Substraten mit der Schichtseite nach oben angeordnet. Zwischen Substratpaaren bestand ein Abstand von 10 mm. Der Flächenbereich in der Abscheidungskammer, auf dem die Halbleiterschicht abgeschieden wurde, betrug 88262 cm2. Die Disilan-Strömungsgeschwindigkeit pro Flächeneinheit betrug 4,53 × 10–3 sccm/cm2. Nachdem die Substrate in die Kammer gebracht worden waren, wurde die Kammer 1 Stunde von der Einsetztemperatur von 250°C auf die Abscheidungstemperatur von 425°C erwärmt. Nach Erreichen eines thermischen Gleichgewichts bei 425°C wurde die Siliciumschicht innerhalb von 40 Minuten abgeschieden. Der Druck während der Schichtabscheidung wurde mittels einer Drucksteuereinrichtung des LPCVD-Reaktors auf 320 mTorr gehalten. Die Dicke der auf diese Weise abgeschiedenen Siliciumschichten betrug 52,4 nm. Anschließend wurden diese a-Si-Schichten kurzzeitig einer optischen Energie oder elektromagnetischen Energie ausgesetzt, um das a-Si einer Schmelzkristallisation zu unterwerfen und es in Polysilicium (poly-Si) umzuwandeln. Ein Xenonchlorid (XeCl)-Exzimerlaser (Wellenlänge 308 nm) wurde auch in Beispiel 2 verwendet. Die Substrate wurden unmittelbar vor der Laserbestrahlung 20 Sekunden in eine Lösung von 1,67%iger Fluorwasserstoffsäure getaucht, um die native Oxidschicht von der Oberfläche der Halbleiterschicht zu entfernen. Nach dem Entfernen der Oxidschicht wurde vor der Laserbestrahlung eine Pause von etwa 20 Minuten eingehalten. Nach Kristallisation der Halbleiterschicht wurden poly-Si-TFTs durch das Niedertemperaturverfahren unter Anwendung genau des gleichen Verfahrens wie in Beispiel 1 hergestellt.
  • Die Transistoreigenschaften der auf diese Weise hergestellten Dünnschicht-Bauelemente wurden gemessen. Es wurde ein An-Strom von IAN= (41,9 + 2,60, –2,25) × 10–6 A bei einem Vertrauensbereich von 95% festgestellt. Der Aus-Strom betrug IAUS = (6,44 + 2,11, –1,16) × 10–13 A. Die Messbedingungen waren die gleichen wie in Beispiel 1. Die wirksame Elektronenmobilität betrug μ = 90,13 ± 4,61 cm2/v.sec. Es ließen sich nach einem einfachen Verfahren äußerst hochwertige Dünnschicht-Halbleiterbauelemente herstellen.
  • Beispiel 3
  • Nach Bildung der poly-Si-Schicht unter Anwendung des in Beispiel 1 ausführlich beschriebenen Verfahrens wurde eine SiO2-Schicht entsprechend der Gate-Isolierschicht gemäß den ausführlichen Angaben in Beispiel 1 ohne Musterbildung dieser poly-Si-Schicht abgeschieden. Verunreinigungsionen, wie PH3, wurden in die poly-Si-Schicht durch Ionendotierung implantiert (Einzelheiten hierzu wurden in Beispiel 1 erläutert). Die Dicken der poly-Si-Schicht und der SiO2-Schicht und die Bedingungen, unter denen diese Schichten abgeschieden wurden, entsprachen genau den Angaben in Beispiel 1. Die Bedingungen der Implantation von Verunreinigungsionen waren ebenfalls die gleichen wie in Beispiel 1, mit der Ausnahme, dass die Implantationsdosis 3 × 1013 cm–2 betrug. Beispiel 3 entspricht der Bildung der LDD-Region in TFTs, die in Beispiel 1 erläutert wurde. Nach Implantation von Phosphorionen wurde eine 1-stündige thermische Temperung bei 300°C in Sauerstoff ebenso wie in Beispiel 1 durchgeführt. Anschließend wurde die Isolierschicht abgestreift und der Flächenwiderstand der poly-Si-Schicht vom n-Typ, die Phosphorionen enthielt, wurde gemessen. Der Flächenwiderstand eines quadratischen Bereiches von 221 mm × 221 mm unter Ausnahme des 7 mm-Randbereiches des Substrats betrug (14 ± 2,6) kΩ/"square" bei einem Vertrauensbereich von 95%. Gemäß dem Stand der Technik (vergl. S. 437 von SSDM '93 (Solid State Devices and Materials 1993) war eine Aktivierung ohne ein zusätzliches spezielles Verfahren, z. B. eine Wasserstoffimplantation, nicht möglich. Außerdem ergab sich zu diesem Zeitpunkt ein hoher Flächenwiderstand von 50 kΩ oder mehr, wobei die Variation 10 kΩ oder mehr betrug. Im Gegensatz dazu ist erfindungsgemäß in einfacher Weise die Bildung einer LDD-Region von geringem Widerstand durch Ionendotierung möglich. Dabei ist es möglich, eine Variation des Widerstands von nicht mehr als einem Viertel des früheren Werts zu erreichen.
  • Beispiel 4
  • In Beispiel 4 wurden die untere Schutzschicht und die Halbleiterschicht nacheinander durch PECVD unter Anwendung von 13,56 MHz-Hochfrequenzwellen gebildet. Anschließend wurde eine Kristallisation durchgeführt, um ein Dünnschicht-Halbleiterbauelement herzustellen.
  • Beim Substrat 101 handelte es sich um eine Platte aus Nichtalkaliglas der Abmessungen 360 mm × 465 mm × 0,7 mm. Vor dem Einsetzen des Glassubstrats in den PECVD-Reaktor wird die Dünnschicht, die in der Abscheidungskammer während der Abscheidung der Dünnschicht auf dem vorhergehenden Substrat gebildet worden ist, entfernt. Mit anderen Worten, die Abscheidungskammer wird 15 Sekunden gereinigt. Folgende Reinigungsbedingungen wurden eingehalten: Hochfrequenzleistung 1600 W (0,8 W/cm2), Elektroden-Trennabstand 40 mm, NF3-Strömungsgeschwindigkeit 3200 sccm, Argon-Strömungsgeschwindigkeit 800 sccm und Druck 1,0 Torr. Anschließend wird nach einer Evakuierungszeit von 15 Sekunden eine Siliciumnitridschicht, die als Passivierungsschicht dient, 15 Sekunden in der Abscheidungskammer abgeschieden. Folgende Abscheidungsbedingungen wurden eingehalten: Hochfrequenzleistung 300 W (0,15 W/cm2), Elektroden-Trennabstand 40 mm, Druck 1,2 Torr, Stickstoff-Strömungsgeschwindigkeit 3500 sccm, Ammoniak-Strömungsgeschwindigkeit 500 sccm und Monosilan-Strömungsgeschwindigkeit 100 sccm. Nach einer Evakuierungszeit von 15 Sekunden wird das Substrat in die Abscheidungskammer gelegt. Es dauert etwa 10 Sekunden, um die Substrate, die in der Beladungskammer aufgestellt sind, in der Abscheidungskammer zu positionieren. Eine Stabilisierungszeit von 30 Sekunden wird eingehalten, bevor die anschließende untere Schutzschicht abgeschieden wird. Sämtliche Verfahrensparameter für die Stabilisierungsperiode sind identisch mit den Abscheidungsbedingungen für die untere Schutzschicht, mit der Ausnahme, dass kein Plasma erzeugt wird. Die Temperatur der unteren Plattenelektrode, von der unteren Schutzschicht zur abgeschiedenen Halbleiterschicht, beträgt 360°C. Die Temperatur der Substratoberfläche beträgt etwa 340°C. Nach Ablaufen der Stabilisierungsperiode wird die untere Schutzschicht abgeschieden. Die untere Schutzschicht besteht aus einer abgeschiedenen Siliciumnitridschicht und einer Siliciumoxidschicht. Zunächst wird die Siliciumnitridschicht innerhalb von 30 Sekunden bei einer Hochfrequenzleistung von 800 W, einem Elektrodentrennabstand von 25 mm, einem Druck von 1,2 Torr, einer Stickstoff-Strömungsgeschwindigkeit von 3500 sccm, einer Ammoniak-Strömungsgeschwindigkeit von 500 sccm und einer Monosilan-Strömungsgeschwindigkeit von 100 sccm abgeschieden. Anschließend wird die Siliciumoxidschicht 30 Sekunden bei einer Hochfrequenzleistung von 900 W, einem Elektrodentrennabstand von 25 mm, einem Druck von 1,5 Torr, einer Monosilan-Strömungsgeschwindigkeit von 250 sccm und einer N2O-Strömungsgeschwindigkeit von 7000 sccm abgeschieden. Die Nitridschicht und die Oxidschicht weisen jeweils eine Dicke von etwa 150 nm auf. Sie bilden die untere Schutzschicht mit einer Dicke von etwa 300 nm. Nach der Bildung wird die Oxidschicht 20 Sekunden einem Sauerstoffplasma ausgesetzt. Das Sauerstoffplasma wurde bei einer Hochfrequenzleistung von 900 W (0,45 W/cm2), einem Elektrodentrennabstand von 12 mm, einem Druck von 0,65 Torr und einer Sauerstoff-Strömungsgeschwindigkeit von 3000 sccm bestrahlt. Nach einer Evakuierungszeit von 15 Sekunden wird die Oxidschicht 20 Sekunden einem Wasserstoffplasma ausgesetzt. Folgende Bedingungen des Wasserstoffplasmas wurden eingehalten: Hochfrequenzleistung 100 W (0,05 W/cm2), Elektrodentrennabstand 25 mm, Druck 0,5 Torr und Wasserstoff-Strömungsgeschwindigkeit 1400 sccm. Nach Beendigung der Wasserstoffplasma-Bestrahlung wird die Halbleiterschicht innerhalb von 60 Sekunden abgeschieden. Folgende Abscheidungsbedingungen wurden eingehalten: Hochfrequenzleistung 600 W (0,3 W/cm2), Elektrodentrennabstand 35 mm, Druck 1,5 Torr, Argon-Strömungsgeschwindigkeit 14 SLM und Monosilan-Strömungsgeschwindigkeit 200 sccm. Dadurch wird eine amorphe Siliciumschicht von etwa 50 nm Dicke abgeschieden. Nach der Abscheidung der Halbleiterschicht wird 15 Sekunden ein Vakuum angelegt. Die amorphe Siliciumschicht wird 20 Sekunden einem Wasserstoffplasma ausgesetzt. Das Wasserstoffplasma wird unter den gleichen Bedingungen wie das Wasserstoffplasma, das vor der Abscheidung der Halbleiterschicht erzeugt wurde, erzeugt, Anschließend wird 15 Sekunden ein Vakuum angelegt. Die amorphe Siliciumschicht wird 20 Sekunden einem Sauerstoffplasma ausgesetzt. Die Bedingungen, unter denen das Sauerstoffplasma erzeugt wird, sind die gleichen, unter denen das Sauerstoffplasma nach Bildung der unteren Schutzschicht erzeugt wurde, mit der Ausnahme, dass der Abstand zwischen den Elektroden 45 mm betrug. Schließlich wird 15 Sekunden ein Vakuum angelegt. Die Substrate werden innerhalb von etwa 10 Sekunden aus der Abscheidungskammer entnommen. Bei diesem Verfahren beträgt die Taktzeit 6 Minuten und 10 Sekunden, was es ermöglicht, nacheinander die untere Schutzschicht und die Halbleiterschicht abzuscheiden. Anschließend wurden nach dem gleichen Verfahren wie in Beispiel 2 Dünnschicht-Halbleiterbauelemente hergestellt.
  • Die Transistoreigenschaften der auf diese Weise für experimentelle Zwecke hergestellten Dünnschicht-Halbleiter wurden gemessen. Es wurde ein An-Strom IAN = (19,6 + 1,54, –1,49) × 10–6 A im Vertrauensbereich von 95% und ein Aus-Strom IAUS = (7,23 + 2,76, –2,72) × 10–13 A gemessen. Die effektive Elektronenbeweglichkeit betrug μ = 36,83 ± 2,35 cm2/v.sec. Die Messbedingungen entsprechen den in Beispiel 1 beschriebenen Bedingungen.
  • Beispiel 5
  • Nachstehend werden ein Niedertemperaturverfahren (350°C oder darunter) zur Abscheidung (unter Verwendung des im Abschnitt (2-11) erläuterten PECVD-Reaktors) von kristallinen Halbleiterschichten, die keiner Kristallisation durch Laserbestrahlung oder andere Bestrahlungsmaßnahmen bedürfen; das Verfahren zur Herstellung von Dünnschicht-Halbleiterbauelementen unter Einsatz dieses Verfahrens; und die Eigenschaften dieser Schichten ausführlich beschrieben. Die Substrate werden nach dem im Abschnitt (2-1) beschriebenen Verfahren hergestellt. Obgleich die im Abschnitt (2-2) beschriebenen Halbleiterschichten und Quellengase durchweg anwendbar sind, wird hier eine Siliciumschicht als Beispiel erörtert und Monosilan (SiH4) als Quellengas verwendet.
  • In Beispiel 5 wurde als Substrat 101 eine Platte aus Nichtalkaliglas (OA-2, Produkt der Fa. Nippon Electric Glass Co., Ltd.) der Abmessungen 360 mm × 465 mm × 1,1 mm verwendet. Die SiO2-Schicht der unteren Schutzschicht wurde durch APCVD unter Verwendung von SiH4 und O2 als Quellengase in einer Dicke von 2000 Å aufgebracht. Die Substrattemperatur betrug 300°C.
  • Anschließend wurde eine etwa 750 Å dicke eigenleitende Siliciumschicht, die später zur aktiven Schicht des Dünnschicht-Halbleiterbauelements wurde, abgeschieden. Die eigenleitende Siliciumschicht wurde mittels eines VHS-PECVD-Reaktors (vorstehend beschrieben im Abschnitt (2-11)) bei einer Strömungsgeschwindigkeit von Monosilan (SiH4) als Quellengas von 50 sccm und einer Strömungsgeschwindigkeit von Argon (Ar) (ein Edelgaselement) als Verdünnungsgas von 4800 sccm abgeschieden. Während der Abscheidung der eigenleitenden Siliciumschicht wurden folgende Bedingungen eingehalten: VHS-Welleneistung 715 W, Druck in der Reaktorkammer 0,8 Torr, Abstand zwischen den parallelen Plattenelektroden 35,0 mm, Temperatur der unteren Plattenelektrode 400°C und Oberflächentemperatur des Substrats 340°C. Bei der auf diese Weise erhaltenen Halbleiterschicht handelt es sich um eine Siliciumschicht von hoher Reinheit, die unmittelbar nach der Abscheidung (in der Abscheidungsform) polykristallin ist. Der Kristallinitätsgrad wurde unter Anwendung von spektroskopischer Mehrfachwellenlängen-Ellipsometrie gemessen. Es wurde ein Wert von 78% festgestellt. Wenn der durch spektroskopische Ellipsometrie festgestellte Kristallinitätsgrad weniger als 30% beträgt, wird üblicherweise die Siliciumschicht als amorph angesehen. Bei einem Wert von 70% oder mehr wird die Schicht als polykristallin angesehen. Bei einem Wert von 30 bis 70% wird ein Mischzustand angenommen. Daher wies die Schicht im Abscheidungszustand eine klar polykristalline Beschaffenheit auf. Tatsächlich wurde eine scharfe Raman-Verschiebung durch Raman-Spektroskopie im Wellenzahlbereich in der Nähe von 520 cm–1 festgestellt, was einen kristallinen Zustand anzeigt. Ferner wurde durch Röntgenbeugung festgestellt, dass die Kristalle eine relativ stark bevorzugte Orientierung in der {220}-Richtung aufweisen.
  • Anschließend wurde die Siliciumschicht bemustert und eine Kanalbereich-Halbleiterschicht 103, die zur aktiven Schicht des Transistors wird, wurde gebildet (1(a)). Unter Anwendung genau des gleichen Verfahrens, wie es ausführlich in Beispiel 1 zur Herstellung des Dünnschicht-Halbleiterbauelements beschrieben wurde, wurden die Gate-Isolierschicht (1(b)), die Gate-Elektrode, die Source- und Drain-Regionen und der Kanal durch Ionenimplantation (1(c)) und die Zwischenisolierschicht gebildet, die implantierten Ionen aktiviert und die Zwischenisolierschicht durch thermisches Tempern verdichtet, die Kontaktlöcher geöffnet, Source- und Drain-Elektroden gebildet und das Dünnschicht-Halbleiterbauelement fertiggestellt (1(d)). In Beispiel 5 betrug somit die maximale Bearbeitungstemperatur nach der ersten Verfahrensstufe, d. h. der Bildung der Halbleiterschicht, 300°C. Die Verfahrenstemperatur zur Bildung der Gate-Isolierschicht und die Temperatur des thermischen Temperungsvorgangs für die Aktivierung von implantierten Ionen und die Verdichtung der Zwischenisolierschicht darf einen Maximalwert von 350°C nicht übersteigen. Mit anderen Worten, wie im Abschnitt (2-10) ausgeführt, darf zur gleichmäßigen und zuverlässigen Herstellung von hervorragenden Dünnschicht-Halbleiterbauelementen über große Flächen hinweg die maximale Verfahrenstemperatur nach der ersten Verfahrensstufe, d. h. der Bildung der Halbleiterschicht, den Wert von 350°C nicht übersteigen.
  • Die Transistoreigenschaften der auf diese Weise für experimentelle Zwecke hergestellten Dünnschicht-Halbleiterbauelemente wurden gemessen. Es wurde ein IAN-Wert = (1,22 + 0,11, –0,10) × 10–6 A bei einem Vertrauensbereich von 95% festgestellt. Dabei ist der An-Strom, IAN, definiert als der Source-Drain-Strom Ids, wenn Transistoren mit einer Source-Drain-Spannung von Vds = 4 V und einer Gate-Spannung Vgs = 10 V angeschaltet sind. Der Aus-Strom bei ausgeschaltetem Transistor mit Vds = 4 V und Vgs = 0 V betrug IAUS = (1,18 + 0,35, –0,30) × 10–13 A. Die Messungen wurden bei einer Temperatur von 25°C für Transistoren mit einer Kanallänge L = 10 μm und einer Breite W = 10 μm vorgenommen. Die effektive Elektronenbeweglichkeit (J. Levinson et al., J. Appl. Phys., Bd. 53 (1982), S. 1193), die aus dem Sättigungsstrombereich festgestellt wurde, betrug μ = 3,41 ± 0,22 cm2/v.sec.
  • Die in Beispiel 5 erreichte maximale Verfahrenstemperatur war der Wert von 400°C an der unteren Plattenelektrode während der Abscheidung der Halbleiterschicht mittels eines VHS-PECVD-Reaktors. Die Oberflächentemperatur des Substrats betrug zu diesem Zeitpunkt 340°C. Wie in diesem Beispiel dargelegt, wurden poly-Si-TFTs, bei denen es sich um eine Art von kristallinen Dünnschicht-Halbleiterbauelementen handelt, in erfolgreicher Weise bei äußerst niedrigen Verfahrenstemperaturen unter Anwendung eines einfachen Herstellungsverfahrens, bei dem keine Kristallisation durch Laserbestrahlung oder andere Maßnahmen erforderlich sind, hergestellt. Während die Werte für den An-Strom und die Beweglichkeit weit entfernt von den Werten von Beispiel 1 sind, bei denen eine Laserbestrahlung verwendet wurde, sind sie 4-mal bis fast 10-mal höher als die Werte für a-Si-TFTs, die nach herkömmlichen Verfahren mit einer maximalen Verfahrenstemperatur von 400°C hergestellt wurden. Ferner wurden in Beispiel 5 Source- und Drain-Regionen durch Ionenimplantation unter Verwendung der Gate-Elektrode als Maske gebildet. Da außerdem die implantierten Ionen bei niedrigen Temperaturen von 300 bis 350°C aktiviert wurden, diffundieren implantierte Ionen von den Source- und Drain-Regionen im wesentlichen überhaupt nicht in die Kanalregion. Somit wird die Überlappung von Gate-Elektroden und Source- und Drain- Regionen durch horizontale Projektionsabweichung während der Ionenimplantation bestimmt. Der Abweichungswert beträgt nicht mehr als einige 100 Å. Mit anderen Worten, die Ränder der Gate-Elektrode und die Source- und Drain-Ränder passen äußerst genau in einer sogenannten selbstausgerichteten Struktur zusammen. Aus diesem Grund ist die parasitäre Kapazitanz zwischen Source und Gate und zwischen Drain und Gate im Vergleich zu a-Si-TFTs äußerst gering. Wenn die erfindungsgemäßen Dünnschicht-Halbleiterbauelemente als Pixel-Schaltelemente für eine Aktivmatrix-Flüssigkristall-Anzeigevorrichtung (LCD) verwendet werden, lassen sich aufgrund dieser beiden Tatsachen hochdefinierte LCDs (LCD mit einer großen Anzahl an Bildelementen), helle LCDs (LCDs mit einem hohen Aperturverhältnis, wobei Speicherkondensatoren verringert oder beseitigt sind) und hochintegrierte LCDs (LCDs mit einer großen Anzahl an Bildelementen pro Flächeneinheit) (Vorrichtungen, die bisher unter Verwendung von herkömmlichen a-Si-TFTs nicht möglich waren) in einfacher Weise erhalten.
  • Beispiel 6
  • Nachstehend werden ausführlich ein Niedertemperaturverfahren mit einer Maximaltemperatur von etwa 350°C (unter Verwendung eines Mikrowellen-PECVD-Reaktors zur Abscheidung von kristallinen Halbleiterschichten, die keiner Kristallisation durch Laserbestrahlung oder andere Maßnahmen bedürfen), ein Verfahren zur Herstellung von Dünnschicht-Halbleiterbauelementen unter Anwendung dieses Verfahrens und die Eigenschaften dieser Dünnschicht-Halbleiterbauelemente beschrieben. Das Substrat wird gemäß dem im Abschnitt (2-1) beschriebenen Verfahren hergestellt. Die Halbleiterschicht und die Quellengase, die im Abschnitt (2-2) beschrieben wurden, können durchweg eingesetzt werden. Hier werden aber zu Erläuterungszwecken eine Siliciumschicht und Monosilan (SiH4) als Quellengas verwendet.
  • In Beispiel 6 wurde eine Platte aus Nichtalkaliglas (OA-2, Produkt der Fa. Nippon Electric Glass Co., Ltd.) der Abmessungen 300 mm × 300 mm × 1,1 mm als Substrat 101 verwendet. Die untere Schutzschicht und die Halbleiterschicht wurden nacheinander bei einer Substrattemperatur von 100°C mittels eines ECR-PECVD-Reaktors (einem Typ von Mikrowellen-PECVD-Reaktor) abgeschieden. Die verwendeten Mikrowellen wiesen eine Frequenz von 2,45 GHz auf. Die Siliciumoxidschicht, bei der es sich um die untere Schutzschicht handelte, wurde unter Verwendung von SiH4 und O2 als Quellengase in einer Dicke von 200 nm abgeschieden. Die untere Schutzschicht wurde unter Anwendung einer Sauerstoff-Strömungsgeschwindigkeit von 100 sccm, einer Silan-Strömungsgeschwindigkeit von 60 sccm, einer Mikrowellenleistung von 2250 W, einem Druck in der Reaktorkammer von 2,35 mTorr und einer Abscheidungsgeschwindigkeit von 8,0 nm/s abgeschieden. Nach Bildung der Siliciumoxidschicht wurde der Silanstrom in die Abscheidungskammer abgestellt und die Siliciumoxidschicht wurde 10 Sekunden einem Sauerstoffplasma ausgesetzt. Der Druck während der Bestrahlung mit dem Sauerstoffplasma betrug 1,85 mTorr. Nach einer Evakuierungszeit von 10 Sekunden wurde die untere Schutzschicht einem Sauerstoffplasma unter Anwendung einer Wasserstoff-Strömungsgeschwindigkeit von 100 sccm, einer Mikrowellenleistung von 2000 W und einem Druck der Reaktionskammer von 1,97 mTorr ausgesetzt. Anschließend wurde ohne Unterbrechung des Vakuums eine eigenleitende Siliciumschicht, die später zur aktiven Schicht des Dünnschicht-Halbleiterbauelements wurde, in einer Dicke von 75 nm abgeschieden. Die eigen leitende Siliciumschicht wurde durch Einleiten von SiH4 als Quellengas mit einer Geschwindigkeit von 25 sccm und von Argon (Ar) (Element der Edelgasfamilie) als Verdünnungsgas mit einer Geschwindigkeit von 825 sccm abgeschieden. Folgende Schichtabscheidungsbedingungen wurden eingehalten: Mikrowellenleistung 2250 W, Druck in der Reaktionskammer 13,0 mTorr und Abscheidungsgeschwindigkeit 2,5 nm/s. Nach der Abscheidung wurde die Halbleiterschicht erneut nacheinander einem Wasserstoffplasma und einem Sauerstoffplasma ausgesetzt, um die Oberflächenschicht der Halbleiterschicht zu schützen und lockere Bindungen in der Halbleiterschicht abzusättigen. Die Bedingungen, unter denen das Wasserstoffplasma und das Sauerstoffplasma erzeugt wurden, waren identisch mit den Bedingungen für die Bildung der unteren Schutzschicht. Bei der auf diese Weise erhaltenen Halbleiterschicht handelte es sich um eine hochreine Siliciumschicht, die im Zustand unmittelbar nach der Abscheidung (Abscheidungszustand) polykristallin war. Der Kristallinitätsgrad wurde durch spektroskopische Mehrfachwellenlängen-Ellipsometrie gemessen. Es wurde ein Wert von 85% bestimmt.
  • Anschließend wurde diese Siliciumschicht bemustert und die Kanalregion-Halbleiterschicht 103, die zur aktiven Schicht des Transistors wurde, wurde gebildet (1(a)). Unter Anwendung genau des gleichen Verfahrens, wie es ausführlich in Beispiel 1 zur Herstellung des Dünnschicht Halbleiterbauelements beschrieben wurde, wurden die Gate-Isolierschicht (1(b)), die Gate-Elektrode, die Source- und Drain-Regionen und der Kanal durch Ionenimplantation (1(c)) und die Zwischenisolierschicht gebildet, die implantierten Ionen aktiviert und die Zwischenisolierschicht durch thermisches Tempern verdichtet, die Kontaktlöcher geöffnet, Source- und Drain-Elektroden gebildet und anschließend das Dünnschicht-Halbleiterbauelement fertiggestellt (1(d)). In Beispiel 6 betrug somit die maximale Bearbeitungstemperatur während sämtlicher Verarbeitungsstufen 300°C.
  • Die Transistoreigenschaften der auf diese Weise für experimentelle Zwecke hergestellten Dünnschicht-Halbleiterbauelemente wurden gemessen. Es wurden bei einem Vertrauensbereich von 95 ein An-Strom IAN = (1,71 + 0,13, –0,12) × 10–6 A und ein Aus-Strom IAUS = (1,07 + 0,33, –0,28) × 10–13 A gefunden. Die effektive Elektronenbeweglichkeit betrug μ = 4,68 ± 0,20 cm2/v.sec. Die Messbedingungen waren die gleichen wie in Beispiel 1. Erfindungsgemäß lassen sich durch ein Verfahren, bei dem sämtliche Verfahrenstemperaturen 300°C oder weniger betragen, und ohne Einführung einer speziellen Kristallisationsstufe poly-Si-TFTs herstellen.
  • Beispiel 7
  • In diesem Beispiel wurde eine durch VHS-PECVD erhaltene Halbleiterschicht einer Laserbestrahlung ausgesetzt, um eine Schmelzkristallisation zu erreichen und ein Dünnschicht-Halbleiterbauelement zu erzeugen. Das Herstellungsverfahren war das gleiche wie in Beispiel 5, mit der Ausnahme, dass der Vorgang der Laserbestrahlung unmittelbar nach Abscheidung der Halbleiterschicht zugefügt wurde. Das Verfahren der Laserbestrahlung war das gleiche wie in Beispiel 1, wobei die Energiedichte bei der ersten Laserbestrahlung auf 130 mJ/cm2 und die Energiedichte bei der zweiten Laserbestrahlung auf 240 mJ/cm2 abgeändert wurden.
  • Die Transistoreigenschaften der auf diese Weise für experimentelle Zwecke hergestellten Dünn schicht-Halbleiterbauelemente wurden gemessen. Bei einem Vertrauensbereich von 95% wurden ein An-Strom IAN = (22,4 + 1,70, –1,55) × 10–6 A und ein Aus-Strom IAUS = (1,27 + 0,30, –0,26) × 10–12 A gefunden. Die effektive Elektronenbeweglichkeit betrug μ = 47,95 ± 3,13 cm2/v.sec. Die Messbedingungen waren die gleichen wie in Beispiel 1.
  • Beispiel 8
  • In diesem Beispiel wurde eine durch Mikrowellen-PECVD erhaltene Halbleiterschicht einer Laserbestrahlung ausgesetzt, um eine Schmelzkristallisation zu erreichen und ein Dünnschicht-Halbleiterbauelement zu erzeugen. Das Herstellungsverfahren war das gleiche wie in Beispiel 6, mit der Ausnahme, dass unmittelbar nach Abscheidung der Halbleiterschicht ein Bestrahlungsvorgang zugefügt wurde. Das Verfahren der Laserbestrahlung war das gleiche wie in Beispiel 1, wobei die Energiedichte bei der ersten Laserbelichtung auf 150 mJ/cm2 und die Energiedichte bei der zweiten Laserbestrahlung auf 270 mJ/cm2 abgeändert wurden.
  • Die Transistoreigenschaften der auf diese Weise für experimentelle Zwecke hergestellten Dünnschicht-Halbleiterbauelemente wurden gemessen. Bei einem Vertrauensbereich von 95% wurden ein An-Strom IAN = (39,8 + 2,45, –1,57) × 10–6 A und ein Aus-Strom IAUS = (5,80 + 2,09, –1,26) × 10–13 A gefunden. Die effektive Elektronenbeweglichkeit betrug μ = 85,63 ± 4,38 cm2/v.sec. Die Messbedingungen waren die gleichen wie in Beispiel 1.
  • Beispiel 9
  • Substrate mit aktiver Matrix wurden unter Verwendung der einzelnen Dünnschicht-Halbleiterbauelemente, die gemäß den vorstehenden Verfahren erhalten wurden, als Pixel-TFTs und Treiberschaltung-TFTs hergestellt. Flüssigkristallfelder wurden unter Verwendung von einigen dieser Substrate mit aktiver Matrix hergestellt. Flüssigkristall-Anzeigevorrichtungsmodule wurden hergestellt, indem man diese Flüssigkristallfelder mit äußeren peripheren Treibern und einer Gegenlichteinheit ausstattete. Die TFTs selbst wiesen ein qualitativ hochwertiges Verhalten auf. Da das Herstellungsverfahren zuverlässig ist, war es möglich, Flüssigkristall-Anzeigevorrichtungen von hoher Anzeigequalität unter geringen Kosten herzustellen. Ferner wiesen die TFTs äußerst hochwertige Eigenschaften auf. Da die erforderlichen Treiberschaltungen auf dem aktiven Matrixsubstrat gebildet werden können (integrierte Treiber), war es möglich, die Packungskonfiguration mit den äußeren peripheren Treiberschaltungen zu vereinfachen und eine kompakte, leichtgewichtige Flüssigkristall-Anzeigevorrichtung zu erhalten.
  • Diese Typen von Flüssigkristall-Anzeigevorrichtungen wurden in das Gehäuse eines Vollfarben-PC-Notebooks eingebaut. Dies ermöglichte die Herstellung eines kompakten, leichtgewichtigen Vollfarben-PC-Notebooks mit guter Anzeigequalität bei geringen Herstellungskosten.
  • Gewerbliche Anwendungsmöglichkeiten
  • Wie vorstehend erwähnt, lässt sich das erfindungsgemäß beschriebene Verfahren zur Herstellung von Dünnschicht-Halbleiterbauelementen zur Herstellung von hochwertigen Dünnschicht-Halbleiterbauelementen unter Anwendung eines Niedertemperaturverfahrens, bei dem billige Glassubstrate verwendet werden können, einsetzen. Daher ermöglicht es die Anwendung dieser Erfindung auf die Herstellung von Flüssigkristall-Anzeigevorrichtungen mit aktiver Matrix, qualitativ hochwertige Flüssigkristall-Anzeigevorrichtungen von großen Abmessungen in leichter und zuverlässiger Weise herzustellen. Wenn außerdem die Erfindung für die Herstellung von anderen elektronischen Schaltungen herangezogen wird, lassen sich leicht und zuverlässig qualitativ hochwertige elektronische Schaltungen herstellen. Da ferner das erfindungsgemäße Dünnschicht-Halbleiterbauelement billig und qualitativ hochwertig ist, erweist es sich als optimal für aktive Matrixsubstrate für Flüssigkristallanzeigen mit aktiver Matrix. Es eignet sich insbesondere für aktive Matrixsubstrate mit integriertem Treiber, die hochwertige Eigenschaften verlangen.
  • Da ferner die durch dieses Verfahren erhaltenen Flüssigkristallanzeigen kostengünstig und qualitativ hochwertig sind, eignen sie sich in optimaler Weise für Vollfarben-PC-Notebooks und sämtliche Typen von Anzeigen.
  • Da die durch dieses Verfahren hergestellten elektronischen Schaltungen kostengünstig und qualitativ hochwertig sind, finden sie gleichermaßen eine breite Akzeptanz.

Claims (10)

  1. Verfahren zur Herstellung eines Halbleiter-Dünnschicht-Transistors, umfassend: (a) das Bilden einer unteren Schutzschicht (102) aus einem isolierenden Material auf mindestens einem Bereich eines Substrats (101); und (b) das Bilden einer Halbleiterschicht (103), die zur aktiven Schicht des Transistors wird, durch LPCVD oder PECVD auf dieser unteren Schutzschicht; gekennzeichnet durch folgende Stufen: (c) das Entfernen einer aus Stufe (b) resultierenden Oxidschicht von der Oberfläche der Halbleiterschicht (103) und (d) das Durchführen einer Schmelzkristallisation der Halbleiterschicht (103) unmittelbar nach Entfernen der Oxidschicht, wobei die Sauerstoffmenge, die während des Schmelzvorgangs in die Halbleiterschicht eingebaut wird, durch die Entfernung der Oxidschicht vermindert wird.
  2. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass die Stufe (b) die Verwendung eines PECVD-Reaktors zur Bildung der Halbleiterschicht (103) und die anschließende Behandlung der Halbleiterschicht (103) mit einem Wasserstoffplasma ohne Unterbrechung des Vakuums umfasst.
  3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe (b) die Verwendung eines PECVD-Reaktors zur Bildung der Halbleiterschicht (103) und die anschließende Behandlung der Halbleiterschicht (103) mit einem Sauerstoffplasma ohne Unterbrechung des Vakuums umfasst.
  4. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe (b) die Verwendung eines PECVD-Reaktors zur Bildung der Halbleiterschicht (103) und das anschließende Behandeln der Halbleiterschicht (103) mit einem Wasserstoffplasma ohne Unterbrechung des Vakuums sowie die anschließende Behandlung der Halbleiterschicht (103) mit einem Sauerstoffplasma ohne Unterbrechung des Vakuums umfasst.
  5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe (b) die Anwendung von PECVD mit einer Abscheidungsgeschwindigkeit von etwa 0,1 nm/s oder mehr unter Bildung einer gemischt amorph/polykristallinen Halbleiterschicht (103) umfasst.
  6. Verfahren nach Anspruch 5, dadurch gekennzeichnet, dass die Abscheidungsgeschwindigkeit zur Bildung der gemischt amorph/polykristallinen Halbleiterschicht (103) etwa 3,7 nm/s oder mehr beträgt.
  7. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe (b) die Anwendung von PECVD umfasst, wobei chemische Spezies mit einem Gehalt an den Bestandteilselementen der Halbleiterschicht (103) und Inertgase als Quellengase verwendet werden, wobei das Verhältnis der Strömungsgeschwindigkeit des Quellengases, das die Bestandteilselemente der Halbleiterschicht (103) enthält, zur Strömungsgeschwindigkeit des Inertgases weniger als 1/33 beträgt, wodurch eine gemischt amorph/polykristalline Halbleiterschicht (103) gebildet wird.
  8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass das Gas-Strömungsverhältnis 1/124 bis 1/40,67 beträgt.
  9. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe (b) die Anwendung von PECVD umfasst, wobei die Dicke der Halbleiterschicht zwischen 9 nm und 135 nm, inklusive, beträgt.
  10. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe (d) innerhalb von 2 Stunden nach Beendigung der Entfernung der Oxidschicht durchgeführt wird.
DE69531654T 1994-06-15 1995-06-15 Verfahren zur herstellung eines dünnschicht-halbleiter-transistors Expired - Lifetime DE69531654T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP13337494 1994-06-15
JP13337494 1994-06-15
JP7214495 1995-03-29
JP7214495 1995-03-29
PCT/JP1995/001196 WO1995034916A1 (fr) 1994-06-15 1995-06-15 Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique

Publications (2)

Publication Number Publication Date
DE69531654D1 DE69531654D1 (de) 2003-10-09
DE69531654T2 true DE69531654T2 (de) 2004-07-29

Family

ID=26413272

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69531654T Expired - Lifetime DE69531654T2 (de) 1994-06-15 1995-06-15 Verfahren zur herstellung eines dünnschicht-halbleiter-transistors

Country Status (7)

Country Link
US (4) US5858819A (de)
EP (3) EP1722403B1 (de)
JP (1) JP4466775B2 (de)
KR (2) KR100306527B1 (de)
CN (4) CN1052116C (de)
DE (1) DE69531654T2 (de)
WO (1) WO1995034916A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8901556B2 (en) 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device

Families Citing this family (565)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3637069B2 (ja) * 1993-03-12 2005-04-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH07131030A (ja) * 1993-11-05 1995-05-19 Sony Corp 表示用薄膜半導体装置及びその製造方法
US5641974A (en) 1995-06-06 1997-06-24 Ois Optical Imaging Systems, Inc. LCD with bus lines overlapped by pixel electrodes and photo-imageable insulating layer therebetween
JP4026182B2 (ja) * 1995-06-26 2007-12-26 セイコーエプソン株式会社 半導体装置の製造方法、および電子機器の製造方法
JP3708554B2 (ja) * 1995-08-04 2005-10-19 セイコーエプソン株式会社 薄膜トランジスタの製造方法
FR2737806B1 (fr) * 1995-08-11 1997-09-12 Soc D Production Et De Rech Ap Dispositif et procede de traitement de surface par laser
JP3917205B2 (ja) * 1995-11-30 2007-05-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7118996B1 (en) * 1996-05-15 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
JPH1054999A (ja) * 1996-06-04 1998-02-24 Canon Inc 表示装置とその製造法
TW324862B (en) * 1996-07-03 1998-01-11 Hitachi Ltd Liquid display apparatus
US6188452B1 (en) 1996-07-09 2001-02-13 Lg Electronics, Inc Active matrix liquid crystal display and method of manufacturing same
FR2751131B1 (fr) * 1996-07-09 2001-11-09 Lg Electronics Inc Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede
US6443165B1 (en) * 1996-11-14 2002-09-03 Tokyo Electron Limited Method for cleaning plasma treatment device and plasma treatment system
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US5998838A (en) 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
EP0867701A1 (de) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Herstellungsverfahren eines infrarotempfindlichen Strahlungsdetektors, insbesondere eines infrarotempfindlichen Bolometers
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
JP4086932B2 (ja) 1997-04-17 2008-05-14 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー処理方法
JP3844561B2 (ja) * 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4601731B2 (ja) * 1997-08-26 2010-12-22 株式会社半導体エネルギー研究所 半導体装置、半導体装置を有する電子機器及び半導体装置の作製方法
US6346175B1 (en) * 1997-11-20 2002-02-12 International Business Machines Corporation Modification of in-plate refractory metal texture by use of refractory metal/nitride layer
US6214526B1 (en) * 1998-02-17 2001-04-10 Novellus Systems, Inc. Semiconductor processing using antireflective layer having high wet etch rate
JPH11233801A (ja) * 1998-02-17 1999-08-27 Canon Inc 微結晶シリコン膜の形成方法、および光起電力素子
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
JP4083921B2 (ja) 1998-05-29 2008-04-30 株式会社東芝 半導体装置の製造方法
JP2000058839A (ja) * 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6246070B1 (en) * 1998-08-21 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
JP4493741B2 (ja) * 1998-09-04 2010-06-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7126161B2 (en) 1998-10-13 2006-10-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having El layer and sealing material
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3399432B2 (ja) * 1999-02-26 2003-04-21 セイコーエプソン株式会社 電気光学装置の製造方法及び電気光学装置
US6303411B1 (en) 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US7245018B1 (en) * 1999-06-22 2007-07-17 Semiconductor Energy Laboratory Co., Ltd. Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
US6661096B1 (en) * 1999-06-29 2003-12-09 Semiconductor Energy Laboratory Co., Ltd. Wiring material semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
JP3393469B2 (ja) * 1999-07-15 2003-04-07 日本電気株式会社 薄膜半導体素子の製造方法及び薄膜半導体形成装置
US6863733B1 (en) 1999-07-15 2005-03-08 Nec Corporation Apparatus for fabricating thin-film semiconductor device
JP2001147446A (ja) * 1999-11-19 2001-05-29 Hitachi Ltd 液晶表示装置とその製造方法
TW451447B (en) * 1999-12-31 2001-08-21 Samsung Electronics Co Ltd Contact structures of wirings and methods for manufacturing the same, and thin film transistor array panels including the same and methods for manufacturing the same
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US20010053559A1 (en) * 2000-01-25 2001-12-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating display device
JP2001223209A (ja) * 2000-02-08 2001-08-17 Seiko Epson Corp 絶縁性,半導電性,および導電性薄膜の製造方法
WO2001061761A1 (fr) * 2000-02-18 2001-08-23 Matsushita Electric Industrial Co., Ltd. Couche polycristalline mince et dispositif semi-conducteur
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US20020184970A1 (en) * 2001-12-13 2002-12-12 Wickersham Charles E. Sptutter targets and methods of manufacturing same to reduce particulate emission during sputtering
WO2001086282A1 (en) 2000-05-11 2001-11-15 Tosoh Smd, Inc. Cleanliness evaluation in sputter targets using phase
KR20020002732A (ko) * 2000-06-30 2002-01-10 박종섭 반도체 소자의 절연막 형성 방법
US6875674B2 (en) * 2000-07-10 2005-04-05 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device with fluorine concentration
JP2002141514A (ja) * 2000-11-07 2002-05-17 Sanyo Electric Co Ltd ボトムゲート型薄膜トランジスタ及びその製造方法
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP4802364B2 (ja) * 2000-12-07 2011-10-26 ソニー株式会社 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び半導体層の抵抗制御方法
JP4334225B2 (ja) * 2001-01-25 2009-09-30 東京エレクトロン株式会社 電子デバイス材料の製造方法
EP1421607A2 (de) * 2001-02-12 2004-05-26 ASM America, Inc. Verbesserter prozess zur ablagerung von halbleiterfilmen
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6436724B1 (en) * 2001-03-14 2002-08-20 Advanced Micro Devices, Inc. Method of monitoring the temperature of a rapid thermal anneal process in semiconductor manufacturing and a test wafer for use in this method
WO2002081767A2 (en) * 2001-04-04 2002-10-17 Tosoh Smd, Inc. A method for determining a critical size of an inclusion in aluminum or aluminum alloy sputtering target
NL1017849C2 (nl) * 2001-04-16 2002-10-30 Univ Eindhoven Tech Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat.
US7079975B1 (en) 2001-04-30 2006-07-18 Advanced Micro Devices, Inc. Scatterometry and acoustic based active control of thin film deposition process
JP3501793B2 (ja) * 2001-05-16 2004-03-02 Nec液晶テクノロジー株式会社 薄膜トランジスタ及びその製造方法
JP4901020B2 (ja) * 2001-05-23 2012-03-21 東芝モバイルディスプレイ株式会社 ポリシリコン薄膜トランジスタの製造方法
WO2003014718A2 (en) * 2001-08-09 2003-02-20 Tosoh Smd, Inc. Method and apparatus for non-destructive target cleanliness characterization by types of flaws sorted by size and location
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US7445382B2 (en) * 2001-12-26 2008-11-04 Mattson Technology Canada, Inc. Temperature measurement and heat-treating methods and system
US6541366B1 (en) * 2002-01-08 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving a solder bump adhesion bond to a UBM contact layer
JP3957277B2 (ja) * 2002-04-15 2007-08-15 株式会社アドバンスト・ディスプレイ 液晶表示装置及びその製造方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
GB0219771D0 (en) * 2002-08-24 2002-10-02 Koninkl Philips Electronics Nv Manufacture of electronic devices comprising thin-film circuit elements
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
US6689646B1 (en) * 2002-11-14 2004-02-10 Sharp Laboratories Of America, Inc. Plasma method for fabricating oxide thin films
KR101163682B1 (ko) 2002-12-20 2012-07-09 맷슨 테크날러지 캐나다 인코퍼레이티드 피가공물 지지 장치
TWI223762B (en) * 2003-01-02 2004-11-11 Taiwan Semiconductor Mfg Front end dispatching method and system for long batch processing equipment in semiconductor manufacturing
EP1445802A1 (de) * 2003-02-06 2004-08-11 Centre National De La Recherche Scientifique (Cnrs) Transistor für Anzeigegerät mit aktiver Matrix, Anzeigeeinheit, welche besagten Transistor enthält, und diesbezügliches Herstellungsverfahren
JP4382375B2 (ja) * 2003-03-13 2009-12-09 Nec液晶テクノロジー株式会社 薄膜トランジスタの製造方法
JP3922204B2 (ja) * 2003-04-10 2007-05-30 株式会社デンソー 携帯型送信機
EP1473383B1 (de) * 2003-04-29 2012-08-15 Imec Verfahren zur Herstellung einer zur Mikrobearbeitung geeigneten polykristallinen Silizium-Germanium-Schicht
EP1482069A1 (de) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Verfahren zur Herstellung einer zur Mikrobearbeitung geeigneten polykristallinen Silizium-Germanium-Schicht
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7008854B2 (en) * 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US7501329B2 (en) * 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
JP4128910B2 (ja) * 2003-06-11 2008-07-30 日本アイ・ビー・エム株式会社 液晶表示セル及び液晶表示セルの製造方法
US7439158B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US7703034B2 (en) * 2003-08-07 2010-04-20 National Instruments Corporation Visualization tool for viewing timing information for a graphical program
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
KR100712101B1 (ko) * 2004-06-30 2007-05-02 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조 방법
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006024735A (ja) * 2004-07-08 2006-01-26 Seiko Instruments Inc 半導体膜の結晶化方法、及び、表示装置の製造方法
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7402485B1 (en) 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
FI117728B (fi) * 2004-12-21 2007-01-31 Planar Systems Oy Monikerrosmateriaali ja menetelmä sen valmistamiseksi
US20060150906A1 (en) * 2005-01-07 2006-07-13 Selen Louis J M Wafer boat for reduced shadow marks
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7422634B2 (en) * 2005-04-07 2008-09-09 Cree, Inc. Three inch silicon carbide wafer with low warp, bow, and TTV
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7553732B1 (en) 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
NL1029647C2 (nl) * 2005-07-29 2007-01-30 Otb Group Bv Werkwijze voor het passiveren van ten minste een deel van een substraatoppervlak.
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
KR101299604B1 (ko) * 2005-10-18 2013-08-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제조 방법
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
JP2007305698A (ja) * 2006-05-09 2007-11-22 Nec Corp 半導体装置及びその製造方法
US9105776B2 (en) * 2006-05-15 2015-08-11 Stion Corporation Method and structure for thin film photovoltaic materials using semiconductor materials
EP1863097A1 (de) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Methode zum Einstellen der effektiven Austrittsarbeit
EP1863072A1 (de) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Methode zum Einstellen der effektiven Austrittsarbeit
US7880267B2 (en) * 2006-08-28 2011-02-01 Micron Technology, Inc. Buried decoupling capacitors, devices and systems including same, and methods of fabrication
DE102006052586B4 (de) * 2006-11-08 2008-07-03 Schott Solar Gmbh Verfahren und Vorrichtung zur Reinigung der Abgase einer Siliziumdünnschicht-Produktionsanlage
US8454356B2 (en) * 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
KR101164607B1 (ko) * 2006-11-22 2012-07-10 삼성테크윈 주식회사 휴대용 전자기기용 슬라이딩 구조체
JP2008177419A (ja) * 2007-01-19 2008-07-31 Nissin Electric Co Ltd シリコン薄膜形成方法
KR101030769B1 (ko) * 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
US7972943B2 (en) * 2007-03-02 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US8071179B2 (en) 2007-06-29 2011-12-06 Stion Corporation Methods for infusing one or more materials into nano-voids if nanoporous or nanostructured materials
WO2009009499A1 (en) * 2007-07-07 2009-01-15 Xunlight Corporation Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
US20090017637A1 (en) * 2007-07-10 2009-01-15 Yi-Chiau Huang Method and apparatus for batch processing in a vertical reactor
US8441018B2 (en) 2007-08-16 2013-05-14 The Trustees Of Columbia University In The City Of New York Direct bandgap substrates and methods of making and using
US20090065816A1 (en) * 2007-09-11 2009-03-12 Applied Materials, Inc. Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
US8314009B2 (en) * 2007-09-14 2012-11-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
US8759671B2 (en) * 2007-09-28 2014-06-24 Stion Corporation Thin film metal oxide bearing semiconductor material for single junction solar cell devices
ITRE20070116A1 (it) * 2007-10-29 2009-04-30 Corghi Spa '' dispositivo e metodo per la verifica dell'assetto di un veicolo ''
US8187434B1 (en) 2007-11-14 2012-05-29 Stion Corporation Method and system for large scale manufacture of thin film photovoltaic devices using single-chamber configuration
KR101270174B1 (ko) * 2007-12-03 2013-05-31 삼성전자주식회사 산화물 반도체 박막 트랜지스터의 제조방법
US8772078B1 (en) 2008-03-03 2014-07-08 Stion Corporation Method and system for laser separation for exclusion region of multi-junction photovoltaic materials
US8064224B2 (en) * 2008-03-31 2011-11-22 Intel Corporation Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same
CN102089873A (zh) 2008-05-16 2011-06-08 加拿大马特森技术有限公司 工件破损防止方法及设备
US8642138B2 (en) * 2008-06-11 2014-02-04 Stion Corporation Processing method for cleaning sulfur entities of contact regions
US8003432B2 (en) 2008-06-25 2011-08-23 Stion Corporation Consumable adhesive layer for thin film photovoltaic material
US9087943B2 (en) * 2008-06-25 2015-07-21 Stion Corporation High efficiency photovoltaic cell and manufacturing method free of metal disulfide barrier material
US7855089B2 (en) * 2008-09-10 2010-12-21 Stion Corporation Application specific solar cell and method for manufacture using thin film photovoltaic materials
US8383450B2 (en) * 2008-09-30 2013-02-26 Stion Corporation Large scale chemical bath system and method for cadmium sulfide processing of thin film photovoltaic materials
US7947524B2 (en) * 2008-09-30 2011-05-24 Stion Corporation Humidity control and method for thin film photovoltaic materials
US8053274B2 (en) * 2008-09-30 2011-11-08 Stion Corporation Self cleaning large scale method and furnace system for selenization of thin film photovoltaic materials
US8741689B2 (en) * 2008-10-01 2014-06-03 Stion Corporation Thermal pre-treatment process for soda lime glass substrate for thin film photovoltaic materials
US20110018103A1 (en) * 2008-10-02 2011-01-27 Stion Corporation System and method for transferring substrates in large scale processing of cigs and/or cis devices
US8168463B2 (en) 2008-10-17 2012-05-01 Stion Corporation Zinc oxide film method and structure for CIGS cell
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8344243B2 (en) * 2008-11-20 2013-01-01 Stion Corporation Method and structure for thin film photovoltaic cell using similar material junction
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
CN102239283A (zh) * 2008-12-02 2011-11-09 住友电气工业株式会社 生长氮化镓晶体的方法和制造氮化镓晶体的方法
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20100173448A1 (en) * 2009-01-07 2010-07-08 Applied Materials, Inc. High frequency plasma enhanced chemical vapor deposition
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) * 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8507786B1 (en) 2009-06-27 2013-08-13 Stion Corporation Manufacturing method for patterning CIGS/CIS solar cells
CN102473749A (zh) * 2009-06-30 2012-05-23 三洋电机株式会社 太阳能电池的制造方法和制造装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8398772B1 (en) 2009-08-18 2013-03-19 Stion Corporation Method and structure for processing thin film PV cells with improved temperature uniformity
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8809096B1 (en) 2009-10-22 2014-08-19 Stion Corporation Bell jar extraction tool method and apparatus for thin film photovoltaic materials
KR101768433B1 (ko) * 2009-12-18 2017-08-16 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 제작 방법
US8859880B2 (en) 2010-01-22 2014-10-14 Stion Corporation Method and structure for tiling industrial thin-film solar devices
US9096930B2 (en) 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
US8907258B2 (en) * 2010-04-08 2014-12-09 Ncc Nano, Llc Apparatus for providing transient thermal profile processing on a moving substrate
WO2011126076A1 (ja) * 2010-04-09 2011-10-13 大日本印刷株式会社 薄膜トランジスタ基板
WO2011150058A2 (en) 2010-05-25 2011-12-01 Mossey Creek Solar, LLC Method of producing a semiconductor
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5568390B2 (ja) * 2010-07-02 2014-08-06 株式会社半導体エネルギー研究所 成膜方法及びトランジスタの作製方法
US8461061B2 (en) 2010-07-23 2013-06-11 Stion Corporation Quartz boat method and apparatus for thin film thermal treatment
US8440548B2 (en) * 2010-08-06 2013-05-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor
US9064691B2 (en) * 2010-08-13 2015-06-23 United Microelectronics Corp. Semiconductor process
US8628997B2 (en) 2010-10-01 2014-01-14 Stion Corporation Method and device for cadmium-free solar cells
JP5636867B2 (ja) * 2010-10-19 2014-12-10 富士通株式会社 半導体装置及び半導体装置の製造方法
KR101826069B1 (ko) * 2010-10-26 2018-03-23 삼성디스플레이 주식회사 유기발광표시장치 및 그 제조방법
US8998606B2 (en) 2011-01-14 2015-04-07 Stion Corporation Apparatus and method utilizing forced convection for uniform thermal treatment of thin film devices
US8728200B1 (en) * 2011-01-14 2014-05-20 Stion Corporation Method and system for recycling processing gas for selenization of thin film photovoltaic materials
WO2012114379A1 (ja) * 2011-02-23 2012-08-30 パナソニック株式会社 薄膜トランジスタ装置の製造方法、薄膜トランジスタ装置および表示装置
JP5659882B2 (ja) * 2011-03-09 2015-01-28 住友電気工業株式会社 半導体装置の製造方法
CN102723272B (zh) * 2011-03-29 2015-02-25 中国科学院微电子研究所 半导体制造方法
US20120252225A1 (en) * 2011-03-29 2012-10-04 Chunlong Li Semiconductor fabrication method
US10150230B2 (en) * 2011-04-08 2018-12-11 Ncc Nano, Llc Method for drying thin films in an energy efficient manner
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8436445B2 (en) 2011-08-15 2013-05-07 Stion Corporation Method of manufacture of sodium doped CIGS/CIGSS absorber layers for high efficiency photovoltaic devices
CN103828061B (zh) * 2011-10-07 2018-02-13 应用材料公司 使用氩气稀释来沉积含硅层的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6125846B2 (ja) * 2012-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6128906B2 (ja) 2012-04-13 2017-05-17 株式会社半導体エネルギー研究所 半導体装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
KR102304824B1 (ko) 2013-08-09 2021-09-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
WO2016002591A1 (ja) * 2014-07-03 2016-01-07 東京エレクトロン株式会社 成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN105047544B (zh) * 2015-07-10 2018-06-19 苏州工业园区纳米产业技术研究院有限公司 低应力变化pecvd二氧化硅薄膜的制备方法
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105679676A (zh) * 2016-03-01 2016-06-15 京东方科技集团股份有限公司 薄膜晶体管及其制备方法、阵列基板
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102162902B1 (ko) * 2016-03-31 2020-10-07 최재성 반도체 소자 및 그 제조 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9960266B2 (en) 2016-05-17 2018-05-01 The United States Of America, As Represented By The Secretary Of The Navy Damage-free plasma-enhanced CVD passivation of AlGaN/GaN high electron mobility transistors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102316293B1 (ko) * 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
CN107978538A (zh) * 2017-12-01 2018-05-01 泰州海天电子科技股份有限公司 一种判定晶体管键合弹坑的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12054827B2 (en) 2018-04-03 2024-08-06 Applied Materials, Inc. Flowable film curing using H2 plasma
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
US11784272B2 (en) 2021-04-29 2023-10-10 Solaero Technologies Corp. Multijunction solar cell
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5562778A (en) * 1978-11-02 1980-05-12 Fuji Photo Film Co Ltd Preparation of photoconductor film
JPS58164267A (ja) * 1982-03-25 1983-09-29 Seiko Epson Corp 薄膜シリコントランジスタの製造方法
JP2530117B2 (ja) * 1983-05-06 1996-09-04 セイコーエプソン株式会社 薄膜トランジスタの製造方法
JPH0647730B2 (ja) * 1985-12-25 1994-06-22 キヤノン株式会社 堆積膜形成法
JPS6331110A (ja) * 1986-07-25 1988-02-09 Fujitsu Ltd 半導体装置の製造方法
JPS63115328A (ja) * 1986-11-04 1988-05-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02137797A (ja) * 1988-11-16 1990-05-28 Toshiba Corp Siエピタキシャル成長装置
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5114770A (en) * 1989-06-28 1992-05-19 Canon Kabushiki Kaisha Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method
JP2864658B2 (ja) * 1990-04-25 1999-03-03 セイコーエプソン株式会社 薄膜トランジスタの製造方法
DE69125886T2 (de) * 1990-05-29 1997-11-20 Semiconductor Energy Lab Dünnfilmtransistoren
SG63578A1 (en) * 1990-11-16 1999-03-30 Seiko Epson Corp Thin film semiconductor device process for fabricating the same and silicon film
JP3575698B2 (ja) * 1991-01-30 2004-10-13 Tdk株式会社 多結晶半導体装置の製造方法
KR960001611B1 (ko) * 1991-03-06 1996-02-02 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 절연 게이트형 전계 효과 반도체 장치 및 그 제작방법
JPH055582A (ja) 1991-06-26 1993-01-14 Mitsubishi Heavy Ind Ltd 蓄熱熱交換器及びこれを備えたヒートポンプ空気調和 機
JP3507072B2 (ja) * 1991-07-16 2004-03-15 セイコーエプソン株式会社 化学気相推積装置及び半導体膜形成方法と薄膜半導体装置の製造方法
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
JP3483581B2 (ja) * 1991-08-26 2004-01-06 株式会社半導体エネルギー研究所 半導体装置
US5582880A (en) * 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
JP3201495B2 (ja) * 1992-09-16 2001-08-20 キヤノン株式会社 非晶質シリコンの製造方法
JPH0616A (ja) 1992-06-19 1994-01-11 Iseki & Co Ltd トラクタモーアのコレクタ
CN100442532C (zh) * 1992-07-06 2008-12-10 株式会社半导体能源研究所 有源矩阵显示器件
JPH06163401A (ja) * 1992-09-11 1994-06-10 A G Technol Kk 多結晶シリコン層の形成方法およびそれを用いた多結晶シリコン薄膜トランジスタ
EP0592227A3 (de) * 1992-10-07 1995-01-11 Sharp Kk Herstellung eines Dünnfilm-Transistors und Produktion einer Flüssigkristalanzeige-Vorrichtung.
JPH06132306A (ja) * 1992-10-21 1994-05-13 Casio Comput Co Ltd 半導体装置の製造方法
CN1088002A (zh) * 1992-11-16 1994-06-15 东京电子株式会社 制造液晶显示器基板及评价半导体晶体的方法与装置
JP3265668B2 (ja) * 1993-01-13 2002-03-11 株式会社ニコン ベストフォーカス位置の算出方法
JPH0828337B2 (ja) * 1993-01-20 1996-03-21 日本電気株式会社 半導体薄膜の製造方法
JP3497198B2 (ja) * 1993-02-03 2004-02-16 株式会社半導体エネルギー研究所 半導体装置および薄膜トランジスタの作製方法
JPH06296023A (ja) * 1993-02-10 1994-10-21 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JPH06275524A (ja) * 1993-03-24 1994-09-30 G T C:Kk 薄膜トランジスタの製造方法
JPH0799321A (ja) * 1993-05-27 1995-04-11 Sony Corp 薄膜半導体素子の製造方法および製造装置
US5488000A (en) * 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5482749A (en) * 1993-06-28 1996-01-09 Applied Materials, Inc. Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein
US5624873A (en) * 1993-11-12 1997-04-29 The Penn State Research Foundation Enhanced crystallization of amorphous films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8901556B2 (en) 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US10096719B2 (en) 2012-04-06 2018-10-09 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US10741694B2 (en) 2012-04-06 2020-08-11 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US11437523B2 (en) 2012-04-06 2022-09-06 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device

Also Published As

Publication number Publication date
US20010032986A1 (en) 2001-10-25
CN1052116C (zh) 2000-05-03
CN1130777C (zh) 2003-12-10
US20010013607A1 (en) 2001-08-16
EP0714140A4 (de) 1998-04-01
WO1995034916A1 (fr) 1995-12-21
DE69531654D1 (de) 2003-10-09
CN1245972A (zh) 2000-03-01
CN1129492A (zh) 1996-08-21
EP1722403B1 (de) 2012-07-25
EP0714140B1 (de) 2003-09-03
US6017779A (en) 2000-01-25
CN1269196C (zh) 2006-08-09
KR100327086B1 (ko) 2002-03-06
CN1495857A (zh) 2004-05-12
EP0714140A1 (de) 1996-05-29
CN1495869A (zh) 2004-05-12
CN1274009C (zh) 2006-09-06
EP1335419A2 (de) 2003-08-13
KR960704361A (ko) 1996-08-31
EP1722403A2 (de) 2006-11-15
US5858819A (en) 1999-01-12
KR100306527B1 (ko) 2002-06-26
JP4466775B2 (ja) 2010-05-26
US6972433B2 (en) 2005-12-06
US6335542B2 (en) 2002-01-01
EP1335419A3 (de) 2003-08-27
EP1722403A3 (de) 2007-05-30
JP2009044171A (ja) 2009-02-26

Similar Documents

Publication Publication Date Title
DE69531654T2 (de) Verfahren zur herstellung eines dünnschicht-halbleiter-transistors
DE69030775T2 (de) Herstelllungsverfahren einer Halbleitervorrichtung
DE69808846T2 (de) Methode zur Herstellung eines Dünnschichttransistors
DE69233359T2 (de) Verfahren zur herstellung einer halbleiter-dünnschicht mit einer chemischen gasphasen-beschichtungsanlage
DE69432615T2 (de) Halbleiteranordnung mit einer gerichteten nichtmonocristallinen Siliziumdünnschicht und Verfahren zur Herstellung
US6097038A (en) Semiconductor device utilizing annealed semiconductor layer as channel region
DE69131570T2 (de) Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung
US6168980B1 (en) Semiconductor device and method for forming the same
DE69627978T2 (de) Verfahren zur herstellung von einem dünnschichtfeldeffekttransistor, verfahren zur herstellung eines aktiven matrixsubstrats und flüssigkristallanzeige
US7790521B2 (en) Thin film semiconductor device, method of manufacturing the same and display
KR100863446B1 (ko) 반도체층의 도핑방법, 박막 반도체 소자의 제조방법, 및박막 반도체 소자
TW515101B (en) Method for fabrication of field-effect transistor
DE10228518B4 (de) Verfahren zum Kristallisieren von Polysilicium, Verfahren zum Herstellen eines Dünnschichttransistors unter Verwendung desselben sowie Verfahren zum Herstellen eines zugehörigen Flüssigkristalldisplays
US7087964B2 (en) Predominantly &lt;100&gt; polycrystalline silicon thin film transistor
DE69127656T2 (de) Verfahren zum Herstellen von Dünnfilmtransistoren
DE69229314T2 (de) Halbleiteranordnung und Verfahren zur Herstellung
DE4445568A1 (de) Verfahren zur Herstellung eines Dünnfilmtransistors
DE69133527T2 (de) Verfahren zur Herstellung Feld-Effekt-Transistoren mit isoliertem Gate
JPH04340725A (ja) 薄膜トランジスタの製造方法
JPH09213965A (ja) 半導体装置の製造方法
JPH0992839A (ja) 薄膜トランジスタおよびその製造方法
JPH04340724A (ja) 薄膜トランジスタの製造方法
US6140159A (en) Method for activating an ohmic layer for a thin film transistor
JPH06104280A (ja) 薄膜トランジスタ及びその製造方法
JP3357038B2 (ja) 薄膜トランジスタの製造方法と液晶表示装置の製造方法

Legal Events

Date Code Title Description
8364 No opposition during term of opposition