JP4334225B2 - 電子デバイス材料の製造方法 - Google Patents

電子デバイス材料の製造方法 Download PDF

Info

Publication number
JP4334225B2
JP4334225B2 JP2002560186A JP2002560186A JP4334225B2 JP 4334225 B2 JP4334225 B2 JP 4334225B2 JP 2002560186 A JP2002560186 A JP 2002560186A JP 2002560186 A JP2002560186 A JP 2002560186A JP 4334225 B2 JP4334225 B2 JP 4334225B2
Authority
JP
Japan
Prior art keywords
film
electronic device
gas
sio
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002560186A
Other languages
English (en)
Other versions
JPWO2002059956A1 (ja
Inventor
卓也 菅原
敏雄 中西
成則 尾▲崎▼
征嗣 松山
惠美 村川
吉秀 多田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2002059956A1 publication Critical patent/JPWO2002059956A1/ja
Application granted granted Critical
Publication of JP4334225B2 publication Critical patent/JP4334225B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Description

技術分野
本発明は、半導体ないし電子デバイス材料の製造に好適な製造方法に関する。本発明の電子デバイス材料の製造方法は、例えば、MOS型半導体構造を形成するために好適に使用することが可能である。
背景技術
従来より、半導体ないし半導体材料を構成する複数の層を形成するに際しては、種々の層形成技術が使用されて来た。これらの層形成技術の代表的なものとしては、例えば、真空蒸着、スパッタリング、およびCVD(化学的気相成長)法が挙げられる。これらの層形成技術の中でも、CVD法は、層形成の成膜速度が速く、比較的短時間で成膜できるという特徴を有するため、MOS型半導体装置を始めとする種々の半導体ないし電子デバイス材料の製造に際して、多工程にわたり用いられている。
本発明の製造方法はの電子デバイス材料の製造に一般的に広く使用可能であるが、ここでは説明の便宜のために、フラッシュメモリと称される不揮発性メモリの一態様であるEPROMを例にとって、本発明の背景となる技術を説明する。
EPROMは、例えば図12に示したような多層構造を有する。
図12を参照して、このEPROM多層構造においては、p型単結晶シリコンからなる被処理基体100上に、SiOからなる絶縁層101と多結晶シリコンからなる半導体層102および103が所定のパターンを形成しながら交互に積層された層と、その上に堆積された金属(アルミニウム、銅等)からなる金属層104とから構成されている。
このような半導体装置においては、多結晶シリコンからなる半導体層102、103や層間のSiO層を形成するために、上記したCVD法が広く用いられている。
しかしながら、CVD法により成膜された層は表面粗さ、膜中欠陥が比較的に多く、ダングリングボンドと称される原子結合の手が膜中に向けて形成されている傾向がある。このダングリングボンドが膜中に向けて形成されていると、この層の中および隣接する層の電子の流れに影響を及ぼし、層としての電気特性が劣化したり、ひいては電子デバイス自体の品質が低下するという問題が生ずる可能性がある。
発明の開示
本発明の目的は、上記した従来の問題を解決することが可能な電子デバイス材料の製造方法を提供することにある。
本発明の他の目的は、電子デバイス(例えば半導体)を構成する層の電気的特性を改良し、優れた品質の電子デバイスを製造できる製造方法を提供することにある。
本発明の更に他の目的は、電気的特性の優れた絶縁層や半導体層を備えた高品質の電子デバイス材料(例えばMOS型半導体)の製造方法を提供することにある。
本発明の電子デバイス材料の製造方法は、電子デバイス用基板と、該基板上に配置された絶縁膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記絶縁膜を改質する工程を含むものである。
本発明によれば、更に電子デバイス用基板と、該基板上に配置された第1のSiO膜と、該第1のSiO膜上に配置された第1の多結晶シリコン層と、第1の多結晶シリコン層上に配置された第2のSiO膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記第2のSiO膜を改質する工程を含む電子デバイス材料の製造方法が提供される。
本発明によれば、更に電子デバイス用基板と、該基板上に配置された絶縁膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介して処理ガスにマイクロ波を照射することにより生成したプラズマに晒し、このプラズマを用いて前記絶縁膜を改質する工程と、前記絶縁膜上に金属層を形成する工程と、を含む電子デバイス材料の製造方法が提供される。
発明を実施するための最良の形態
以下、必要に応じて図面を参照しつつ、本発明を詳細に説明する。以下の記載において量比を表す「部」および「%」は、特に断らない限り質量基準とする。
(電子デバイス材料の製造方法)
本発明の電子デバイス材料の製造方法は、電子デバイス用材料の層と、該層上に配置された絶縁膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記絶縁膜を改質する工程を少なくとも含む。
(電子デバイス用材料)
本発明において使用可能な電子デバイス用材料は特に制限されず、公知の電子デバイス用材料の1種または2種以上の組合せから適宜選択して使用することが可能である。このような電子デバイス用材料の例としては、例えば、半導体材料、液晶デバイス材料等が挙げられる。半導体材料の例としては、シリコンを主成分とする材料(単結晶シリコン、ポリシリコン、アモルファスシリコン等)シリコン窒化膜を主成分とする材料、シリコンゲルマニウムを主成分とする材料等が挙げられる。
(絶縁膜)
上記電子デバイス用材料の層上に配置される絶縁膜は特に制限されず、公知の電子デバイス用絶縁膜の1種または2種以上の組合せから適宜選択して使用することが可能である。このような絶縁膜の例としては、例えば、シリコン酸化膜(SiO)、シリコン窒化膜(SiN)等が挙げられる。シリコン酸化膜としては、熱履歴、生産性の点からは、CVDにより形成された膜であることが好ましい。
(処理ガス)
本発明において使用可能な処理ガスは特に制限されず、電子デバイス製造に使用可能な公知の処理ガスの1種または2種以上の組合せから適宜選択して使用することが可能である。このような処理ガスの例としては、例えば、希ガスと酸素(O)もしくは、希ガスと窒素(N)と水素(H)を含む混合ガスが挙げられる。
(希ガス)
本発明において使用可能な希ガスは特に制限されず、電子デバイス製造に使用可能な公知の希ガスの1種または2種以上の組合せから適宜選択して使用することが可能である。このような処理ガスの例としては、例えば、クリプトン(Kr)、キセノン(Xe)、ヘリウム(He)、又はアルゴン(Ar)を挙げることができる。
本発明の絶縁膜改質においては、形成されるべき改質膜の特性の点からは、下記の改質条件が好適に使用できる。
:1〜1000sccm、より好ましくは10〜500sccm
希ガス(例えば、Kr、Ar、HeまたはXe):200〜3000sccm、より好ましくは500〜2000sccm、
:1〜200sccm、より好ましくは1〜50sccm、
温度:室温(25℃)〜700℃、より好ましくは室温〜500℃
圧力:20〜5000mTorr、より好ましくは20〜3000mTorr、特に好ましくは50〜2000mTorr
マイクロ波:0.5〜5W/cm、より好ましくは1〜4W/cm
(好適な条件の例)
本発明の製造方法において、形成されるべき改質の特性の点からは、下記の条件を好適な例として挙げることができる。
処理ガスの好適な一例:流量10〜500sccmのOもしくはN、および、流量500〜2000sccmのKr、He、Xe又はArを含むガス。
SiO膜の処理条件の好適な一例:室温〜500℃の温度。
SiO膜の処理条件の好適な一例:2.7〜270Pa(20〜2000mTorr)
SiO膜の形成条件の好適な一例:プラズマが1〜4W/cmの出力で形成されること。
(多結晶シリコン層上のSiO膜を改質する態様)
本発明の他の態様の製造方法は、電子デバイス用基板と、該基板上に配置された第1のSiO膜と、該第1のSiO膜上に配置された第1の多結晶シリコン層と、第1の多結晶シリコン層上に配置された第2のSiO膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記第2のSiO膜を改質する工程を少なくとも含む。このような多結晶シリコン層上に配置されたSiO膜を改質した場合には、動作信頼性の向上という利点を得ることができる。
例えばフラッシュメモリー用のコントロールゲート電極として、このように改質した前記第2のSiO膜上に第2の多結晶シリコン層を形成してもよい。第2のSiO膜は、他の絶縁膜(SiNや、SiNとSiOの積層構造)であってもよい。このような改質SiO膜上に第2の多結晶シリコン層を形成した場合、更に、動作信頼性の向上という利点を得ることができる。
上記した第1の多結晶シリコン層、第2のSiO膜、および/又は第2の多結晶シリコン層をCVDにより形成した場合には、更に熱履歴の低減等の利点を得ることができる。生産性の点からは、これら第1の多結晶シリコン層、第2のSiO膜、および第2の多結晶シリコン層の全てをCVDにより形成することが最も好ましい。
上記した態様の電子デバイス材料の製造方法において、前記第1の多結晶シリコン層を形成する工程と、前記第1の多結晶シリコン層上に第2のSiO膜を形成する工程との間、および/又は、前記第2の多結晶シリコン層を形成した後に、前記被処理基体を複数のスロットを有する平面アンテナ部材を介して処理ガスにマイクロ波を照射することにより生成したプラズマに晒し、このプラズマを用いて前記第1又は第2の多結晶シリコン層を改質する工程を更に包含してもよい。このように、更に平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒す追加的なも包含することにより、第1、および第2の多結晶シリコン層の表面が平滑となり、第2のSiO膜の信頼性向上が期待できる。また、本工程により第1、第2の多結晶シリコンの耐酸化性を向上させることで、後工程における多結晶シリコンの面積変動の抑制が期待できる。さらに、本工程において多結晶シリコン表面をSPAを介して生成した処理ガスプラズマを用いて酸化することで、第2のSiOを形成することも可能である。この工程は低温で処理を行うことが可能である。通常の熱酸化工程ではデバイス特性を高温により劣化させる恐れがあるが、本工程を用いることで熱工程によるデバイス特性の劣化(ドーパントの拡散など)を抑制しながら酸化膜を形成することが可能となる。
(改質絶縁層上に金属層を形成する態様)
本発明における更に他の電子デバイス材料の製造方法は、電子デバイス用材料の層と、該層上に配置された絶縁膜(例えば、ゲート絶縁膜)とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記絶縁膜を改質する工程と;前記絶縁膜上に金属層を形成する工程とを少なくとも含む。このように改質した絶縁膜上に金属層を形成した場合には、動作信頼性の向上やリークの低減という利点を得ることができる。
(絶縁膜の材料)
上記電子デバイスの製造方法において、前期絶縁膜(例えばゲート絶縁膜)としては、従来より使われている低誘電率のSiO、SiON、SiNや誘電率が高いAl、ZrO、HfO、Ta、およびZrSiO、HfSiO等のSilicateやZrAlO等のAluminateからなる群から選択される1又は2以上のものが挙げられる。
(平面アンテナ部材)
本発明の電子デバイス材料の製造方法においては、複数のスロットを有する平面アンテナ部材を介してマイクロ波を照射することにより電子温度が低くかつ高密度なプラズマを形成し、このプラズマを用いて膜の改質を行うため、プラズマダメージが小さく、かつ低温で反応性の高いプロセスが可能となる。
本発明に係る改質が施された膜は、上記複数のスロットを有する平面アンテナ部材を介してマイクロ波を照射することにより得られた電子温度が低くかつ高密度なプラズマを用いて改質されるため、膜中のダングリングボンドが理想的な形で終端される。その結果、膜自体の絶縁特性が向上し、ひいては特性の優れた電子デバイス材料(例えば半導体材料)を得ることができる。また、ウエハ温度、チャンバー温度を低温で使用できるため、省エネルギーのプロセスが可能である。
(好適なプラズマ)
本発明において好適に使用可能なプラズマの特性は、以下の通りである。
電子温度:0.5〜2.0eV
密度:1E10〜5E12 cm−3
プラズマ密度の均一性:±10%以内
本発明によれば、良質な改質絶縁膜を形成することができる。したがって、この改質絶縁膜上に他の層(例えば、電極層)を形成することにより、特性に優れた半導体装置の構造を形成することが容易である。
(絶縁膜の好適な特性)
本発明によれば、下記のように好適な特性を有する改質絶縁膜を容易に形成することができる。
リーク電流の低減:デバイスの低消費電力化
フラシュメモリに応用することで長寿命の記憶保持能力
信頼性の向上:動作回数の増大に伴う劣化を抑制
(半導体構造の好適な特性)
本発明の方法の適用すべき範囲は特に制限されないが、本発明により形成可能な良質な改質絶縁膜は、フラッシュメモリ構造の絶縁膜として特に好適に利用することができる。
本発明によれば、下記のように好適な特性を有するフラッシュメモリ構造を容易に製造することができる。なお、本発明により改質した絶縁膜の特性を評価する際には、例えば、文献(IEEE TRANSACTIONS ON ELECTRON DEVICES,Vol46,No.9,SEPTEMBER 1999 PP1866−1871)に記載されたような標準的なフラッシュメモリを形成して、そのフラッシュメモリの特性を評価することにより、上記絶縁膜自体の特性評価に代えることができる。このような標準的なフラッシュメモリ構造においては、該構造を構成する絶縁膜の特性が、フラッシュメモリ特性に強い影響を与えるからである。
特性:高いくり返し動作安定性
(電子デバイス材料製造の態様)
以下に本発明における一つの態様について説明する。
まず、本発明に係るフラッシュメモリを製造する方法に使用可能な電子デバイス材料の製造装置について説明する。
図1は本発明の電子デバイス材料の製造方法を実施するための電子デバイス(半導体装置)製造装置30の全体構成の一例を示す概略図(模式平面図)である。
図1に示すように、この半導体製造装置30のほぼ中央には、ウエハW(図2)を搬送するための搬送室31が配設されており、この搬送室31の周囲を取り囲むように、ウエハに種々の処理を行うためのプラズマ処理ユニット32、CVD処理ユニット33、各処理室間の連通/遮断の操作を行うための二機のロードロックユニット34および35、種々の加熱操作を行うための加熱ユニット36、およびウエハに種々の加熱処理を行うための加熱反応炉47が配設されている。なお、加熱反応炉47は、上記半導体製造装置30とは別個に独立して設けてもよい。
ロードロックユニット34、35の横には、種々の予備加熱ないし冷却操作を行うための予備加熱ユニット45、冷却ユニット46がそれぞれ配設されている。
搬送室31の内部には、搬送アーム37および38が配設されており、前記各ユニット32〜36との間でウエハW(図2)を搬送することができる。
ロードロックユニット34および35の図中手前側には、ローダーアーム41および42が配設されている。これらのローダーアーム41および42は、更にその手前側に配設されたカセットステージ43上にセットされた4台のカセット44との間でウエハWを出し入れすることができる。
更に、これらプラズマ処理ユニット32およびCVD処理ユニット33は互換性があり、プラズマ処理ユニット32とCVD処理ユニット33とを入れ替えることも可能であり、および/又はプラズマ処理ユニット32やCVD処理ユニット33の位置に一基又は二基のシングルチャンバ型CVD処理ユニットやプラズマ処理ユニットをセットすることも可能である。
(プラズマ処理の一態様)
図2は本発明の処理に使用可能なプラズマ処理ユニット32の模式的な垂直断面図である。
図2を参照して、参照番号50は、例えばアルミニウムにより形成された真空容器である。この真空容器50の上面には、基板(例えばウエハW)よりも大きい開口部51が形成されており、この開口部51を塞ぐように、例えば石英や酸化アルミニウム等の誘電体により構成された偏平な円筒形状の天板54が設けられている。この天板54の下面である真空容器50の上部側の側壁には、例えばその周方向に沿って均等に配置した16箇所の位置にガス供給管72が設けられており、このガス供給管72からOや希ガス、NおよびH等から選ばれた1種以上を含む処理ガスが、真空容器50のプラズマ領域P近傍にムラなく均等に供給されるようになっている。
天板54の外側には、複数のスリットを有する平面アンテナ部材、例えば銅板により形成されたスロットプレインアンテナ(Slot Plane Antenna;SPA)60を介して、高周波電源部をなし、例えば2.45GHzのマイクロ波を発生するマイクロ波電源部61に接続された導波路63が設けられている。この導波路63は、SPA60に下縁が接続された偏平な円形導波管63Aと、この円形導波管63Aの上面に一端側が接続された円筒形導波管63Bと、この円筒形導波管63Bの上面に接統された同軸導波変換器63Cと、この同軸導波変換器63Cの側面に直角に一端側が接続され、他端側がマイクロ波電源部61に接続された矩形導波管63Dとを組み合わせて構成されている。
ここで、本発明においては、UHFとマイクロ波とを含めて高周波領域と呼ぶものとする。すなわち、高周波電源部より供給される高周波電力は300MHz以上のUHFや1GHz以上のマイクロ波を含む、300MHz以上2500MHz以下のものとし、これらの高周波電力により発生されるプラズマを高周波プラズマと呼ぶものとする。
前記円筒形導波管63Bの内部には、導電性材料からなる軸部62の、一端側がSPA60の上面のほぼ中央に接続し、他端側が円筒形導波管63Bの上面に接続するように同軸状に設けられており、これにより当該導波管63Bは同軸導波管として構成されている。
また真空容器50内には、天板54と対向するようにウエハWの載置台52が設けられている。この載置台52には図示しない温調部が内蔵されており、これにより当該載置台52は熱板として機能するようになっている。更に真空容器50の底部には排気管53の一端側が接続されており、この排気管53の他端側は真空ポンプ55に接続されている。
(SPAの一態様)
図3は本発明の電子デバイス材料の製造装置に使用可能なSPA60の一例を示す模式平面図である。
この図3に示したように、このSPA60では、表面に複数のスロット60a、60a、…が同心円状に形成されている。各スロット60aは略方形の貫通した溝であり、隣接するスロットどうしは互いに直交して略アルファベットの「T」の文字を形成するように配設されている。スロット60aの長さや配列間隔は、マイクロ波電源部61より発生したマイクロ波の波長に応じて決定されている。
(CVD処理ユニットの一態様)
図4は本発明の電子デバイス材料の製造装置に使用可能なCVD処理ユニット33の一例を示す模式的な垂直断面図である。
図4に示すように、CVD処理ユニット33の処理室82は、例えばアルミニウム等により気密可能な構造に形成されている。この図4では省略さえているが、処理室82内には加熱機構や冷却機構を備えている。
図4に示したように、処理室82には上部中央にガスを導入するガス導入管83が接続され、処理室82内とガス導入管83内とが連通されている。また、ガス導入管83はガス供給源84に接続されている。そして、ガス供給源84からガス導入管83にガスが供給され、ガス導入管83を介して処理室82内にガスが導入されている。このガスとしては、ゲート電極形成の原料となる、例えばシラン等の各種のガス(電極形成ガス)を用いることができ、必要に応じて、不活性ガスをキャリアガスとして用いることもできる。
処理室82の下部には、処理室82内のガスを排気するガス排気管85が接続され、ガス排気管85は真空ポンプ等からなる排気手段(図示せず)に接続されている。この排気手段により、処理室82内のガスがガス排気管85から排気され、処理室82内が所望の圧力に設定されている。
また、処理室82の下部には、ウエハWを載置する載置台87が配置されている。
この図4に示した態様においては、ウエハWと略同径大の図示しない静電チャックによりウエハWが載置台87上に載置されている。この載置台87には、図示しない熱源手段が内設されており、載置台87上に載置されたウエハWの処理面を所望の温度に調整できる構造に形成されている。
この載置台87は、必要に応じて、載置したウエハWを回転できるような機構になっている。
図4中、載置台87の右側の処理室82壁面にはウエハWを出し入れするための開口部82aが設けられており、この開口部82aの開閉はゲートバルブ98を図中上下方向に移動することにより行われる。図4中、ゲートバルブ98の更に右側にはウエハWを搬送する搬送アーム(図示せず)が隣設されており、搬送アームが開口部82aを介して処理室82内に出入りして載置台87上にウエハWを載置したり、処理後のウエハWを処理室82から搬出するようになっている。
載置台87の上方には、シャワー部材としてのシャワーヘッド88が配設されている。このシャワーヘッド88は載置台87とガス導入管83との間の空間を区画するように形成されており、例えばアルミニウム等から形成されている。
シャワーヘッド88は、その上部中央にガス導入管83のガス出口83aが位置するように形成され、シャワーヘッド88下部に設置されたガス供給孔89を通し、処理室82内にガスが導入されている。
(電子デバイス材料の製造の態様)
次に、本発明に係る電子デバイス材料の製造方法の一態様について以下に説明する。
図4は本態様に係る電子デバイス材料の製造方法のフローチャートであり、図6〜図8は本態様に係るフラッシュメモリセルの各製造工程を示した模式的な垂直断面図である。
この態様においては、まず、図5および図6Bに示すように、被処理基体としてのp型SiからなるウエハWに選択的にイオン注入とアニーリング工程によって、n層たる埋込形データライン(不純物埋込層)22を形成する(ステップ1)。
次に、図6Cに示すように、第1絶縁膜を形成するためにウエハWを加熱するかまたはCVD処理して表面を処理し、ウエハW全面にSiO膜(第1のSiO膜)23を形成する(ステップ2)。なお、加熱酸化によりSiO膜23を形成する場合には加熱ユニット36や加熱反応炉47(図1)を使用し、CVD法によりSiO膜23を形成する場合にはCVD処理ユニット33(図1)を使用することができる。
次に、図6Dに示すように、表面に第1のSiO膜23が形成されたウエハWをCVD処理ユニット33のチャンバ内に搬入し、処理ガス、例えばシランガスの存在下に加熱して前記第1のSiO膜23表面に多結晶シリコン層(第1の多結晶シリコン層)24を形成する(ステップ3)。
次いで、この第1の多結晶シリコン層を、例えばフォトリソグラフィおよびドライエッチングの手法により選択的にエッチングしてパターニングし(ステップ4)、図7Aに示すように前記SiO膜23上に浮遊ゲート(Floating Gate)25を形成する。
次いで、再びウエハWをCVD処理ユニット33内(図1)に搬入し、ウエハW表面上にCVD処理を施して、図7Bに示すように、露出された前記浮遊ゲート25の上に第2のSiO層26を第2絶縁層として形成する(ステップ5)。
次に、このウエハWをプラズマ処理ユニット32内(図1)に搬入し、ここで第2のSiO層26にプラズマ処理を施して第2のSiO層26を改質する(ステップ6)。
即ち、CVD処理ユニット33内に搬送アーム37、38を進入させて表面にSiO層が形成されたウエハWを取り出し、次いで、プラズマ処理ユニット32内の真空容器50の側壁に設けたゲートバルブ(図示せず)を開いて搬送アーム37、38により、前記ウエハWを載置台52上に載置する。
続いてゲートバルブを閉じて内部を密閉した後、真空ポンプ55により排気管53を介して内部雰囲気を排気して所定の真空度まで真空引きし、所定の圧力に維持する。一方マイクロ波電源部61より例えば1.80GHz(2200Wのマイクロ波を発生させ、このマイクロ波を導波路により案内してSPA60および天板54を介して真空容器50内に導入し、これにより真空容器50内の上部側のプラズマ領域Pにて高周波プラズマを発生させる。
ここでマイクロ波は矩形導波管63D内を矩形モードで伝送し、同軸導波変換器63Cにて矩形モードから円形モードに変換され、円形モードで円筒形同軸導波管63Bを伝送し、さらに平板状導波路63Aを径方向に伝送していき、SPA60のスロット60aより放射され、天板54を透過して真空容器50に導入される。この際マイクロ波を用いているため高密度、低電子温度のプラズマが発生し、またマイクロ波をSPA60の多数のスロット60aから放射しているためプラズマが高均一な分布となる。
そして載置台52の温度を調節してウエハWを例えば400℃に加熱しながら、ガス供給管72より酸化膜形成用の処理ガスであるクリプトンやアルゴン等の希ガスと、O ガスとを、所定の流量で導入して改質処理を実施する。
例えば、このプラズマ処理は以下の条件で好適に行なうことができる。即ち、処理ガスとして、流量5〜50sccmのO、および、流量500〜2000sccmのクリプトンの混合ガスを用い、300〜700℃、2.7〜135Pa(20〜1000mTorr)の圧力下、プラズマ源の出力1〜3W/cmの条件下で行なうことができる。
この工程では、導入された処理ガスはプラズマ処理ユニット32内にて発生したプラズマ流により活性化(ラジカル化)され、このプラズマにより、ウエハW最上面を覆うSiO膜26の改質が行なわれる。このようにして、上記の改質処理を例えば40秒間行い、ウエハW最上面のSiO膜26の表面に前記処理ガスのプラズマを作用させて改質を行なう。このときに発生する処理ガスのプラズマは電子温度が低く、従って処理ガスのプラズマとSiO膜26とのバイアスは低い値になる。そのため、処理ガスのプラズマがSiO膜26に当接するときに与える衝撃は小さく、いわゆる処理ガスプラズマがSiO膜26表面に衝突するときにSiO膜26に与えるプラズマダメージは小さい。そのためSiO膜26表面および膜中のダングリングボンドが適切に終端され、SiO膜26は高品質の木目細かい状態が得られる。
次にこのようしてプラズマで改質した後、選択エッチング(例えばフォトリソグラフィおよびドライエッチングの手法により)等によりパターニングする(ステップ7)。
次にパターニングが完了したウエハWをCVD処理ユニット33内に搬入し、このCVD処理ユニット33内で処理ガス、例えばシランガスの存在下にウエハWを加熱して、図7Dに示すように、前記改質されたSiO膜26の表面全体にわたって第2の多結晶シリコン層27を形成する(ステップ8)。
次にこの第2の多結晶シリコン層27を選択的エッチング等の方法によりパターニングし(ステップ9)、図8Aに示すように制御ゲート28を形成する。
次いで、図8Bに示すように制御ゲート28の上に第3の絶縁層(SiO膜)29を、例えばCVDにより形成する(ステップ10)。
次いで図8Cに示すように第3の絶縁層をパターニングしてデータライン(n層)22の一部を露出させる(ステップ11)。
更に、図8Dに示すように絶縁層23、26、29とデータライン22の上にアルミニウム等の金属を蒸着して金属層31を形成する(ステップ12)。更に、この金属層をパターニング(例えば、フォトリソグラフィおよび選択的エッチングにより)して電極を形成する(ステップ13)。
以後、一般的な方法によって絶縁膜形成工程、パッシベーション層形成工程、コンタクトホール形成工程、および配線形成工程などを施してセル製造工程を完了する(このような絶縁膜形成工程、パッシベーション層形成工程、コンタクトホール形成工程、および配線形成工程等を含むセル製造工程に関しては、例えば文献ULSI TECHNOLOGY McGRAW−HILL INTERNATIONAL EDITIONS C.Y.CHANG,S.M.SZEを参照することができる)。
上述のSiO膜26の改質工程(ステップ6)では、SiO膜26を改質するに際し、処理ガス雰囲気下で、単結晶シリコンを主成分とするウエハWに、複数のスロットを有する平面アンテナ部材(SPA)を介してマイクロ波を照射することにより酸素(O)および希ガスとを含むプラズマを形成し、このプラズマを用いて前記SiO膜26を改質しているため、品質が高く、かつ膜質制御を首尾よく行うことができる。
上記の改質後の酸化膜(SiO膜26)の品質は、図9のグラフに示すように高いものである。
図9は本態様に係る電子デバイス材料の製造方法の改質工程(ステップ6)によりSiO膜26表面にSPAを介してプラズマを作用させて改質処理を施したSiO膜26の信頼性評価結果を表わしたグラフである。
このグラフの縦軸には故障率の値をとり、横軸にはQbd値(絶縁破壊電荷)をとった。
本測定におけるデバイス構造は、以下1〜7のような方法で作成された。
1:基板
基板にはP型もしくはN型のシリコン基板を用い、比抵抗が1〜30Ωcm、面方位(100)のものを用いる。シリコン基板表面には500A犠牲酸化膜が成膜されている。
2:Gate酸化前洗浄
APM(アンモニア、過酸化水素水、純水の混合液)とHPM(塩酸、過酸化水素水、純水の混合液)およびDHF(フッ酸と純水の混合液)を組み合わせたRCA洗浄によって犠牲酸化膜と汚染要素(金属や有機物、パーティクル)を除去した。
3:SiOの成膜
CVDによりSiO膜を成膜した。780℃に加熱した上記基板上にSiHClとNOをそれぞれ200sccm、400sccmずつ流し、圧力を60Paに保持して30分間処理を行い、60AのCVD酸化膜(High Temperature Oxide:HTO)を成膜した。
4:プラズマ酸化プロセス
3のSiO膜が成膜されたシリコン基板を次に示すような方法で改質した。3のSiO膜が成膜されたシリコン基板を400℃に加熱し、ウェハ上に希ガスと酸素とをそれぞれ1000sccm、20sccmずつ流し、圧力を13Pa〜107Pa(100mTorr〜900mTorr)に保持する。その雰囲気中に複数のスロットを有する平面アンテナ部材(SPA)を介して3W/cmのマイクロ波を照射することにより酸素および希ガスとを含むプラズマを形成し、このプラズマを用いて3のSiO膜の改質を行った。
5:Gate電極用ポリシリコン成膜
3、4で形成したSio膜上にGate電極としてポリシリコンをCVD法にて成膜した。SiO膜の成膜されたシリコン基板を630℃で加熱し、基板上にシランガス250sccmを33Paの圧力下で導入し30分保持することでSiO膜上に膜厚3000Aの電極用ポリシリコンを成膜する。
6:ポリシリコンへのP(リン)ドープ
5で作製されたシリコン基板を800℃に過熱し、基板上にPOClガスと酸素および窒素をそれぞれ350sccm、200sccm、20000sccmずつ常圧下で導入し24分間保持することでポリシリコン中にリンをドープした。
7:パターニング、Gateエッチ
6で作製したシリコン基板上にリソグラフィによりパターニングを施し、HF:HNO:HO=1:60:60の比の薬液中にシリコン基板を3分間浸すことでパターニングされていない部分のポリシリコンを溶かし、MOSキャパシタを作製した。
測定は次に示すようは方法で行った。Gate電極面積が10000umのキャパシタに−0.1A/cmの一定電流のストレスを印加し、絶縁破壊が生じるまでの時間(Break Down Time:Tbd)を測定した。絶縁破壊電荷(Qbd)は電流ストレス−0.1A/cmとTbdの積の絶対値である。
またグラフ▲1▼は参照の為に従来のCVD法により形成したSiO膜(High Temperature Oxide:HTO)のQbd値を示し、グラフ▲2▼はOと希ガスとしてのクリプトンの2存在下にSPAを用いて、上記のSiO膜を圧力100mTorrでプラズマ処理して得たもののQbd値を表し、グラフ▲3▼は上記のSiO膜をOとクリプトンの存在下にSPAを用いて圧力500mTorrでプラズマ処理して得たもののQbd値を表し、グラフ▲4▼は同様に上記のSiO膜をOとクリプトンの存在下にSPAを用いて圧力900mTorrでプラズマ処理して得たもののQbd値を表す。
図9のグラフから明らかなように、従来のCVD法により形成されるSiO膜のQbd値に比較して、本発明の製造方法により改質されたSiO膜のQbd値は高く、信頼性の高い高品質なデバイス特性が期待される。
本発明の電子デバイス製造方法により、従来のCVD酸化膜より高品質の、高いQbd値を備えた酸化膜に改質形成することができた。
(高品質の改質絶縁膜の推定メカニズム)
このように上述の方法により改質された絶縁膜の品質が高くなる理由は、本発明者の知見によれば、次のように推定される。
即ち、SPAを用いて処理ガスにマイクロ波を照射することにより形成されるプラズマは、高密度かつ電子温度が比較的低いプラズマが形成される。そのため、高密度なラジカルを生成することができ、かつプラズマと被処理基体表面とのバイアスは比較的低い値に抑制され、プラズマダメージが小さい。そのためSiO膜中のダングリングボンドがプラズマによって発生した酸素反応種により適度に終端され、弱いSi−Si結合が強固なSi−O−Si結合に変わることで図9に示したような良好な電気特性を持ったSiO膜に改質形成されるものと考えられる。
実施例
以下、実施例により本発明を更に具体的に説明する。
単結晶シリコンを主成分とする被処理基体上に第1のSiO膜を10nm程度形成し、前記被処理基体にCVD処理を施して前記第1のSiO膜上に第1の多結晶シリコン層を100nm〜300nm程度形成する。その後前記被処理基体にCVDおよび高温酸化加熱処理を施して前記第1の多結晶シリコン層上に5〜10nm程度の厚さの第2のSiO膜を形成する。
形成された被処理体を400℃に加熱された載置台上に置き、その第2のSiO膜表面をアルゴン1000sccm、酸素ガス50sccm、全圧500mTの雰囲気において、SPA(Slot Plain Antenna)を介して2W/cm2のマイクロ波を照射することにより生成したプラズマに2min.程度曝す。これらの工程をもって、CVD、高温加熱酸化処理を施された第2のSiO膜を改質し、特性を改善させるものである。
なお、本発明は上記した態様に限定されるものではない。例えば、上記態様では、二つの多結晶シリコン層25と28との間の絶縁層(SiO層)26のみにSPAを介して生成した処理ガスプラズマを用いて表面処理を行なう構成としたが、上記以外の絶縁層、例えばSiO層23、29の一方又は両方を上記と同様にしてSPAを介して生成した処理ガスプラズマを用いた表面処理を行なってもよい。
また、二つの多結晶シリコン層25と28の表面をSPAを介して生成した処理ガスプラズマを用いて表面改質をすることで、二つの多結晶シリコン層の表面が平滑となり、多結晶シリコン層25と28の間の絶縁層26(SiOやSiNで形成された層)の信頼性向上が期待できる。また、本工程の処理ガスに希ガスと窒素ガスを用いること等により25もしくは28の多結晶シリコンの耐酸化性を向上させ、後工程における多結晶シリコンの面積変動の抑制が期待できる。
さらに、25の多結晶シリコン表面をSPAを介して生成した処理ガスプラズマを用いて酸化することで、26のSiOを形成することも可能である。この工程は低温で処理を行うことが可能である。通常の熱酸化工程ではデバイス特性を高温により劣化させる恐れがあるが、本工程を用いることで熱工程によるデバイス特性の劣化(ドーパントの拡散など)を抑制しながら酸化膜を形成することが可能となる。
その場合には25〜27の工程まで、大気に暴露することなく、かつ図1に示された半導体製造装置内において自動連続処理することが可能となり、半導体性能の信頼性向上および製造工程の簡素化が期待できる。
(第2の態様)
以下に、本発明の第2の態様について説明する。この第2の態様においては、ロジックデバイスの製造工程で絶縁膜をSPAプラズマ処理により表面改質させる構成とした。
図10は本態様に係るロジックデバイスの製造工程を示したフローチャートであり、図11は本態様に係るロジックデバイスの製造工程を模式的に示した模式的な垂直断面図である。
本様態に関わるロジックデバイスの製造方法は大別して以下のような流れとなる。
素子分離→MOSトランジスタ作製→容量作製→層間絶縁膜成膜および配線
以下にSPAのプロセスが含まれるMOSトランジスタ作製の中の前工程であるMOS構造の作製について、一般的な例を挙げて解説を行う。
1:基板
基板にはP型もしくはN型のシリコン基板を用い、比抵抗が1〜30Ωcm、面方位(100)のものを用いる。
シリコン基板上には目的に応じ、STIやLOCOS等の素子分離工程やチャネルインプラが施されており、Gate酸化膜やGate絶縁膜が成膜されるシリコン基板表面には犠牲酸化膜が成膜されている(図11A)。
2:Gate酸化膜(Gate絶縁膜)成膜前の洗浄
一般にAPM(アンモニア、過酸化水素水、純水の混合液)とHPM(塩酸、過酸化水素水、純水の混合液)およびDHF(フッ酸と純水の混合液)を組み合わせたRCA洗浄によって犠牲酸化膜と汚染要素(金属や有機物、パーティクル)を除去する。必要に応じ、SPM(硫酸と過酸化水素水の混合液)、オゾン水、FPM(フッ酸、過酸化水素水、純水の混合液)、塩酸水(塩酸と純水の混合液)、有機アルカリなどを用いる時もある。
3:Gate酸化膜(Gate絶縁膜)の形成
Gate絶縁膜形成には熱酸化を用いるプロセスと、CVDを用いるプロセスに大別される。ここでは主にCVDによるGate絶縁膜の形成について述べる。CVDによるGate絶縁膜の形成は、原料ガス(例えばSiHとNO)を200℃から1000℃の範囲内で加熱した前述のシリコン基板上に供給し、熱によって形成された反応種(例えばSiラジカルとOラジカル)を膜表面にて反応させることで成膜(例えばSiO)を行う。反応種はプラズマにより生成されることもある。一般にGate酸化膜の膜厚としては1nmから10nmの膜厚が用いられる(図11B)。
4:SPAプラズマによるGate絶縁膜改質処理
3に述べたCVDによる絶縁膜に、SPAプラズマを形成するガスを希ガスと酸素を主とすることで酸化を施し、CVD膜の改質を行う。酸化による効果には、膜中の弱いSi−Si結合を強固なSi−O−Si結合に変えることで膜特性の改善を目指す効果などがある。また、SPAプラズマを形成するガスを希ガスと窒素を含むガスとすることで、プラズマ窒化処理を施すことも可能である。窒化による効果には、高誘電率化による薄膜化やGate電極からのドーパントの拡散抑制作用などがある。(図11B)
5:Gate電極用ポリシリコン成膜
3、4で形成したGate絶縁膜(Gate酸化膜、Gate酸窒化膜を含む)上にMOSトランジスタのGate電極としてポリシリコン(アモルファスシリコンを含む)をCVD法にて成膜する。Gate絶縁膜の成膜されたシリコン基板を500℃から650℃の範囲内で加熱し、基板上にシリコンを含むガス(シラン、ジシラン等)を10から100Paの圧力下で導入することでGate絶縁膜上に膜厚50nmから500nmの電極用ポリシリコンを成膜する。Gate電極としてはポリシリコンの代替として、シリコンゲルマニウムやメタル(W、Ru、TiN、Ta、Moなど)が用いられることがある(図11C)。
その後、Gateのパターンニング、選択エッチングを行い、MOSキャパシタを形成し(図11D)、イオンエッチングによるソース、ドレインを形成する(図11E)。続いて後工程となる層間絶縁膜の成膜、パターンニング、選択エッチング、メタルの成膜を組み合わせた配線工程を経て本様態に関わるロジックデバイスが得られる(図11F)。
なお、本様態では絶縁膜として酸化膜(SiO膜)を形成したが、それ以外の組成からなる絶縁膜を形成することも可能である。ゲート絶縁膜としては、従来より使われている低誘電率のSiO、SiON、SiNや誘電率が高いAl、ZrO、HfO、Ta、およびZrSiO、HfSiO等のSilicateやZrAlO等のAluminateからなる群から選択される1又は2以上のものが挙げられる。
本様態では、SPAを介して生成される低温高密度プラズマにより供給される活性原子が、膜表面もしくは膜中を終端させる効果を持つ以外にも、希ガスと窒素を含むガスからなるプラズマにより供給される窒素反応種が表面層に入り込むことで、多結晶シリコンからのドーパントの拡散を抑制するバリアとしての効果が期待できる。
産業上の利用可能性
上述したように本発明によれば、電子デバイス用基板上に配置された絶縁膜に対し、複数のスロットを有する平面アンテナ部材(SPA)を介してマイクロ波を照射する、いわゆるSPAアンテナを用いる方法でシリコン基板上に直接プラズマを供給して絶縁膜(例えばSiO膜)を改質処理することができる。このため、絶縁膜自体を損傷させることなく絶縁膜表面もしくは膜中のダングリングボンドを好適な態様で終端させることができ、高品質の絶縁膜、ひいては高品質の電子デバイス(例えば半導体装置)を得ることができる。
【図面の簡単な説明】
図1は、本発明の電子デバイス製造方法を実施するための製造装置の概略図(模式平面図)である。
図2は、本発明の電子デバイス製造方法に使用可能なスロットプレインアンテナ(Slot Plain Antenna;以下「SPA」と略記する。)プラズマ処理ユニットの一例を示す模式的な垂直断面図である。
図3は、本発明の電子デバイス製造装置に使用可能なSPAの模式平面図である。
図4は、本発明の電子デバイス製造方法に使用可能なCVD処理ユニットの模式的な垂直断面図である。
図5は、本発明の製造方法における各工程の一例を示すフローチャートである。
図6は、本発明の製造方法に係るフラッシュメモリの製造途中の状態の一例を示す模式的な垂直断面図である。
図7は、本発明の製造方法に係るフラッシュメモリの製造途中の状態の一例を示す模式的な垂直断面図である。
図8は、本発明の製造方法に係るフラッシュメモリの製造途中の状態の一例を示す模式的な垂直断面図である。
図9は、各種処理条件とその処理条件で得られる絶縁膜の品質特性を比較したグラフである。
図10は、本発明の第2の実施形態に係るロジックデバイスの製造工程の一例を示すフローチャートである。
図11は、本発明の第2の実施形態に係るロジックデバイスの製造工程の一例を示す模式的な垂直断面図である。
図12は、典型的なフラッシュメモリの模式的な垂直断面図である。
上記図面において、使用した符号の意味は、以下の通りである。
20…ウエハ(被処理基体)、60…SPA(平面アンテナ部材)、23…絶縁膜(第1のSiO2膜)、32…プラズマ処理ユニット(プロセスチャンバ)、33…CVD処理ユニット(プロセスチャンバ)、47…加熱反応炉。

Claims (13)

  1. 電子デバイス用基板と、該基板上に配置された絶縁膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき処理ガスから生成したプラズマに晒し、前記絶縁膜を改質する工程を含む電子デバイス材料の製造方法であって、前記処理ガスは、希ガスと酸素を含む混合ガス、または希ガスと窒素を含む混合ガスであり、前記プラズマは、前記処理ガスが希ガスと酸素を含む混合ガスの場合、前記酸素ガスの流量を1〜1000sccm、前記希ガスの流量を200〜3000sccmとして、前記処理ガスが希ガスと窒素を含むガスの場合、窒素ガスの流量を10〜500sccm、前記希ガスの流量を200〜3000sccmとして生成される、電子デバイス材料の製造方法
  2. 前記生成したプラズマは、電子温度が0.5〜2.0eVである、請求項1に記載の電子デバイス材料の製造方法
  3. 前記絶縁膜はCVD法によって成膜されている、請求項1または2に記載の電子デバイス材料の製造方法。
  4. 前記絶縁膜は、SiO 、SiON、SiN、Al 、ZrO 、HfO 、Ta 、ZrSiO、HfSiOの少なくとも1を含む、請求項1〜3のいずれかに記載の電子デバイス材料の製造方法。
  5. 前記絶縁膜が酸化シリコンである場合、前記処理ガスは希ガスと酸素の混合ガスである、請求項1〜4のいずれかに記載の電子デバイス材料の製造方法
  6. 前記希ガスが、クリプトン、アルゴンまたはヘリウムである、請求項1〜のいずれかに記載の電子デバイス材料の製造方法。
  7. 前記絶縁膜が酸化シリコン(SiO)膜であり、この絶縁膜の改質が、室温〜700℃の温度下および20〜5000mTorr)の圧力下で行なわれる、請求項1〜6のいずれかに記載の電子デバイス材料の製造方法。
  8. 前記絶縁膜上に金属膜を形成する工程をさらに含む、請求項1〜7のいずれかに記載の電子デバイス材料の製造方法。
  9. 電子デバイス用基板と、該基板上に配置された第1のSiO膜と、該第1のSiO膜上に配置された第1の多結晶シリコン層と、第1の多結晶シリコン層上に配置された第2のSiO膜とを少なくとも含む被処理基体を、複数のスロットを有する平面アンテナ部材を介するマイクロ波照射に基づき、希ガスと酸素の混合ガスからなる処理ガスから生成した、電子温度が0.5〜2.0eVであるプラズマに晒し、前記第2のSiO膜を改質する工程を含み、前記プラズマは、前記酸素ガスの流量を1〜1000sccm、前記希ガスの流量を200〜3000sccmとして生成される、電子デバイス材料の製造方法。
  10. 前記第2のSiO膜上に、第2の多結晶シリコン層を形成する請求項に記載の電子デバイス材料の製造方法。
  11. 前記第1の多結晶シリコン層および/又は第2のSiO膜がCVDにより形成されたものである請求項または10に記載の電子デバイス材料の製造方法。
  12. 前記第2の多結晶シリコン層がCVDにより形成されたものである請求項10に記載の電子デバイス材料の製造方法。
  13. 前記第1の多結晶シリコン層を形成する工程と、前記第1の多結晶シリコン層上に第2のSiO膜を形成する工程との間、および/又は、前記第2の多結晶シリコン層を形成した後に、前記被処理基体を複数のスロットを有する平面アンテナ部材を介して、希ガスと酸素の混合ガスからなる処理ガスにマイクロ波を照射することにより生成した、電子温度が0.5〜2.0eVであるプラズマに晒し、このプラズマを用いて前記第1又は第2の多結晶シリコン層を改質する工程を更に含む請求項9〜12のいずれか記載の電子デバイス材料の製造方法。
JP2002560186A 2001-01-25 2002-01-25 電子デバイス材料の製造方法 Expired - Lifetime JP4334225B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001017664 2001-01-25
JP2001017664 2001-01-25
PCT/JP2002/000571 WO2002059956A1 (fr) 2001-01-25 2002-01-25 Procede de fabrication d'un materiau de dispositif electronique

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006239558A Division JP4429300B2 (ja) 2001-01-25 2006-09-04 電子デバイス材料の製造方法

Publications (2)

Publication Number Publication Date
JPWO2002059956A1 JPWO2002059956A1 (ja) 2004-05-27
JP4334225B2 true JP4334225B2 (ja) 2009-09-30

Family

ID=18883822

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002560186A Expired - Lifetime JP4334225B2 (ja) 2001-01-25 2002-01-25 電子デバイス材料の製造方法
JP2009244636A Expired - Lifetime JP4917142B2 (ja) 2001-01-25 2009-10-23 電子デバイス材料の製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009244636A Expired - Lifetime JP4917142B2 (ja) 2001-01-25 2009-10-23 電子デバイス材料の製造方法

Country Status (7)

Country Link
US (3) US6897149B2 (ja)
EP (1) EP1361606B1 (ja)
JP (2) JP4334225B2 (ja)
KR (3) KR20060009395A (ja)
CN (3) CN100585814C (ja)
DE (1) DE60238389D1 (ja)
WO (1) WO2002059956A1 (ja)

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002059956A1 (fr) * 2001-01-25 2002-08-01 Tokyo Electron Limited Procede de fabrication d'un materiau de dispositif electronique
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
AU2003231516A1 (en) * 2002-05-16 2003-12-02 Tokyo Electron Limited Method of treating substrate
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP4408653B2 (ja) * 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
JP4358563B2 (ja) * 2003-07-02 2009-11-04 東京エレクトロン株式会社 半導体装置の低誘電率絶縁膜形成方法
KR100854809B1 (ko) 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법과, 프로그램을 기록한 기억 매체
US20050112281A1 (en) * 2003-11-21 2005-05-26 Rajaram Bhat Growth of dilute nitride compounds
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
WO2005098961A1 (ja) * 2004-04-09 2005-10-20 Tokyo Electron Limited ゲート絶縁膜の形成方法、記憶媒体、及びコンピュータプログラム
JP4280686B2 (ja) * 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
KR101088233B1 (ko) 2004-08-13 2011-11-30 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
KR20070023453A (ko) * 2005-08-24 2007-02-28 삼성전자주식회사 스토리지 노드의 특성을 개선할 수 있는 반도체 메모리소자의 제조 방법
JP2007088301A (ja) * 2005-09-22 2007-04-05 Toshiba Corp 半導体装置および半導体装置の製造方法
KR100670747B1 (ko) * 2005-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조 방법
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
JP4921837B2 (ja) * 2006-04-14 2012-04-25 株式会社東芝 半導体装置の製造方法
CN102332471B (zh) 2006-05-26 2015-10-07 株式会社半导体能源研究所 半导体器件及其制造方法
JP5235333B2 (ja) * 2006-05-26 2013-07-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8114790B2 (en) * 2006-05-31 2012-02-14 Tokyo Electron Limited Plasma CVD method, silicon nitride film formation method, semiconductor device manufacturing method, and plasma CVD apparatus
US8809936B2 (en) * 2006-07-31 2014-08-19 Globalfoundries Inc. Memory cell system with multiple nitride layers
US8143661B2 (en) * 2006-10-10 2012-03-27 Spansion Llc Memory cell system with charge trap
US20080142874A1 (en) * 2006-12-16 2008-06-19 Spansion Llc Integrated circuit system with implant oxide
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US7994892B2 (en) * 2007-06-21 2011-08-09 Jpa Inc. Oxidative opening switch assembly and methods
JP5422854B2 (ja) * 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
KR101248651B1 (ko) 2008-02-08 2013-03-28 도쿄엘렉트론가부시키가이샤 절연막의 형성 방법, 컴퓨터 판독 가능한 기억 매체 및 처리 시스템
WO2009099252A1 (ja) * 2008-02-08 2009-08-13 Tokyo Electron Limited 絶縁膜のプラズマ改質処理方法
DE102008030677B4 (de) * 2008-04-17 2016-01-14 Von Ardenne Gmbh Verfahen und Vorrichtung zur Diffusionsbehandlung von Werkstücken
JP5166297B2 (ja) * 2009-01-21 2013-03-21 東京エレクトロン株式会社 酸化珪素膜の形成方法、半導体メモリ装置の製造方法およびコンピュータ読み取り可能な記憶媒体
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8497196B2 (en) * 2009-10-04 2013-07-30 Tokyo Electron Limited Semiconductor device, method for fabricating the same and apparatus for fabricating the same
US20120266123A1 (en) * 2011-04-12 2012-10-18 Texas Instruments Incorporated Coherent analysis of asymmetric aging and statistical process variation in electronic circuits
JP5663384B2 (ja) * 2011-04-19 2015-02-04 三菱電機株式会社 絶縁膜の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5933394B2 (ja) * 2011-09-22 2016-06-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104282614B (zh) * 2013-07-01 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离结构的方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
JP2022086144A (ja) * 2020-11-30 2022-06-09 東京エレクトロン株式会社 チャンバーコンディションの診断方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230115149A (ko) * 2022-01-26 2023-08-02 김남헌 웨이퍼 식각을 위한 플라즈마 챔버 및 플라즈마 챔버를 이용한 웨이퍼 식각 방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61288471A (ja) * 1985-06-17 1986-12-18 Matsushita Electronics Corp 半導体記憶装置の製造方法
US4822450A (en) * 1987-07-16 1989-04-18 Texas Instruments Incorporated Processing apparatus and method
US4863558A (en) * 1987-07-16 1989-09-05 Texas Instruments Incorporated Method for etching tungsten
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
EP0402867B1 (en) * 1989-06-15 1995-03-01 Sel Semiconductor Energy Laboratory Co., Ltd. Apparatus for microwave processing in a magnetic field
EP0714140B1 (en) * 1994-06-15 2003-09-03 Seiko Epson Corporation Method of manufacturing a semiconductor thin film transistor
JP2978746B2 (ja) * 1995-10-31 1999-11-15 日本電気株式会社 半導体装置の製造方法
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JPH11145131A (ja) * 1997-03-18 1999-05-28 Toshiba Corp 半導体装置の製造方法及び半導体製造装置、及び半導体装置
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
US6008091A (en) * 1998-01-27 1999-12-28 Lucent Technologies Inc. Floating gate avalanche injection MOS transistors with high K dielectric control gates
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
JP4069966B2 (ja) * 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
CN1133215C (zh) * 1998-06-24 2003-12-31 台湾积体电路制造股份有限公司 只读存储器及其制造方法
JP3837937B2 (ja) * 1998-09-28 2006-10-25 セイコーエプソン株式会社 薄膜半導体装置の製造方法
JP3837938B2 (ja) * 1998-09-28 2006-10-25 セイコーエプソン株式会社 薄膜半導体装置の製造方法
JP2000124214A (ja) * 1998-10-15 2000-04-28 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
JP2000208508A (ja) * 1999-01-13 2000-07-28 Texas Instr Inc <Ti> 珪酸塩高誘電率材料の真空蒸着
JP4256520B2 (ja) * 1999-02-26 2009-04-22 忠弘 大見 レーザ発振装置、露光装置及びデバイスの製造方法
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
JP2000332245A (ja) * 1999-05-25 2000-11-30 Sony Corp 半導体装置の製造方法及びp形半導体素子の製造方法
JP2001015504A (ja) * 1999-06-30 2001-01-19 Toshiba Corp 半導体装置の製造方法
US20040142577A1 (en) * 2001-01-22 2004-07-22 Takuya Sugawara Method for producing material of electronic device
WO2002059956A1 (fr) * 2001-01-25 2002-08-01 Tokyo Electron Limited Procede de fabrication d'un materiau de dispositif electronique

Also Published As

Publication number Publication date
CN101752244B (zh) 2012-02-08
WO2002059956A1 (fr) 2002-08-01
US20050176263A1 (en) 2005-08-11
CN101752244A (zh) 2010-06-23
US20070218687A1 (en) 2007-09-20
US7217659B2 (en) 2007-05-15
KR20030091973A (ko) 2003-12-03
KR20060006109A (ko) 2006-01-18
EP1361606B1 (en) 2010-11-24
JPWO2002059956A1 (ja) 2004-05-27
CN100347832C (zh) 2007-11-07
DE60238389D1 (de) 2011-01-05
KR100582481B1 (ko) 2006-05-23
US6897149B2 (en) 2005-05-24
EP1361606A4 (en) 2005-06-29
JP4917142B2 (ja) 2012-04-18
CN100585814C (zh) 2010-01-27
CN1489784A (zh) 2004-04-14
KR20060009395A (ko) 2006-01-31
JP2010062576A (ja) 2010-03-18
EP1361606A1 (en) 2003-11-12
KR100639147B1 (ko) 2006-10-31
US20040048452A1 (en) 2004-03-11
CN1691290A (zh) 2005-11-02

Similar Documents

Publication Publication Date Title
JP4334225B2 (ja) 電子デバイス材料の製造方法
JP4429300B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
JP4001498B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成システム
JP4401375B2 (ja) 電子デバイス材料の製造方法
KR100856531B1 (ko) 반도체 제조방법 및 반도체 제조장치
JP4083000B2 (ja) 絶縁膜の形成方法
JP4562751B2 (ja) 絶縁膜の形成方法
JP4361078B2 (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060904

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090319

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090623

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4334225

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150703

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term