CN100347832C - 电子器件材料的制造方法 - Google Patents

电子器件材料的制造方法 Download PDF

Info

Publication number
CN100347832C
CN100347832C CNB028041542A CN02804154A CN100347832C CN 100347832 C CN100347832 C CN 100347832C CN B028041542 A CNB028041542 A CN B028041542A CN 02804154 A CN02804154 A CN 02804154A CN 100347832 C CN100347832 C CN 100347832C
Authority
CN
China
Prior art keywords
electronic device
film
manufacture method
device material
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028041542A
Other languages
English (en)
Other versions
CN1489784A (zh
Inventor
菅原卓也
中西敏雄
尾﨑成则
松山征嗣
村川惠美
多田吉秀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1489784A publication Critical patent/CN1489784A/zh
Application granted granted Critical
Publication of CN100347832C publication Critical patent/CN100347832C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种具有优良电气特性的绝缘层或半导体层的高质量MOS型半导体等的电子器件材料的制造方法。包括在以单晶硅为主要成分的被处理基体上实施CVD处理形成绝缘膜的工序,以及将所述被处理基体暴露在通过具有多个缝隙的平面天线部件(SPA)对处理气体辐射微波而生成的等离子体中,并使用此等离子体将所述绝缘膜改性的工序。

Description

电子器件材料的制造方法
技术领域
本发明涉及适合半导体或电子器件材料的制造方法。本发明的电子器件材料的制造方法例如可以适合用于形成MOS型半导体结构。
背景技术
一直以来,在形成构成半导体或半导体材料的多个层时,使用各种层形成技术。作为这些层形成技术代表技术,例如可以举出真空蒸镀、喷镀、以及CVD(化学气相淀积)方法。在这些层形成技术中,因为CVD方法具有层形成的成膜速度快、可以在较短时间内成膜的特征,所以在制造以MOS型半导体器件为主的各种半导体或半导体器件材料时,多道工序都使用它。
本发明的制造方法一般可以广泛使用于电子器件材料的制造,但是在这里为说明方便,将以称作闪存存储器的非易失性存储器的一种形式的EPROM为例子,作为本发明的背景技术来进行说明。
EPROM具有如图12所示的多层结构。
参考图12,在p型单晶硅所构成的被处理基体100上,由SiO2构成的绝缘层101和用多晶硅构成的半导体层102及103形成规定图案并相互层叠的层,以及在其上淀积的金属(铝、铜等)所构成的金属层104构成此EPROM多层结构。
在这样的半导体器件中,为形成由多晶硅构成的半导体层102、103或层间的SiO2层,广泛使用上述CVD方法。
但是,使用CVD方法成膜的层表面粗糙、膜中缺陷比较多,有向膜中形成的称为悬挂键的原子结合的能力的倾向。此悬挂键若向膜中形成,会影响该层中以及邻接层的电子流动,使作为层的电气特性恶化,进而有可能产生使电子器件自身质量降低的问题。
发明内容
本发明的一个目的是提供一种能够解决上述现有技术中的问题的电子器件材料的制造方法。
本发明的另一个目的是提供改善构成电子器件(例如半导体)的层的电气特性、能够制造优质的电子器件的制造方法。
本发明的再一个目的是提供具有电气特性优良的绝缘层和半导体层的高质量电子器件材料(例如MOS型半导体)的制造方法。
本发明的电子器件材料制造方法包括:将至少包含电子器件用的衬底和在该衬底上配置的绝缘膜的被处理基体暴露在通过具有多个缝隙的平面天线部件的微波辐射处理气体而生成的等离子体中,对所述绝缘膜进行改性的工序。
根据本发明,还提供一种电子器件材料的制造方法,该方法包括将至少包含电子器件用的衬底、在该衬底上配置的第一SiO2膜、在该第一SiO2膜上配置的第一多晶硅层、以及在第一多晶硅层上配置的第二SiO2膜的被处理基体暴露在通过具有多个缝隙的平面天线部件的微波辐射处理气体而生成的等离子体中,将所述第二SiO2膜改性的工序。
根据本发明,还提供一种电子器件材料的制造方法,该方法包括将至少包含电子器件用的衬底和在该衬底上配置的绝缘膜的被处理基体暴露在通过具有多个缝隙的平面天线部件的微波辐射处理气体而生成的等离子体中、并使用此等离子体将所述绝缘膜改性的工序、以及在所述绝缘膜上形成金属层的工序。
附图说明
图1是用于实施本发明的电子器件制造方法的制造装置的略图(平面示意图)。
图2是表示可用于本发明的电子器件制造方法的缝隙平面天线(SlotPlain Antenna,以下简记为“SPA”)等离子体处理单元的一个例子的垂直剖面示意图。
图3是可用于本发明的电子器件制造装置中的SPA的平面示意图。
图4是可用于本发明的电子器件制造方法中的CVD处理单元的垂直剖面示意图。
图5是表示本发明的制造方法中的各工序的一个例子的流程图。
图6是表示涉及本发明的制造方法的闪存存储器的制造当中的状态的一个例子的垂直剖面示意图。
图7是表示涉及本发明的制造方法的闪存存储器的制造当中的状态的一个例子的垂直剖面示意图。
图8是表示涉及本发明的制造方法的闪存存储器的制造当中的状态的一个例子的垂直剖面示意图。
图9是比较各种处理条件以及在这些处理条件下得到的绝缘膜的质量特性的曲线图。
图10是表示涉及本发明的第二实施例的逻辑器件的制造工序的一个例子的流程图。
图11是表示涉及本发明的第二实施例的逻辑器件的制造工序的一个例子的垂直剖面示意图。
图12是典型的闪存存储器的垂直剖面示意图。
具体实施方式
下面根据需要参考附图详细说明本发明。如下所述中表示定量比的“部分”以及“%”只要不特别讲明,就为质量基准。
(电子器件材料的制造方法)
本发明的电子器件材料的制造方法至少包括,将至少包含电子器件用材料的层和在该层上配置的绝缘膜的被处理基体暴露在通过具有多个缝隙的平面天线部件的微波辐射处理气体而生成的等离子体中,将所述绝缘膜的改性的工序。
(电子器件用的材料)
不特别限制本发明中可使用的电子器件用的材料,可以从公知的电子器件用的材料的一种或者两种以上的组合来适当选择使用。作为这样的电子器件用的材料的例子,例如可以举出半导体材料、液晶器件材料等。作为半导体材料的例子,可以举出以硅为主要成分的材料(单晶硅、多晶硅,非晶硅等)、以氮化硅膜为主要成分的材料、以硅锗为主要成分的材料等。
(绝缘膜)
不特别限制在上述电子器件用材料的层上配置的绝缘膜,可以从公知的电子器件用的材料的一种或者两种以上的组合来适当选择使用。作为这样的绝缘膜的例子,例如可以举出氧化硅膜(SiO2)、氮化硅膜(SiN)等。作为氧化硅膜,从热过程、生产率方面出发,最好是通过CVD形成的膜。
(处理气体)
本发明中不特别限制可以使用的处理气体,可以从可用于电子器件制造的公知的处理气体的一种或者两种以上的组合来适当选择使用。作为这样的处理气体的例子,可以举出稀有气体和氧(O2),或者包含稀有气体和氮(N2)和氢(H2)的混合气体。
(稀有气体)
本发明中不特别限制可以使用的稀有气体,可以从可用于电子器件制造的公知的稀有气体的一种或者两种以上的组合来适当选择使用。作为这样的处理气体的例子,例如可以举出氪(Kr)、氙(Xe)、氦(He)、或者氩(Ar)。
在本发明的绝缘膜的改性中,从应形成的改性膜的特性方面出发,可以适当使用下面的改性条件。
O2:1~1000sccm,更好是10~500sccm,
稀有气体(例如Kr、Ar、He或者Xe):200~3000sccm,更好是500~2000sccm,
H2:1~200sccm,更好是1~50sccm,
温度:室温(25℃)~700℃,更好是室温~500℃,
压力:20~5000mTorr,更好是20~3000mTorr,最好是50~2000mTorr,
微波:0.5~5W/cm2,更好是1~4W/cm2
(合适条件的例子)
在本发明的制造方法中,从应形成的改性的特性方面出发,可以举出下述各条件作为合适的例子。
处理气体合适的一例:含有流量10~500sccm的O2或者N2、以及流量500~2000sccm的Kr、He、Xe或者Ar的气体。
SiO2膜的处理条件合适的一例:室温~500℃的温度。
SiO2膜的处理条件合适的一例:2.7~270Pa(20~2000mTorr)。
SiO2膜的形成条件合适的一例:等离子体以1~4W/cm2的输出形成。
(改变多晶硅层上的SiO2膜特性的实施例)
本发明的另一个实施例的制造方法至少包括,将至少包含电子器件用的衬底、在该衬底上配置的第一SiO2膜、在该第一SiO2膜上配置的第一多晶硅层、以及在第一多晶硅层上配置的第二SiO2膜的被处理基体暴露在通过具有多个缝隙的平面天线部件的微波辐射处理气体而生成的等离子体中,将所述第二SiO2膜改性的工序。在将配置这样的多晶硅层上的SiO2膜进行改性的情况下,可以获得提高动作可靠性的优点。
例如,作为闪存存储器用的控制栅级,也可以在这样改性的所述第二SiO2膜上形成第二多晶硅层。第二SiO2膜也可以是其它的绝缘膜(SiN、或SiN和SiO2的层叠结构)。在这样改性的SiO2膜上形成第二多晶硅层的情况下,可以进一步获得提高动作可靠性的优点。
在用CVD形成上述第一多晶硅层、第二SiO2膜、以及/或第二多晶硅层的情况下,可以进一步获得降低热过程等的优点。从生产率这一点出发,最好用CVD形成全部这些第一多晶硅层、第二SiO2膜、以及第二多晶硅层。
在上述实施例的电子器件材料的制造方法中,还可以包括在形成上述第一多晶硅层的工序和在上述第一多晶硅层上形成第二SiO2膜的工序之间、以及/或在形成上述第二多晶硅层后,将所述被处理基体暴露在通过具有多个缝隙的平面天线部件对处理气体辐射微波而生成等离子体中,使用此等离子体将所述第一或者第二多晶硅层改性的工序。这样,通过另外追加包含暴露在通过平面天线部件的微波辐射处理气体而生成的等离子体中,可以预期使第一和第二多晶硅层的表面变平滑,提高第二SiO2膜的可靠性。此外,因为通过本工序提高第一和第二多晶硅的耐氧化性,就可以期望对后面的工序中多晶硅的面积变化的抑制。再者,在本工序中使用通过SPA生成的处理气体等离子体氧化多晶硅的表面,就可以形成第二SiO2。这一工序可以在低温下进行处理。在通常的热氧化工序中存在由于高温而使器件特性恶化的可能,但是通过使用本工序就可以一边抑制由热工序而使器件特性恶化(掺杂物扩散等)一边形成氧化膜。
(在改性绝缘层上形成金属层的实施例)
本发明的另外一个电子器件材料的制造方法至少包括,将至少包含电子器件用材料的层和在该层上配置的绝缘膜(例如栅极绝缘膜)的被处理基体暴露在通过具有多个缝隙的平面天线部件的微波辐射处理气体而生成的等离子体中,将所述绝缘膜改性的工序,以及在上述绝缘膜上形成金属层的工序。在这样改性的绝缘膜上形成金属层的情况下,可以获得提高动作可靠性和降低泄漏的优点。
(绝缘膜的材料)
在上述电子器件的制造方法中,作为前期绝缘膜(例如栅极绝缘膜)可以从现有技术使用的低介电常数的SiO2、SiON、SiN或高介电常数的Al2O3、ZrO2、HfO2、Ta2O5、以及ZrSiO、HfSiO等的硅酸盐和ZrAlO、HfAlO等的铝酸盐组成的组中选择的一种或者两种中举出。
(平面天线部件)
在本发明的电子器件材料的制造方法中,因为通过具有多个缝隙的平面天线部件的辐射微波来形成电子能级低且高密度的等离子体,并使用此等离子体进行膜的改性,因此可以得到等离子体损失小,而且在低温下进行反应性高的处理。
本发明所涉及的实施改性的膜,因为使用通过具有多个缝隙的平面天线部件的微波辐射而获得电子能级低且密度高的等离子体来改性,因此膜中的悬挂键以理想的形式封端。其结果,可以使膜自身的绝缘特性提高、进而得到特性优良的电子器件材料(例如半导体材料)。此外,因为可以使晶片温度、处理腔温度为低温,因此可以实现节能的处理。
(适合的等离子体)
在本发明中可以使用的合适的等离子体的特性如下:
电子能级:0.5~2.0eV,
密度:1010~5×1012cm-3
等离子体密度的均匀性:±10%以内。
根据本发明,可以形成优质的改性绝缘膜。因而,通过在此改性绝缘膜上形成其它层(例如电极层),就容易形成特性优良的半导体器件结构。
(绝缘膜的合适的特性)
根据本发明,可以容易地形成具有下述这样合适特性的改性绝缘膜。
漏电流降低:器件消耗电力低,
            应用于闪存存储器时有长寿命的存储保持能力,
可靠性的提高:抑制伴随操作次数增大的恶化。
(半导体结构的合适的特性)
不特别限制本发明的方法应适用的范围,但由本发明可形成的优质改性绝缘膜可特别适合用作闪存存储器结构的绝缘膜。
根据本发明可以容易地制造具有下述合适特性的闪存存储器结构。还有,在评价根据本发明改性的绝缘膜的特性时,例如,可以形成文献(IEEE TRANSACTIONS ON ELECTRON DEVICES,Vol46,No.9,SEPTEMBER 1999 PP1866-1871)中所记载的标准闪存存储器,通过评价其闪存存储器的特性来代替评价上述绝缘膜自身的特性。这是因为在这样的标准的闪存存储器结构中,构成该结构的绝缘膜的特性强烈影响闪存存储器特性。
特性:高反复动作稳定性。
(电子器件材料制造的实施例)
以下说明本发明的一个实施例。
首先,说明可以用于涉及本发明的闪存存储器的制造方法的电子器件材料的制造装置。
图1是表示为实施本发明的电子器件材料的制造方法的电子器件(半导体器件)制造装置30的整体结构的一个例子的概略图(平面示意图)。
如图1所示,在此半导体制造装置30的几乎中央处,设置用于运送晶片W(图2)的运送室31,在围绕此运送室31的周围,设置用于对晶片进行各种处理的等离子体处理单元32、CVD处理单元33、用于进行各处理室之间的连通/隔断操作的两台装载锁定单元34及35、用于进行各种加热操作的加热单元36、以及用于对晶片进行各种加热处理的加热反应炉47。还有,加热反应炉47也可以和上述半导体制造装置30分开独立设置。
在装载锁定单元34、35的旁边分别配置用于进行各种预热或者冷却操作的预热单元45、冷却单元46。
在运送室31的内部,设置搬运臂37以及38,可以在上述各单元32~36之间搬运晶片W(图2)。
装载锁定单元34和35的图中靠前一侧设置装料臂41和42。这些装料臂41和42可在更靠前一侧设置的晶片盒载物台43上的4台晶片盒44之间装入运出晶片W。
而且,这些等离子体处理单元32以及CVD处理单元33具有互换性,也可以互换等离子体处理单元32以及CVD处理单元33,和/或在等离子体处理单元32和CVD处理单元33的位置安装一台或者两台单室型CVD处理单元和等离子体处理单元。
(等离子体处理实施例)
图2是表示在本发明的处理中可以使用的等离子体处理单元32的垂直剖面示意图。
参考图2,参考标号50是例如用铝制成的真空容器。在此真空容器50的上面,形成比衬底(例如晶片W)大的开口部分51,设置有例如由石英或氧化铝等电介质构成的扁平的圆筒形状的顶板54,以便塞住此开口部分51。位于此顶板54的下面的真空容器50的上侧的侧壁上设置有在例如沿其周方向均匀配置的16个位置处的气体供给管72,从此气体供给管72把从包含O2或稀有气体、N2以及H2等中选择的一种以上的处理气体均匀地供给真空容器50的等离子体区域P附近。
在顶板54的外侧,设置和通过具有多个缝隙的平面天线部件、例如用铜板形成的缝隙平面天线(Slot Plane Antenna,SPA)60形成的高频电源部分、例如发生2.45GHz微波的微波电源部分61连接的波导路径63。此波导路径63由下缘连接SPA 60的扁平圆形波导管63A、一端和此圆形波导管63A上面连接的圆筒形波导管63B、连接此圆筒形波导管63B上面的同轴波导变换器63C、以及一侧垂直连接此同轴波导变换器63C的侧面的、另一侧连接微波电源部分61的矩形波导管63D组合构成。
这里,在本发明中包含UHF和微波,称为高频区域。即由高频电源部分供给的高频电包含300MHz以上的UHF和1GHz以上的微波,作为300MHz以上2500MHz以下,由这些高频电发生的等离子体称为高频等离子体。
在所述圆筒形波导管63B的内部,由导电材料制成的轴部62的一端与SPA 60的上表面的几近中央处连接、另一端同轴设置以使其与圆筒形波导管63B的上表面连接,由此该波导管63B就作为同轴波导管而构成。
此外,在真空容器50内,设置和顶板54相对的晶片W的安置台52。在此安置台52内装设图中未示出的温度调节部分,由此该安置台52作为加热板而发生作用。还有,真空容器50的底部连接排气管53的一端,此排气管53的另一端连接真空泵55。
(SPA的一个实施例)
图3是表示可用于本发明的电子器件材料制造装置的SPA 60的一个例子的平面示意图。
如图3所示,该SPA 60上,在表面形成多个同心圆状的缝隙60a、60a、…各缝隙60a是近似矩形贯通的槽,使相邻接的缝隙相互正交设置,形成近似字母“T”的文字。缝隙60a的长度或排列间隔对应微波电源部分61发生的微波的波长来决定。
(CVD处理单元的一个实施例)
图4是表示可用于本发明的电子器件材料的制造装置中使用的CVD处理单元33的一个例子的垂直剖面示意图。
如图4所示,CVD处理单元33的处理室82,例如用铝等形成可以密封的结构。处理室82内装备加热机构和冷却机构,但是在图4中省略。
如图4所示,处理室82中在上部中央处连接导入气体的气体导入管83,处理室82内和气体导入管83内连通。此外,气体导入管83连接气体供给源84。然后,从气体供给源84供给气体导入管83气体,通过气体导入管83将气体导入处理室82内。作为这种气体,既可以使用形成栅极的原料、例如硅烷等各种气体(电极形成气体),也可以根据需要将惰性气体作为载流气体来使用。
在处理室82的下部,连接排放处理室82内气体的气体排气管85,气体排气管85连接由真空泵等构成的排气部件(图中未示出)。通过这一排气部件,从气体排气管85排放处理室82内的气体,将处理室82内设定为预期的压力。
此外,在处理室82的下部配置安置晶片W的安置台87。
在此图4所示的实施例中,使用图中未示出的和晶片W大约同样大小直径的静电卡盘把晶片W安放到安置台87上。此安置台87内设有图中未示出的热源部件,可以将在安置台87上放置的晶片W的处理面调节到预期温度。
安置台87根据需要可以为能使安置的晶片W转动的机构。
图4中,在安置台87的右侧的处理室82的壁面上设置为使晶片W出入的开口部分82a,此开口部分82a的开闭通过使门阀98在图中的上下方向上移动来进行。图4中,在门阀98的再右侧相邻设置运送晶片W的搬运臂(图中未示出),搬运臂通过开口部分82a进出处理室82内在安置台87上放置晶片W,把处理后的晶片W从处理室82运出。
在安置台87的上方设置作为喷淋部件的喷头88。此喷头88例如用铝等制成,它将安置台87和气体导入管83之间的空间分开。
喷头88的形成,使气体导入管83的气体出口83a位于其上部中央处,通过在喷头88下部设置的气体供给孔89把气体导入处理室82内。
(电子器件材料的制造的实施例)
下面说明涉及本发明的电子器件材料的制造方法的一个实施例。
图4是关于本实施例的电子器件材料的制造方法的流程图,图6~图8是表示关于本实施例的闪存存储器单元的各制造工序的垂直剖面示意图。
在此实施例中,首先,如图5和图6B所示,由对作为被处理衬底的p型Si构成的晶片W有选择地离子注入和退火工序,形成作为n+层的埋入型数据线(杂质埋入层)22(步骤1)。
接着,如图6C所示,为形成第一绝缘层而加热晶片W或者CVD处理进行表面处理,在晶片W全部面上形成SiO2膜(第一SiO2膜)23(步骤2)。还有,可以在通过加热氧化形成SiO2膜23的情况下使用加热单元36和加热反应炉47(图1),在通过CVD法形成SiO2膜23的情况下使用CVD处理单元33(图1)。
接着,如图6D所示,把在表面上形成第一SiO2膜23的晶片W装入CVD处理单元33的腔内,在处理气体例如硅烷气体存在下加热,在所述第一SiO2膜23的表面上形成多晶硅层(第一多晶硅层)24(步骤3)。
接着,对此第一多晶硅层通过例如光刻和干蚀刻的方法有选择地蚀刻形成图案(步骤4),如图7A所示在上述SiO2膜23上形成浮动栅极(Floating Gate)25。
接着,再次把晶片W装入CVD处理单元33内(图1),在晶片W的表面上实施CVD处理,如图7B所示,在露出来的所述浮动栅极25上形成第二SiO2层26作为第二绝缘层(步骤5)。
接着,把此晶片W装入等离子体处理单元32内(图1),在这里对第二SiO2层26实施等离子体处理,将第二SiO2层26改性(步骤6)。
也就是,使搬运臂37、38进入CVD处理单元33内把在表面上形成SiO2层的晶片W取出,接着打开在等离子体处理单元32内的真空容器50的侧壁上设置的门阀(图中未示出),由搬运臂37、38把上述晶片W放置在安置台52上。
接着在关闭门阀密封内部后,由真空泵55通过排气管53排出内部大气,抽真空到规定的真空度,维持规定的压力。一方面,由微波电源部分61发生例如1.80GHz(2200W)的微波,通过波导路径引导此微波,经过SPA 60和顶板54导入到真空容器50内,由此在真空容器50内的上侧的等离子体区域P中发生高频等离子体。
这里,微波以矩形波模传送到矩形波导管63D内,在同轴波导变换器63C内从矩形波模变换成圆形波模,以圆形波模在圆筒形同轴波导管63B传输,进而在径向方向上在平板形波导路径63A内传输,由SPA 60的缝隙60a辐射并透过顶板54导入到真空容器50内。此时因为使用微波而发生高密度、低电子能级的等离子体,此外因为从SPA 60的多个缝隙60a辐射微波,所以等离子体呈高均匀分布。
然后,调节安置台52的温度,加热晶片W例如到400℃,同时通过气体供给管72把作为形成氧化膜用的处理气体的氪或氩等稀有气体和O2气体以规定的流量导入,实施改性处理。
例如,可以在下面的条件下合适地进行此等离子体处理。即,作为处理气体,使用流量为5~50sccm的O2、以及流量为500~2000sccm的氪的混合气体、在300~700℃、2.7~135Pa(20~1000mTorr)的压力下、可以在等离子体源的输出为1~3W/cm2的条件下进行。
在此工序中,通过导入的处理气体在等离子体处理单元32内发生的等离子体流使之活性化(原子团化),通过此等离子体将覆盖在晶片W最上面的SiO2膜26的进行改性。这样,进行上述改性处理例如40秒,使上述处理气体在等离子体在晶片W最上面的SiO2膜26的表面上发生作用来进行改性。此时发生的处理气体的等离子体电子能级低,随之处理气体的等离子体和SiO2膜26的偏压处于低值。因此,处理气体的等离子体接触SiO2膜26时带来的冲击小,所谓的处理气体的等离子体冲击SiO2膜26的表面时给SiO2膜26的等离子体损失小。因此SiO2膜26的表面以及膜中的悬挂键就合适地被封端,能得到SiO2膜26高质量的纹理细腻的状态。
接着,在这样用等离子体改性后,通过选择蚀刻(例如通过光刻以及干蚀刻的方法)等形成图案(步骤7)。
接着,把结束图案处理的晶片W装入CVD处理单元33内,在此CVD处理单元33内在处理气体例如硅烷气体的存在下加热晶片W,如图7D所示,在所述改性的SiO2膜26的全部表面上形成第二多晶硅层27(步骤8)。
接着,通过对此第二多晶硅层27选择性地使用蚀刻等方法形成图案(步骤9),如图8A所示形成控制栅极28。
接着,如图8B所示,在控制栅极28上例如通过CVD形成第三绝缘层(SiO2膜)29(步骤10)。
接着,如图8C所示,对第三绝缘层进行图案形成处理,使数据线(n+层)22的一部分露出(步骤11)。
进而,如图8D所示,在绝缘层23、26、29和数据线22上蒸镀铝等金属,形成金属层31(步骤12)。进一步使此金属层形成图案(例如通过光刻以及选择性的蚀刻方法)形成电极(步骤13)。
以后,使用一般方法实施绝缘膜形成工序、钝化层形成工序、接触孔形成工序、以及配线形成工序等来完成单元制造工序(关于包括这样的绝缘膜形成工序、钝化层形成工序、接触孔形成工序、以及配线形成工序的单元制造工序,例如可以参考文献ULSI TECHNOLOGY McGRAW-HILLINTERNATIONAL EDITIONS C.Y.CHANG,S.M.SZE)。
在上述SiO2膜26的改性工序(步骤6)中,当对SiO2膜26进行改性时,在处理气体的气氛中,在以单晶硅作为主要成分的晶片W上,通过具有多个缝隙的平面天线部件(SPA)辐射微波形成包含氧(O2)以及稀有气体的等离子体,用此等离子体对所述SiO2膜26进行了改性,因此膜质量高,而且可以自始至终地进行膜质的控制。
上述改性后的氧化膜(SiO2膜26)的质量如图9的曲线所示,是很高的。
图9是表示使用涉及本实施例的电子器件材料的制造方法的改性工序(步骤6)在SiO2膜26的表面上通过SPA使等离子体作用并实施改性处理后的SiO2膜26的可靠性评价结果的曲线图。
此曲线图的纵轴取故障率的值,横轴取Qbd值(绝缘击穿电荷)。
在本测量中的器件结构使用下面1~7的方法形成。
1:衬底
衬底使用P型或者N型硅衬底,电阻率为1~30Ωcm,面取向(100)。在硅衬底表面上形成500A的牺牲氧化膜。
2:栅极氧化前的洗净
通过使用APM(氨、过氧化氢溶液、纯水的混合液)和HPM(盐酸、过氧化氢溶液、纯水的混合液)以及DHF(氟酸和纯水的混合液)组合的RCA洗净,去除牺牲氧化膜和污染因素(金属和有机物,微粒)。
3:SiO2膜的形成
通过CVD形成SiO2膜。在加热到780℃的上述衬底上分别以200sccm、400sccm流过SiH2Cl2和N2O,将压力保持60Pa进行30分钟的处理,形成60A的CVD氧化膜(高温氧化物:HTO)。
4:等离子体氧化处理
使用下述方法使形成3的SiO2膜的硅衬底改性。把形成3的SiO2膜的硅衬底在400℃加热,分别使1000sccm、20sccm的稀有气体和氧气流过晶片W,保持压力为13Pa~107Pa(100mTorr~900mTorr)。在此气氛中通过具有多个缝隙的平面天线部件(SPA)进行3W/cm2的微波辐射形成包含氧和稀有气体的等离子体,使用此等离子体对3的SiO2膜进行改性。
5:形成栅极用的多晶硅膜
在3、4中形成的SiO2膜上使用CVD方法形成作为栅电极的多晶硅的膜。将形成SiO2膜的硅衬底在630℃加热,通过在衬底上以33Pa的压力导入硅烷气体250sccm、保持30分钟,在SiO2膜上形成膜厚3000A的电极用的多晶硅的膜。
6:向多晶硅的P(磷)掺杂
将在5中制作的硅衬底加热到800℃,在常压下分别导入350sccm、200sccm、20000sccm的POCl3气体、氧和氮到衬底上,保持24分钟左右,在多晶硅中掺杂磷。
7:图案形成、栅极蚀刻
在6中制作的硅衬底上通过平板印刷进行图案形成,把硅衬底在HF∶HNO3∶H2O=1∶60∶60的药液中浸泡3分钟,溶解未形成图案的部分的多晶硅,制作MOS电容器。
以下面所示的方法进行测定。对栅电极面积为10000μm2的电容器施加-0.1A/cm2的一定电流的应力,测量达到产生绝缘击穿的时间(BreakDown Time:Tbd)。绝缘击穿电荷(Qbd)是电流应力-0.1A/cm2和Tbd的积的绝对值。
此外,曲线①表示为参考起见根据现有技术的CVD法形成的SiO2膜(高温氧化物:HTO)的Qbd值,曲线②表示在O2和作为稀有气体的氪两者存在下使用SPA在压力100mTorr下对上述SiO2膜进行等离子体处理得到的Qbd值,曲线③表示在O2和氪存在下使用SPA在压力500mTorr下对上述SiO2膜进行等离子体处理得到的Qbd值,曲线④表示在O2和氪存在下使用SPA在压力900mTorr下同样对上述SiO2膜进行等离子体处理得到的Qbd值。
由如图9的曲线可知,比较使用现有技术的CVD方法形成的SiO2膜的Qbd值,使用本发明的制造方法而改性的SiO2膜的Qbd值高、可期望得到可靠性高且高质量的器件特性。
根据本发明的电子器件制造方法,可以改性形成具有比现有技术的CVD氧化膜高质量的、高Qbd值的氧化膜。
(高质量改性的绝缘膜的推断机理(mechanism))
这样,由上述方法改性的绝缘膜的质量高的理由,据本发明人所见,作如下推断。
即,通过使用SPA用微波辐射处理气体而形成的等离子体可以形成密度高且电子能级比较低的等离子体。因此,可以生成高密度的原子团,而且可以抑制等离子体和被处理基体表面的偏压到比较低的值,等离子体损失小。因此可以认为SiO2膜中的悬挂键被由等离子体发生的氧气反应核适度封端,弱的Si-Si结合变成牢固的Si-O-Si结合,从而被改性形成如图9所示的具有良好电气特性的SiO2膜。
实施例
下面通过实施例来更具体地说明本发明。
在以单晶硅为主要成分的被处理基体上形成10nm左右的第一SiO2膜,对所述被处理基体实施CVD处理,在所述第一SiO2膜上形成100nm~300nm左右的第一多晶硅层。其后,对所述被处理基体实施CVD及高温氧化加热处理,从而在所述第一多晶硅层上形成厚度为5~10nm左右的第二SiO2膜。
把形成的被处理基体放置在加热到400℃的安置台上,在氩1000sccm、氧气50sccm、全压力500mT的气氛中,将第二SiO2膜表面暴露在通过SPA的2W/cm2的微波辐射而生成的等离子体中2min左右。以这一工序,对进行过CVD、高温加热氧化处理后的第二SiO2膜改性,改善其特性。
还有,本发明不限定于上述实施例。例如,在上述实施例中,仅对在两个多晶硅层25和28之间的绝缘层(SiO2层)26使用通过SPA生成的处理气体等离子体进行表面处理,但是上述以外的绝缘层,例如SiO2层23、29的一个或者两个,也可以和上述同样使用通过SPA生成的处理气体等离子体进行表面处理。
此外,因为对两个多晶硅层25和28的表面使用通过SPA生成的处理气体等离子体进行表面改变特性处理,可以期望使两个多晶硅层的表面变得平滑,多晶硅层25和28之间的绝缘层26(用SiO2和SiN形成的层)的可靠性提高。此外,对于本工序的处理气体,通过使用稀有气体和氮气,可以期望提高25或者28的多晶硅的耐氧化性,抑制在后面的工序中的多晶硅的面积变动。
更有,通过对25的多晶硅表面使用由SPA生成的处理气体等离子体进行氧化,也可以形成26的SiO2。此工序可以在低温下进行处理。通常的热氧化工序中由于高温而使器件特性恶化的可能,但是使用本工序可以在抑制由于热工序使器件特性恶化(掺杂物扩散等)的同时形成氧化膜。
在这一情况下直到25~27工序,不暴露在大气中,而且可以在图1所示的半导体制造装置内自动连续处理,可以期望达到提高半导体性能的可靠性以及使制造工序简单化。
(第二实施例)
下面说明本发明的第二实施例。在此第二实施例中,在逻辑器件的制造工序中使用SPA等离子体处理对绝缘膜进行表面改性。
图10是表示本实施例的逻辑器件制造工序的流程图,图11是示意表示本实施例的逻辑器件的制造工序的垂直剖面示意图。
本实施例的逻辑器件的制造方法大体如下进行。元素分离→制作MOS晶体管→制造电容器→形成层间绝缘膜和配线。
下面举一般的例子说明作为包含SPA处理的MOS晶体管制作中的前期工序的MOS晶体管的制作。
1:衬底
衬底使用P型或者N型硅衬底,使用电阻率1~30Ωcm、面取向(100)的衬底。
在硅衬底上根据目的实施STI和LOCOS等的元素分离工序和沟道注入,在形成栅极氧化膜或栅极绝缘膜的硅衬底表面上形成牺牲氧化膜(图11A)。
2:在形成栅极氧化膜(栅极绝缘膜)前的洗净
一般通过使用APM(氨、过氧化氢溶液、纯水的混合液)和HPM(盐酸、过氧化氢溶液、纯水的混合液)以及DHF(氟酸和纯水的混合液)组合的RCA进行洗净,来去除牺牲氧化膜和污染因素(金属和有机物,微粒)。根据需要,有时也使用SPM(硫酸和过氧化氢溶液的混合液)、臭氧水、FPM(氟酸、过氧化氢溶液、纯水的混合液)、盐酸溶液(盐酸和纯水的混合液)、有机碱等。
3:形成栅极氧化膜(栅极绝缘膜)
对形成栅极绝缘膜,大致分为使用热氧化的处理和使用CVD的处理。这里主要叙述使用CVD形成栅极绝缘膜。使用CVD形成栅极绝缘膜时,把原料气体(例如SiH4和N2O)供给到从200℃到1000℃的范围内加热的所述硅衬底上,使由于热而形成的反应核(例如Si原子团和O原子团)在膜表面上反应来进行成膜(例如SiO2)。有时也用等离子体生成反应核。一般来讲,作为栅极氧化膜的膜厚,使用从1nm到10nm的膜厚(图11B)。
4:使用SPA等离子体的栅极绝缘膜改性处理
对在3中叙述由CVD形成的绝缘膜,通过在形成SPA等离子体的气体中以稀有气体和氧为主来实施氧化,进行CVD膜的改性。对于氧化得到的效果,目标是改变膜中的弱Si-Si结合为牢固的Si-O-Si结合,改善膜的特性。此外,通过在形成SPA等离子体的气体中包含稀有气体和氮的气体,也可以实施等离子体氮化处理。对于氮化得到的效果具有抑制由于高介电常数引起的薄膜化或来自栅电极的掺杂物的扩散作用。
5:形成栅电极用的多晶硅膜
由3、4形成的栅极绝缘膜(包含栅极氧化膜、栅极氮氧化膜)上通过CVD方法使作为MOS型晶体管的栅电极的多晶硅(包含非晶硅)形成膜。将形成栅极绝缘膜的硅衬底在从500℃到650℃的范围内加热,通过在衬底上在从10到100Pa的压力下导入含硅的气体(硅烷,乙硅烷),在栅极绝缘膜上形成膜厚为50nm到500nm的电极用的多晶硅膜。有时,作为栅电极可以使用硅锗和金属(W、Ru、TiN、Ta、Mo等)代替多晶硅(图11C)。
其后,实行栅极的图案形成和选择蚀刻,形成MOS电容器(图11D),通过离子蚀刻形成源极、漏极(图11E)。接着经过组合成为后续工序的层间绝缘膜的成膜、形成图案、选择蚀刻、形成金属膜的配线工序,得到关于本实施例的逻辑器件(图11F)。
再者,在本实施例中形成作为绝缘膜的氧化膜(SiO2膜),但是也可以形成由这些组成以外而形成绝缘膜。作为栅极绝缘膜,可以举出从现有技术使用的低介电常数的SiO2、SiON、SiN或高介电常数的Al2O3、ZrO2、HfO2、Ta2O5、以及ZrSiO、HfSiO等的硅酸盐和ZrAlO、HfAlO等的铝酸盐组成的组中选择的1种或两种以上的物质。
在本实施例中,在封端膜表面或者膜中的使用通过SPA生成的低温高密度等离子体供给的活性原子的效果外,通过从包含稀有气体和氮的气体构成的等离子体所供给的氮气反应核植入表面层,可以期望起到作为抑制来自多晶硅的掺杂物扩散的壁垒这样的效果。
根据上述的本发明,对于在电子器件用的衬底上配置的绝缘膜,通过具有多个缝隙的平面天线部件(SPA)来辐射微波,通过使用所谓的SPA天线的方法在硅衬底上直接供给等离子体,可对绝缘膜(例如SiO2膜)进行改性处理。因此,可以使绝缘膜自身不受损伤、在绝缘膜表面或者膜中以适当形态封端悬挂键,可以得到高质量的绝缘膜,进而得到高质量的电子器件(例如半导体器件)。

Claims (22)

1.一种电子器件材料的制造方法,包括,
将至少包含电子器件用的衬底和在该衬底上配置的绝缘膜的被处理基体暴露在通过平面天线部件对处理气体辐射微波而生成的等离子体中,将所述绝缘膜改性的工序,其中在所述平面天线部件上呈同心圆状贯穿形成多个缝隙。
2.如权利要求1所述电子器件材料的制造方法,其中,
所述电子器件用的衬底是半导体材料或液晶器件材料。
3.如权利要求1或者2所述电子器件材料的制造方法,其中,
所述电子器件用的衬底是将以单晶硅、多晶硅、非晶硅为主要成分的材料、以氮化硅为主要成分的材料、或硅锗作为主要成分的衬底。
4.如权利要求1所述电子器件材料的制造方法,其中,
所述绝缘膜是使用CVD形成的绝缘膜。
5.如权利要求1所述电子器件材料的制造方法,其中,
所述绝缘膜是从SiO2、氮氧化硅膜SiON、氮化硅SiN、氧化铝Al2O3、氧化锆ZrO2、氧化铪HfO2、硅酸盐、铝酸盐组成的组中选择一种或者两种以上。
6.如权利要求5所述电子器件材料的制造方法,其中,
所述硅酸盐是具有ZrSiO或HfSiO组成的硅酸盐,以及/或所述铝酸盐是具有ZrA1O或HfA1O组成的铝酸盐。
7.如权利要求1所述电子器件材料的制造方法,其中,
所述处理气体包含稀有气体和氧气,或者包括稀有气体和氮气。
8.如权利要求1所述电子器件材料的制造方法,其中,
所述处理气体包括稀有气体、氮气和氢气,或者包括稀有气体和氧气和氢气。
9.如权利要求7或8所述电子器件材料的制造方法,其中,
所述稀有气体是氪、氙、氩或者氦。
10.如权利要求9所述电子器件材料的制造方法,其中,
所述处理气体是包含流量为1~1000sccm的O2;流量为200~3000sccm的氪、氦、氙或者氩;以及流量为1~200sccm的氢的气体。
11.如权利要求1所述电子器件材料的制造方法,其中,
所述绝缘膜的改性在室温至700℃的温度下进行。
12.如权利要求1所述电子器件材料的制造方法,其中,
所述绝缘膜的改性在20~5000mTorr的压力下进行。
13.如权利要求1所述电子器件材料的制造方法,其中,
所述等离子体以0.5~5W/cm2的输出而形成。
14.如权利要求1所述电子器件材料的制造方法,还包括在上述改性了的绝缘膜上形成金属层的工序。
15.一种电子器件材料的制造方法,包括,
将至少包含电子器件用的衬底、在该衬底上配置的第一SiO2膜、在该第一SiO2膜上配置的第一多晶硅层、以及第一多晶硅层上配置的第二SiO2膜的被处理基体暴露在通过平面天线部件对处理气体辐射微波而生成的等离子体中,将所述第二SiO2膜改性的工序,其中在所述平面天线部件上呈同心圆状贯穿形成多个缝隙。
16.如权利要求15所述电子器件材料的制造方法,其中,
在所述第二SiO2膜上形成第二多晶硅层,并形成控制栅极。
17.如权利要求15或者16所述电子器件材料的制造方法,其中,
所述第一多晶硅层以及/或第二SiO2膜是使用CVD形成的。
18.如权利要求16所述电子器件材料的制造方法,其中,
所述第二多晶硅层是使用CVD形成的。
19.如权利要求15所述电子器件材料的制造方法,其中,
所述第一多晶硅层上的第二SiO2膜是使用CVD形成的。
20.如权利要求15所述电子器件材料的制造方法,其中,
所述电子器件用的衬底是半导体材料或液晶器件材料。
21如权利要求15或20所述电子器件材料的制造方法,其中,
所述电子器件用的衬底是将以单晶硅、多晶硅、非晶硅为主要成分的材料、以氮化硅为主要成分的材料、或硅锗作为主要成分的衬底。
22.如权利要求16所述电子器件材料的制造方法,其中,
在形成所述第一多晶硅层的工序和在所述第一多晶硅层上形成第二SiO2膜的工序之间,以及/或,在形成所述第二多晶硅层之后,还包含将所述被处理基体暴露在通过所述平面天线部件对处理气体辐射微波而生成的等离子体中,并使用此等离子体将所述第一或者第二多晶硅层改性的工序。
CNB028041542A 2001-01-25 2002-01-25 电子器件材料的制造方法 Expired - Fee Related CN100347832C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP17664/2001 2001-01-25
JP2001017664 2001-01-25
JP17664/01 2001-01-25

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN200510068210A Division CN100585814C (zh) 2001-01-25 2002-01-25 等离子体处理方法

Publications (2)

Publication Number Publication Date
CN1489784A CN1489784A (zh) 2004-04-14
CN100347832C true CN100347832C (zh) 2007-11-07

Family

ID=18883822

Family Applications (3)

Application Number Title Priority Date Filing Date
CN200510068210A Expired - Fee Related CN100585814C (zh) 2001-01-25 2002-01-25 等离子体处理方法
CNB028041542A Expired - Fee Related CN100347832C (zh) 2001-01-25 2002-01-25 电子器件材料的制造方法
CN2009102534267A Expired - Fee Related CN101752244B (zh) 2001-01-25 2002-01-25 等离子体处理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200510068210A Expired - Fee Related CN100585814C (zh) 2001-01-25 2002-01-25 等离子体处理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009102534267A Expired - Fee Related CN101752244B (zh) 2001-01-25 2002-01-25 等离子体处理方法

Country Status (7)

Country Link
US (3) US6897149B2 (zh)
EP (1) EP1361606B1 (zh)
JP (2) JP4334225B2 (zh)
KR (3) KR100582481B1 (zh)
CN (3) CN100585814C (zh)
DE (1) DE60238389D1 (zh)
WO (1) WO2002059956A1 (zh)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60238389D1 (de) * 2001-01-25 2011-01-05 Tokyo Electron Ltd Verfahren zur herstellung von elektronikbauteilmaterial
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP4408653B2 (ja) * 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
JP4358563B2 (ja) * 2003-07-02 2009-11-04 東京エレクトロン株式会社 半導体装置の低誘電率絶縁膜形成方法
JP4627262B2 (ja) 2003-11-11 2011-02-09 東京エレクトロン株式会社 低誘電率膜の形成方法
US20050112281A1 (en) * 2003-11-21 2005-05-26 Rajaram Bhat Growth of dilute nitride compounds
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
EP1742273A4 (en) * 2004-04-09 2008-07-09 Tokyo Electron Ltd METHOD FOR FORMING GRID ISOLATION FILM, STORAGE MEDIUM, AND COMPUTER PROGRAM
JP4280686B2 (ja) * 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
US8105958B2 (en) 2004-08-13 2012-01-31 Tokyo Electron Limited Semiconductor device manufacturing method and plasma oxidation treatment method
KR20070023453A (ko) * 2005-08-24 2007-02-28 삼성전자주식회사 스토리지 노드의 특성을 개선할 수 있는 반도체 메모리소자의 제조 방법
JP2007088301A (ja) * 2005-09-22 2007-04-05 Toshiba Corp 半導体装置および半導体装置の製造方法
KR100670747B1 (ko) * 2005-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조 방법
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
JP4921837B2 (ja) * 2006-04-14 2012-04-25 株式会社東芝 半導体装置の製造方法
JP5235333B2 (ja) * 2006-05-26 2013-07-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2007138937A1 (en) * 2006-05-26 2007-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
WO2007139142A1 (ja) * 2006-05-31 2007-12-06 Tokyo Electron Limited プラズマcvd方法、窒化珪素膜の形成方法、半導体装置の製造方法およびプラズマcvd装置
US8809936B2 (en) * 2006-07-31 2014-08-19 Globalfoundries Inc. Memory cell system with multiple nitride layers
US8143661B2 (en) * 2006-10-10 2012-03-27 Spansion Llc Memory cell system with charge trap
US20080142874A1 (en) * 2006-12-16 2008-06-19 Spansion Llc Integrated circuit system with implant oxide
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US7994892B2 (en) * 2007-06-21 2011-08-09 Jpa Inc. Oxidative opening switch assembly and methods
JP5422854B2 (ja) * 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JPWO2009099252A1 (ja) * 2008-02-08 2011-06-02 東京エレクトロン株式会社 絶縁膜のプラズマ改質処理方法
KR101248651B1 (ko) 2008-02-08 2013-03-28 도쿄엘렉트론가부시키가이샤 절연막의 형성 방법, 컴퓨터 판독 가능한 기억 매체 및 처리 시스템
DE102008030679B4 (de) * 2008-04-17 2016-01-28 Von Ardenne Gmbh Vorrichtung zur Diffusionsbehandlung von Werkstücken
JP5166297B2 (ja) 2009-01-21 2013-03-21 東京エレクトロン株式会社 酸化珪素膜の形成方法、半導体メモリ装置の製造方法およびコンピュータ読み取り可能な記憶媒体
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8497196B2 (en) * 2009-10-04 2013-07-30 Tokyo Electron Limited Semiconductor device, method for fabricating the same and apparatus for fabricating the same
US20120266123A1 (en) * 2011-04-12 2012-10-18 Texas Instruments Incorporated Coherent analysis of asymmetric aging and statistical process variation in electronic circuits
JP5663384B2 (ja) * 2011-04-19 2015-02-04 三菱電機株式会社 絶縁膜の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5933394B2 (ja) * 2011-09-22 2016-06-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104282614B (zh) * 2013-07-01 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离结构的方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP7489905B2 (ja) * 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230115149A (ko) * 2022-01-26 2023-08-02 김남헌 웨이퍼 식각을 위한 플라즈마 챔버 및 플라즈마 챔버를 이용한 웨이퍼 식각 방법
KR20240056321A (ko) * 2022-10-21 2024-04-30 주식회사 나이스플라즈마 플라즈마 챔버 및 플라즈마 챔버를 이용한 웨이퍼 식각 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1154001A (zh) * 1995-10-31 1997-07-09 日本电气株式会社 不残留氢的非单晶薄膜晶体管的半导体器件的制造方法
JPH11293470A (ja) * 1998-04-10 1999-10-26 Tokyo Electron Ltd シリコン酸化膜の成膜方法および装置
CN1239832A (zh) * 1998-06-24 1999-12-29 世大积体电路股份有限公司 只读存储器及其制造方法
JP2000106438A (ja) * 1998-09-28 2000-04-11 Seiko Epson Corp 薄膜半導体装置の製造方法
JP2000124214A (ja) * 1998-10-15 2000-04-28 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
EP1028458A2 (en) * 1999-01-13 2000-08-16 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
JP2000332245A (ja) * 1999-05-25 2000-11-30 Sony Corp 半導体装置の製造方法及びp形半導体素子の製造方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61288471A (ja) * 1985-06-17 1986-12-18 Matsushita Electronics Corp 半導体記憶装置の製造方法
US4822450A (en) * 1987-07-16 1989-04-18 Texas Instruments Incorporated Processing apparatus and method
US4863558A (en) * 1987-07-16 1989-09-05 Texas Instruments Incorporated Method for etching tungsten
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
EP0402867B1 (en) * 1989-06-15 1995-03-01 Sel Semiconductor Energy Laboratory Co., Ltd. Apparatus for microwave processing in a magnetic field
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JPH11145131A (ja) * 1997-03-18 1999-05-28 Toshiba Corp 半導体装置の製造方法及び半導体製造装置、及び半導体装置
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
US6008091A (en) * 1998-01-27 1999-12-28 Lucent Technologies Inc. Floating gate avalanche injection MOS transistors with high K dielectric control gates
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
JP3837938B2 (ja) * 1998-09-28 2006-10-25 セイコーエプソン株式会社 薄膜半導体装置の製造方法
JP4256520B2 (ja) * 1999-02-26 2009-04-22 忠弘 大見 レーザ発振装置、露光装置及びデバイスの製造方法
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
JP2001015504A (ja) * 1999-06-30 2001-01-19 Toshiba Corp 半導体装置の製造方法
EP1361605A4 (en) * 2001-01-22 2006-02-15 Tokyo Electron Ltd METHOD FOR PRODUCING MATERIAL OF AN ELECTRONIC COMPONENT
DE60238389D1 (de) * 2001-01-25 2011-01-05 Tokyo Electron Ltd Verfahren zur herstellung von elektronikbauteilmaterial

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1154001A (zh) * 1995-10-31 1997-07-09 日本电气株式会社 不残留氢的非单晶薄膜晶体管的半导体器件的制造方法
JPH11293470A (ja) * 1998-04-10 1999-10-26 Tokyo Electron Ltd シリコン酸化膜の成膜方法および装置
CN1239832A (zh) * 1998-06-24 1999-12-29 世大积体电路股份有限公司 只读存储器及其制造方法
JP2000106438A (ja) * 1998-09-28 2000-04-11 Seiko Epson Corp 薄膜半導体装置の製造方法
JP2000124214A (ja) * 1998-10-15 2000-04-28 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
EP1028458A2 (en) * 1999-01-13 2000-08-16 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
JP2000332245A (ja) * 1999-05-25 2000-11-30 Sony Corp 半導体装置の製造方法及びp形半導体素子の製造方法

Also Published As

Publication number Publication date
CN101752244B (zh) 2012-02-08
WO2002059956A1 (fr) 2002-08-01
CN101752244A (zh) 2010-06-23
KR100582481B1 (ko) 2006-05-23
CN1691290A (zh) 2005-11-02
US20070218687A1 (en) 2007-09-20
JP4917142B2 (ja) 2012-04-18
EP1361606B1 (en) 2010-11-24
JPWO2002059956A1 (ja) 2004-05-27
EP1361606A1 (en) 2003-11-12
JP4334225B2 (ja) 2009-09-30
CN1489784A (zh) 2004-04-14
KR100639147B1 (ko) 2006-10-31
KR20030091973A (ko) 2003-12-03
KR20060009395A (ko) 2006-01-31
US20050176263A1 (en) 2005-08-11
US7217659B2 (en) 2007-05-15
DE60238389D1 (de) 2011-01-05
KR20060006109A (ko) 2006-01-18
CN100585814C (zh) 2010-01-27
US6897149B2 (en) 2005-05-24
JP2010062576A (ja) 2010-03-18
EP1361606A4 (en) 2005-06-29
US20040048452A1 (en) 2004-03-11

Similar Documents

Publication Publication Date Title
CN100347832C (zh) 电子器件材料的制造方法
CN1860596A (zh) 电子器件材料的制造方法
CN1967780B (zh) 用于制作场效应晶体管的栅极电介质的方法
CN100352016C (zh) 半导体器件及其制造方法
JP4429300B2 (ja) 電子デバイス材料の製造方法
TWI415193B (zh) 用以製造場效電晶體之閘極介電質的方法
US7446052B2 (en) Method for forming insulation film
US7655574B2 (en) Method of modifying insulating film
CN1669153A (zh) 半导体器件及其制造方法和制造设备
CN1620720A (zh) 基底绝缘膜的形成方法
CN1555580A (zh) 半导体器件及其制造方法
CN1638061A (zh) 形成介电薄膜的方法
CN1384549A (zh) 半导体装置、互补型半导体装置
CN101075577A (zh) 半导体装置的制造方法
CN101053083A (zh) 半导体装置的制造方法和等离子体氧化处理方法
CN1457508A (zh) 绝缘膜的形成方法和半导体装置的制造方法
CN1873927A (zh) 等离子体处理方法
CN1820373A (zh) 栅极绝缘膜的形成方法、存储介质、计算机程序
CN1663045A (zh) 半导体器件及其制造方法
CN1617311A (zh) 半导体器件的制造方法
CN1509497A (zh) 半导体集成电路器件及其制造方法
WO2010038887A1 (ja) 二酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
CN1701426A (zh) 半导体器件的制造方法
JP2006073758A (ja) 半導体装置の製造方法
JP2007053299A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071107

Termination date: 20140125