TWI415193B - 用以製造場效電晶體之閘極介電質的方法 - Google Patents

用以製造場效電晶體之閘極介電質的方法 Download PDF

Info

Publication number
TWI415193B
TWI415193B TW096115996A TW96115996A TWI415193B TW I415193 B TWI415193 B TW I415193B TW 096115996 A TW096115996 A TW 096115996A TW 96115996 A TW96115996 A TW 96115996A TW I415193 B TWI415193 B TW I415193B
Authority
TW
Taiwan
Prior art keywords
oxide layer
gate dielectric
layer
substrate
dielectric layer
Prior art date
Application number
TW096115996A
Other languages
English (en)
Other versions
TW200743162A (en
Inventor
Thai Cheng Chua
Cory Czarnik
Andreas G Hegedus
Christopher Sean Olsen
Khaled Z Ahmed
Philip Allan Kraus
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200743162A publication Critical patent/TW200743162A/zh
Application granted granted Critical
Publication of TWI415193B publication Critical patent/TWI415193B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用以製造場效電晶體之閘極介電質的方法
本發明實施態樣大致係關於在半導體基材上製造元件的方法。更明確的說,本發明係關於一種製造場效電晶體的方法,特別是一種製造場效電晶體之閘極介電質的方法。
積體電路可包含百萬個以上形成在其基板上且彼此可於電路內協同執行各種功能的微型電子場效電晶體(例如,互補式金氧半導體(CMOS)場效電晶體)。一CMOS電晶體包括位於一通道區域上方的閘極結構,該通道區域係形成在該電晶體的源極與汲極區域間。該閘極結構一般包括一閘極電極及一閘極介電質(a gate dielectric)。該閘極電極位在該閘極介電質上方,且當其運作時可被用來控制閘極介電質下方通道區域內電荷載子(即,電流)的流動。
該閘極介電質一般是由氮化矽(Si3 N4 )或氧氮化矽(SiON)所形成。為提高電晶體的速度,在高階積體電路中的閘極介電質厚度一般設定在約20-30Å,或更低。但是,製造具有如此薄之閘極介電質的閘極結構是一項大挑戰。製造技術上的一大問題就是來自閘極介電質的高漏電流,以及因為大量氮氣擴散進入電晶體中的矽/閘極介電質界面所導致的通道區域內電荷載子遷移力下降。此外,氮與閘極電極之多晶矽間的反應也會使VFB /Vt 偏移,其中VFB 為扁平帶電壓且Vt 為閥電壓(threshold voltage)。
因此,亟需提供一種用以製造場效電晶體之閘極介電質的改良方法。
本發明實施例大致係關於一種用以製造一場效電晶體之閘極介電質的方法。本發明可被用於諸如微處理器、具特定用途的積體電路(Application specific integrated circuits,ASICs)、電子記憶元件等類的積體電路元件中。
在一實施例中,本發明包括以下步驟:從一矽基材上移除一原生氧化物層,在該基材上生成一第一氧化物層,在該第一氧化物層上生成一閘極介電質(例如,氮化矽(Si3 N4 )、氧化鉿(HfO2 )、矽酸鉿(HfSix Oy ,其中x及y為整數)等類似物),在該閘極介電層上生成一第二氧化物層,及將所生成的層以及該第一氧化層與基材間的界面加以退火。選擇性的,可於形成該閘極介電質層之前,先將該基材上的第一氧化物層加以氮化。選擇性的,也可於該閘極介電質層上生成該第二氧化物層之前,先將該閘極介電質層加以氮化。在一實施例中,可以一集積式半導體基材處理系統(亦即,群集工具(a cluster tool))的處理室來實施至少一部份之本發明方法步驟。在一實施例中,位於基材上的氧化物層係利用沉積該第一氧化物層來形成的,且位於該閘極介電質層上的氧化物層係利用將該閘極介電質層加以氧化而形成的。在另一實施例中,位於基材上的氧化物層係利用沉積該第一氧化物層來形成的,且位於該閘 極介電質層上的氧化物層則係利用沉積該第二氧化物層於該閘極介電質層上來形成的。
在另一實施例中,一種用以在一基材上製造一場效電晶體之閘極介電質的方法,包括以下步驟:從該基材上移除一原生氧化物層及將該基材放置在充滿氮氣或是真空的環境內;在該矽基材上生成一第一熱氧化物層;在該第一熱氧化物層上生成一閘極介電質層;在該閘極介電層層上形成一第二氧化物層;及將具有該第一熱氧化物層及該氧化的閘極介電質層形成於其上之基材加以熱退火。在一實施例中,位於基材上的氧化物層係利用沉積該第一氧化物層來形成的,且位於該閘極介電質層上的氧化物層係利用一含氧電漿將至少一部份該閘極介電質層加以氧化而形成的。在另一實施例中,位於基材上的氧化物層係利用沉積該第一氧化物層來形成的,且位於該閘極介電質層上的氧化物層則係利用形成一熱氧化物層,亦即,沉積該第二氧化物層於該閘極介電質層上,來形成的。
在本發明另一態樣中,揭示一種集積式半導體基材處理系統,用以製造一場效電晶體之閘極介電質。在一實施例中,該系統包括至少一第一反應室,其係用以在一矽基材上生成一熱氧化物層;至少一第二反應室,其係用以沉積一閘極介電層在該熱氧化物層上;至少一第三反應室,其係用以將該閘極介電層氧化;至少一裝載閘(load lock)室;至少一基材傳送室,其係耦接至每一上述反應室及該些裝載閘室;及一控制器,用來管理及監控該處理系統的 操作。
本發明另一態樣揭示一種用以製造一場效電晶體之一閘極介電質之集積式半導體基材處理系統。在一實施例中,該系統包括一反應室,其經設定用來在一矽基材上形成一熱氧化物層,該反應室被設定用來沉積一閘極介電層在該熱氧化物層上且被設定用來形成一熱氧化物層在該閘極介電層上;一去耦合電漿源;一或多裝載閘室;及一控制器,用以管理及監控該處理系統的操作。
本發明是一種用來製造具有超薄閘極介電質(例如,低於約20-30Å)之場效電晶體的閘極介電質的方法。本發明可被用來製造積體半導體元件和電路。
第1圖的流程圖示出依據本發明一實施例來製作一場效電晶體之閘極介電質的方法100。該方法100包括在製造一例示的CMOS場效電晶體的閘極結構期間,於一基材上執行的各種處理步驟。在某些實施例中,這些處理步驟係依據所揭示順序實施。在其他實施例中,這些步驟中的至少兩步驟可同時實施或以不同順序來實施。一些次要步驟及輔助性步驟(例如,在反應室、反應控制步驟等之間移送基材的步驟),因為已是此領域中習知的,故在此略去不提。
該方法的至少某些部份可以一種集積式半導體基材處理系統(亦即,群集工具)中的處理反應室來實施。這類處 理系統之一為美商應用材料公司出品的CENTURA®集積式處理系統。以下將分別參照第3及4圖來描述一適當的處理系統300與一適當的CVD室400。
第2A-2E圖一起繪示出以第1圖方法於一基材上所製作的一閘極結構的一系列橫截面示意圖。第2A-2E圖中的橫截面圖係關於所執行用以製造該閘極結構的個別處理步驟。第2A-2E圖的影像並未成比例地繪示,且為方便顯示已加以簡化。為能更清楚了解本發明,需同時參閱第1及2A-2E圖。
方法100以步驟102開始並持續進行到步驟104。
在步驟104中,提供一矽基材200(即,200毫米的晶圓、300毫米的晶圓等),並將其暴露在一種可移除基材表面上一原生氧化物層(SiO2 )的溶液中(第2A圖)。可以方法100來形成電晶體的閘極結構(未示出)。該閘極結構一般係設置在區域220中,位於電晶體源極與汲極區域222和224(以虛線表示)和通道區域226的上方。為簡化圖示起見,第2A圖中只繪示出區域220-226。
在一實施例中,以一種包含氫氟酸(HF)及去離子水(DI water)的溶液(亦即,氫氟酸溶液)來移除層204。在一實施例中,該溶液含有約0.1%至10%(重量%)的HF,且溫度在約20-30℃間。在另一實施例中,該溶液含有約0.5%的HF,且溫度約25℃。步驟104使用一種濕浸泡方式,將基材200浸泡在該溶液中,接著以去離子水清洗,可以使用單一晶圓或是批次晶圓方式為之,包括使用超音波來提高 洗浴的效果。或者,可以該集積式半導體基材處理系統300的一單一基材濕潔淨反應室來實施步驟104。在另一實施例中,可以一種RCA清潔法來移除該層204。一旦完成步驟102後,將基材200放置在一真空裝載閘或經氮氣淨化(nitrogen purged)的環境下。
在步驟106,於基材200上生長一層熱氧化物(SiO2 )層206(第2B圖)。一般來說,該層206的厚度在約2-40 Å間。在另一實施例中,該該層206的厚度在約6-10 Å間。可使用該集積式半導體基材處理系統300之反應室,例如,RADIANCE®快速熱處理(RTP)反應室、去耦合電漿氧化(DPO)反應室、或電漿強化化學氣相沉積室(PECVD)來執行步驟106。RADIANCE®快速熱處理(RTP)反應室可購自美商應用材料公司。
在一實施例中,於步驟106中,係以一RTP反應室來生長該層206,其係利用供應約05-10 slm的氧氣,同時將基材溫度維持在750-850℃、反應室壓力維持在0.1-50 torr下。處理期間可以在約5-30秒。在一實施例中,係以約2 slm的速度來供應氧氣,同時將基材溫度維持在約800℃、反應室壓力維持在約2 torr。
在另一實施例中,藉由以約1-10 slm的速度供應一氧化二氮(N2 O)、以約10-500 slm的速度供應氫氣(亦即,N2 O:H2 的流速介於約2:1至1000:1間),同時將基材溫度維持在750-850℃間,而於一RTP反應室中生長該層206。此外,在步驟106中,反應室壓力係維持在0.5-20 torr間。 處理期間可以在約5-60秒。一特定處理配方包括以約4.9 slm的速度供應一氧化二氮(N2 O)、以約50 sccm的速度供應氫氣(亦即,N2 O:H2 的流速介於約98:1間),同時將基材溫度維持在800℃間。
在另一實施例中,可使用適合製造低能量電漿的製程室,例如,DPO反應室,來執行步驟106。低能量電漿有助於控制基材表面和/或層的反應。舉例來說,可使用一準-遠端電漿源、一誘導式電漿源、和/或一RLSA源及其他電漿源等等,來產生電漿。在其他實施例中,可以連續波(CW)和/或脈衝式的微波能量之類的來源,例如磁控管或RLSA微波源,來形成該層206。
在一實施例中,可藉由在一DPO反應室內將基材200暴露在一電漿中的方式來生成該層206,其中該電漿包含至少下列一者:O2 、NO、N2 O等類似物。此外,該電漿還可選擇性地包含N2 和/或一選擇性包含的惰性氣體(例如,氬氣、氦氣等)。
在一實施例中,可於一DPO反應室中,以約10-2000 sccm的速度提供O2 ,並將製程室壓維持在約5-1000 mTorr間的方式,來形成該層206。可使用高達3-5 kW的連續波(continuous wave,CW)或脈衝式電漿源,於例如13.56 MHz的頻率下,來激發該無線電波(RF)電漿。脈衝期間,RF電力尖峰可以在約10-3000瓦間,頻率在約2-100 kHz間,且其功率週期(duty cycle)在約2-50%間,並執行製程約1-180秒。在一實施例中,以約200 sccm的速度提供O2 , 在約10 kHz、5%功率週期下將約500瓦尖峰RF電力加以脈衝並施加至一誘導式電漿源,並將製程室溫度維持在約25℃且製程室壓維持在約40-80 mTorr間,製程持續約15-60秒。
在進一步實施例中,可使用第4圖所揭示的PE-CVD室來沉積一熱氧化矽層206。經由一上方氣體注射器435將氧氣、一氧化氮(NO)、二氧化氮(N2 O)或其之類似物注入,相反的,矽烷(SiH4 )則係經由一下方氣體注射器注入。也可使用本文其他實施例中所揭示的矽源。經由上方氣體注射器435注入的氣體可由一誘導式耦合電漿加以激發。例如,氧氣可以13.56MHz的無線電頻率(RF)電漿加以激發。電將源可於脈衝方式或CW模式下運作。若是在脈衝式RF電漿模式下,尖峰電力可在約10~3000瓦間。若是在CW電漿模式下,尖峰電力可在約10~1000瓦間。
可利用提供約10~2000 sccm的氧氣、約20℃~500℃間的平台溫度及約1~50 mTorr的製程室壓來成長該層206。
在一選擇性實施的步驟107中,將該第一氧化物層206加以氮化。該層206可以在一電漿處理中或一熱處理中加以氮化。步驟107可在該層206的一上方部位形成一由氮化材料構成的子層207(第2C圖)。該氮化子層207的厚度一般約在0.5-5Å間,較佳是約1-3 Å間。
在一實施例中,該層206是被暴露在一含氮電漿中。在一實施例中,該電漿包含氮氣,且可選擇性地包含一或多種其他選擇性添加的惰性氣體(例如,氬氣、氦氣等類似 氣體)。可使用諸如該集積式處理系統300中的去耦合電漿碳化(DPN)電漿反應器,來實施該步驟107。
在一實施例中,可藉由提供流速約10-2000 sccm的氮氣、約20-500℃間之基材平台溫度、及約5-100 mTorr間之反應室壓力,而在一DPN反應室中形成該子層207。使用高達約3-5 kW之一連續波(CW)或脈衝式電漿電力,於13.56 MHz頻率下,激發無線電波頻率(RF)電漿。在脈衝期間,一般將RF電力尖峰射在約10-3000瓦間,頻率在約2-100 kHz間,且其功率週期(duty cycle)在約2-50%間,並執行製程約1-180秒。在一實施例中,以約200 sccm的速度提供N2 ,在約10 kHz、5%功率週期下將約1000瓦尖峰RF電力加以脈衝並施加至一誘導式電漿源,並將製程室溫度維持在約25℃且製程室壓維持在約40-80 mTorr間,製程持續約15-60秒。可使用一準遠端電漿源(a quasi-remote plasma source)、一誘導式電漿源、及一圓極化俓向線縫隙天線(radial line slotted antenna,RLSA)源等等其他電漿源來產生電漿。在其他實施例中,可使用CW和/或脈衝式微波電力來形成該子層207。
在一選擇性實施的步驟107中,在一RTP反應室中,於高溫下將該熱氧化物層206暴露在一NH3 ,或一由NH3 及N2 組成的混合氣體中,或一或多種諸如氦氣、氬氣等類似氣體下,而形成氮化材料製成的子層207。
在一實施例中,以約5-1000 sccm的速度提供NH3 ,同時將基材溫度維持在約700-1000℃間,且製程室壓維持在 約0.1-10 Torr間,而於一RTP反應室內形成該子層207。製程可持續約5-120秒。
在步驟108中,一閘極介電層208被沉積在該熱氧化物層206上方(第2D圖)。該層208可由氮化矽(Si3 N4 )沉積至約2-20Å的厚度而成,或是由諸如氧化鉿(HfO2 )、矽酸鉿(Hfx Siy O,其中x及y為整數)或其之組合等類的高k介電材料,沉積至約10-60Å的厚度而成。可使用該集積式處理系統300內,諸如一化學氣相沉積(CVD)反應室或一原子層沉積(ALD)反應室之類的CVD反應室或ALD反應室來實施步驟108。一種適當的CVD反應室為美商應用材料公司出品的XGen CVD反應室。
在一實施例中,使用一CVD反應室,該閘極介電層208可包含有氮化矽(Si3 N4 )且可利用提供流速在約100-1000 sccm間之氨氣(NH3 )、流速在約1-100 sccm間之矽烷(SiH4 )(亦即,NH3 :SiH4 的流速比在1:1至1000:1間)、及流速在約10-1000 sccm間之氮氣,同時維持基材平台溫度在約400-750℃,且反應室壓在約0.1-50 torr間的方式來形成。此製程可實施約30-180秒。在一實施例中,提供約500 sccm的NH3 、約10 sccm的SiH4 (亦即,NH3 :SiH4 的流速比約為50:1)、約25 sccm的N2 ,同時維持溫度在約600℃且壓力在約5 torr。其他可用來取代矽烷(SiH4 )的矽源氣體或化學物包括二矽烷(Si2 H6 )、二氯矽烷(DSC)、三氯矽烷(TCS)、四氯矽烷、或六氯二矽烷(HCD)。
在另一實施例中,該閘極介電層208可包含氧化鉿或 矽酸鉿且可使用一CVD或一ALD製程來沉積。該氧化鉿或矽酸鉿閘極介電層208可使用鉿或矽的金屬-有機前驅物或無機前驅物,與一氧化劑(包含至少下列一種:臭氧、水或遠端電漿氧自由基)來形成。
在一實施例中,在第4圖所揭示的PE-CVD室中於氮化矽(Si3 N4 )層上形成該介電層。經由一上方氣體注射器將氨氣(NH3 )和/或氧氣注入,至於矽烷(SiH4 )則係經由一下方氣體注射器注入。依據一實施例,係以約100~1000 sccm的速度注入NH3 ,並以約1~100 sccm的速度注入矽烷,亦即,流速比在1:1至1000:1之間。此外,可以約10~1000 sccm的速度注入N2 。將基材平台溫溫度維持在約400℃~750℃間且製程室壓維持在約1~50 mTorr間,一般係介於約1~20 mTorr間。或者,也可使用本文其他實施例中所揭示的矽源。
NH3 和/或N2 可被誘導式耦合電漿所激發。舉例來說,該氨氣(NH3 )和/或氮氣係為13.56MHz的無線電頻率(RF)電漿加以激發。電將源可於脈衝方式或CW模式下運作。若是在脈衝式RF電漿模式下,尖峰電力可在約10~3000瓦間。若是在CW電漿模式下,尖峰電力可在約10~1000瓦間。
在步驟110中,將該閘極介電層208暴露在含氧電漿下而氧化。詳言之,步驟110會在層208的一上方部份形成一由氧化材料組成的子層210(參見第2E圖)。該氧化的子層210的厚度一般在約0.2~10Å間,較佳是在約0.5~5 Å 間。在一實施例中,電漿含有至少一種下列物質:氧氣、一氧化氮(NO)、一氧化二氮(N2 O)等類似物;且可包含額外添加的氮氣和/或額外添加的惰性氣體(例如,氬氣、氦氣等類似氣體)。可以一種適宜產生低能量電漿的製程室來實施步驟110。該低能量的電漿可幫助控制該基材和/或該層表面處的反應。舉例來說,可使用一準遠端電漿源、一誘導式電漿源、及一圓極化俓向線縫隙天線(radial line slotted antenna,RLSA)源等等其他電漿源來產生電漿。在其他實施例中,可使用諸如磁控管或RLSA微波源之類的CW和/或脈衝式微波電力來形成該子層210。在一實施例中,可使用諸如該集積式處理系統300中的去耦合電漿碳化(DPN)電漿反應室,來實施該步驟110。
可利用提供流速約10-2000 sccm間的氧氣,來形成該子層210。該氧氣可被選擇性地混入N2 和/或氦氣和/或氬氣。基材平台溫度被維持在約20-500℃間,反應室內的壓力維持在約5-1000 mTorr間。RF電漿係在13.56 MHz的頻率下以高達約3-5 kW的連續波(CW)或脈衝式電力將其激發。在脈衝期間,一般將RF電力尖峰射在約10-3000瓦間,頻率在約2-100 kHz間,且其功率週期(duty cycle)在約2-50%間,並執行製程約1-180秒。在一實施例中,以約200 sccm的速度提供O2 ,在約10 kHz、5%功率週期下將約1000瓦尖峰RF電力加以脈衝並施加至一誘導式電漿源,並將製程室溫度維持在約25℃且製程室壓維持在約40 mTorr,製程持續約30秒。
在一實施例中,額外的或選擇性地,將閘極介電層氧化,使氮化矽介電層208上成長一層氧化矽層。以第4圖所述PE-CVD室沉積一層熱氧化矽層。經由一上方氣體注射器435將氧氣、一氧化氮(NO)、二氧化氮(N2 O)或其之類似物注入並以誘導式耦合電漿加以激發,至於矽源(如,矽烷)則係經由一下方氣體注射器注入。也可使用本文其他實施例中所揭示的矽源。電漿源可於脈衝方式或CW模式下運作。氮化矽層上方的氧化矽層的沉積厚度在2~20Å間,且可利用提供約10~2000 sccm的氧氣、將基材平台溫度維持在約20~500℃間及將製程室壓維持在約1~50 mTorr間來生成該氧化矽層。氧氣可以13.56MHz的無線電頻率(RF)電漿加以激發。若是在脈衝式RF電漿模式下,尖峰電力可在約10~3000瓦間。若是在CW電漿模式下,尖峰電力可在約10~1000瓦間。
依據關於步驟106、08及110的實施例,其係在第4圖中的PE-CVD室中實施,且該堆疊的SiO2 、Si3 N4 和SiO2 可以相同方式沉積。用來沉積該堆疊層的步驟可選擇性地在有電漿輔助下執行。電漿強化處理使得製程可在較低溫下運作。
製程室的配置包括低壓排空單元、電漿源410及用於成長薄層的下方製程室主體420,使得可熱沉積由該SiO2 、Si3 N4 和SiO2 組成的堆疊層。
在步驟112中,將該閘極介電層208及介於該層206與基材200間的氧化物/矽界面加以退火。步驟112可改善 層206及210漏電流降低的情形,以及提高通道區域226中的荷電載子的遷移力(第2A圖),以及改善氧化物/矽界面的可靠性。可使用一適當的熱硬化室來實施步驟112,例如該集積式處理系統300中的一RTP反應室(例如,RADIANCE®或RTP XE+),或是一單一基材或是批次烤爐(batch furnace)。
在一實施例中,可藉由提供至少一種下列物質:約2-5000 sccm間的氧氣及約100-5000 sccm間的NO(此兩種氣體的任一種可選擇性的混有N2 ),同時將基材表面溫度維持在約800-1100℃,且壓力維持在0.1-50 torr間來執行該硬化步驟112。該製程可實施約5-180秒。在一實施例中,以約500 sccm的流速來提供氧氣,同時將基材表面溫度維持在約1000℃,且壓力維持在0.1 tor一段約15秒的期間。在另一實施例中,係以約500 sccm的速度來供應NO,同時將基材表面溫度維持在約1000℃,且壓力維持在0.5 tor一段約15秒的期間。
待完成步驟112之後,在步驟114中,方法100即已結束。在製造積體電路時,方法100的優點是會形成可代表漏電流之高電阻路徑的超薄閘極介電層,並促進場效電晶體通道區域中之荷電載子的高遷移力。
第3圖為一例示可用來實施第1圖中部份方法100之CENTURA®集積式半導體基材處理系統300(即,群集工具)的示意圖。該系統300的此一特定實例乃係為了闡述本發明概念而繪示,且不應用來限制本發明範疇。方法100也 可使用其他半導體基材處理系統和/或反應室來實施,並不限於所示的基材處理系統300實例。
此集積式半導體基材處理系統300包括真空裝載閘室322,真空氣室328(其具有連接至一基材承座334的機器人330),耦接至該氣室328的多個處理模組310、312、314、316及318,輸入/輸出模組302,選擇性安裝的度量模組326及系統控制器340。該真空裝載閘室322是用作為可讓基材卡匣停靠的停靠站並可保護該氣室328不受大氣污染物的影響。機器人330可在裝載閘室322與處理模組間傳送基材。所繪示機器人例僅為供闡述本發明用的例舉實例,本發明範疇並非僅限於此。該輸入/輸出模組302包含有助於在一工廠界面324、度量模組326及裝載閘室322三者間交換基材卡匣的至少一前端開口一體槽(FOUP)306(圖中繪出兩FOUP 306)。
該系統控制器340一般包括中央處理單元(CPU)342、記憶體344、及支持電路346,其被耦接至該集積式基材處理系統300並可控制該系統300的多個模組及設備,以及可收集來自個別模組的回饋資料以使系統300的效能趨於最佳狀態。操作時,控制器340可使用該系統300之一直接控制模組與設備,或者,與這些模組與設備相連的管理者電腦(或控制器)。
該些處理模組310、312、314、316及318中至少一者可為RTP反應室(例如,RADIANCE®反應室)、PECVD反應室、CVD反應室(例如,XGen反應室)、ALD反應室、 DPN反應室和/或其他適於執行第1圖所述製程的反應室。可用來執行本發明方法之一適當組合的系統300會包括兩個裝載閘室322、兩個RTP模組310及312、一個ALD模組314、一CVD模組316、一DPN模組318、一度量模組326(其包含一測量工具304及機器人308和320)、及輸入/輸出模組302(其包含兩個FOUP 306)。也可使用其他組裝形式的系統300來執行本發明。
第4圖示出一例示的PE-CVD室400的示意圖。在下方製程室主體420的處理區402上方設有一去耦合電漿氮化(DNP)電漿源410。製程室壁圍繞該處理區402。基板401位在該平台424上。該平台424有一支撐柱450,其包郭括數個接點可連接到該平台之雙區加熱器上。有關該平台之雙區加熱器的詳細內容可參見2001年10月19日提申之美國專利第6,646,235號中,其全文併入作為參考。
多個線圈412螺旋圍繞該電漿源之圓頂上方壁的垂直軸排列。該些線圈412位在電極板418上方並符合圓頂外形,該些線圈412的一端連接到一RF源462,且其相反的另一端則係接地。依據一實施例,可在該RF源462與該些線圈412之間設置一RF匹配電路464。
可操作該RF源462以便於13.56MHz的無線電頻率(RF)下來提供RF電流到該些線圈412。可施加約0~3000瓦的電力。RF場與被注入到氣體注射器435的氮氣或氧氣耦接。氣體注射器435可注入N2 、NH3 等類似氣體。此外,當所欲生成的為氧化物層時,該氣體注射器435也可注入 O2 、NO或N2 O。RF源可於脈衝方式或CW模式下運作。
由該氣體注射器435所注入且被RF電漿加以游離的氣體,以及由沉積氣體注入器430的氣體,會在處理區402和/或加熱的晶圓表面上反應,以熱沉積出一層於基材上。
渦輪幫浦440經由閥442和排氣口443而連接到製程室主體420。在一實施例中,該閥442可以是一種節流閥。渦輪幫浦440可降低製程室中的壓力到一適合在基材401上生長層的程度。依據一實施例,可將一處理區中的壓力控制在低於約30 mTorr下,較佳是在約1~20 mTorr間。
上述實施例容許以激發的N2 、NH3 、O2 、NO或N2 O來生長矽層。氮氣和/或氨氣係用來沉積氮化矽膜。O2 、NO和/或N2 O係用來沉積氧化矽層。由上方氣體注射器引入的氣體可在與矽源混合前,先以一種脈衝式RF誘導源加以激發。因此,可在低溫下實施電漿強化的CVD製程。
可包括在製程室400中的其他製程室細節可參照2003年6月12日提申之美國專利第6,831,021號,其全文併入作為參考。
本發明可使用其他製程來實施,只要依據本發明說明書揭示內容恰當調整製程參數來達到欲求目的。雖然前述內容係針對一場效電晶體而言,但積體電路中其他元件及結構的製造亦可受惠於本發明。
雖然本發明已用本發明之實施例被明確地示出及說明,但熟習此技藝者將可瞭解的是上述在形式及細節上之其它形式與細節上的改變可在不偏離本發明的範圍及精神 下被達成。因此,本發明並不侷限於所示及所說明的特定形式與細節,而是落在由以下的中請專利範圍所界定的範圍內。
100‧‧‧方法
102、104、106、107、108、109、110、112、114‧‧‧步驟
200、401‧‧‧基材
204‧‧‧原生氧化物層
206‧‧‧熱氧化物層
207、210‧‧‧子層
208‧‧‧閘極介電層
220‧‧‧區域
222‧‧‧源極區域
224‧‧‧汲極區域
226‧‧‧通道區域
300‧‧‧處理系統
302‧‧‧輸入/輸出模組
306‧‧‧前端開口一體槽(FOUP)
310、312、314、316、318‧‧‧處理模組
322‧‧‧真空裝載閘室
324‧‧‧工廠界面
326‧‧‧度量模組
328‧‧‧氣室
330‧‧‧機器人
334‧‧‧基材承座
340‧‧‧系統控制器
342‧‧‧CPU(中央處理器)
344‧‧‧記憶體
346‧‧‧支持電路
400‧‧‧PE-CVD室
402‧‧‧處理區
410‧‧‧電漿源
412‧‧‧線圈
418‧‧‧電極板
420‧‧‧下方製程室主體
422‧‧‧室壁
424‧‧‧基材平台
430‧‧‧沉積氣體注射器
435‧‧‧上方氣體注射器
440‧‧‧渦輪幫浦
442‧‧‧閥
443‧‧‧排氣口
462‧‧‧RF源
464‧‧‧RF匹配電路
第1圖繪示出一流程圖,其示出依據本發明一實施例來製作一場效電晶體之閘極介電質的方法;第2A-2E圖一起繪示出以第1圖方法於一基材上所製作的一閘極結構的一系列橫截面示意圖;第3圖為一例示可用來實施本發明部份方法之集積式半導體基材處理系統的示意圖。
第4圖為一例示可用來實施本發明部份方法之集積式半導體基材處理室的示意圖。

Claims (19)

  1. 一種用以製造場效電晶體之閘極介電質的方法,其依序包含以下步驟:(a)提供一矽基材;(b)在該矽基材上形成一第一氧化物層;(c)在該第一氧化物層上形成一閘極介電層,該閘極介電層的厚度為約10至60 Å,其中該閘極介電層包含氧化鉿、矽酸鉿、或其組合;(d)在該閘極介電層上形成一第二氧化物層;及,之後(e)將該閘極介電層、該第一氧化物層及位於該第一氧化物層與該矽基材間之一界面加以退火(annealing)。
  2. 如申請專利範圍第1項所述之方法,更包含:從步驟(b)至步驟(d),將該矽基材維持在一單一製程室中。
  3. 如申請專利範圍第1項所述之方法,其中步驟(b)更包含:將該第一氧化物層沉積達約2-10Å間的厚度。
  4. 如申請專利範圍第1項所述之方法,其中步驟(b)更包含:將該第一氧化物層暴露在一種由去耦合電漿源所產生 的電漿下,該電漿包含以下氣體中之至少一種:氧氣、一氧化氮(nitric oxide)或一氧化二氮(nitrous oxide)。
  5. 如申請專利範圍第1項所述之方法,其中步驟(b)更包含:將該第一氧化物層氮化。
  6. 如申請專利範圍第5項所述之方法,其中該氮化步驟更包含:在該第一氧化物層中創造出一厚度約0.5-3Å間的氮化材料子層。
  7. 如申請專利範圍第5項所述之方法,其中將該第一氧化物層氮化的步驟包含:將該第一氧化物層暴露在含氮電漿下。
  8. 如申請專利範圍第1項所述之方法,更包含:從氮化矽來形成厚度約2-10Å的該閘極介電層。
  9. 如申請專利範圍第1項所述之方法,其中步驟(c)更包含:在一電漿強化製程中來形成該閘極介電層。
  10. 如申請專利範圍第1項所述之方法,其中該第二氧化物層的厚度在約2-10Å間。
  11. 如申請專利範圍第1項所述之方法,其中步驟(d)更包含:使用一低能量電漿源來形成一電漿。
  12. 如申請專利範圍第1項所述之方法,其中步驟(e)更包含:在一快速熱處理室或一爐中將該基材加以熱退火。
  13. 如申請專利範圍第1項所述之方法,其中步驟(d)更包含:透過將該第二氧化物層沉積在該閘極介電層上,而形成該第二氧化物層。
  14. 一種在基材上製造場效電晶體之閘極介電質的方法,其包含以下步驟:(a)將該基材置於一氮氣淨化環境或真空環境下;(b)在該基材上形成一第一熱氧化物層,該第一熱氧化物層之厚度介於約2至10 Å之間;(c)在該第一熱氧化物層上形成一閘極介電層;(d)在該閘極介電層上形成一第二熱氧化物層;及 (e)將具有該第一熱氧化物層及形成於其上之該氧化的閘極介電層之該基材加以熱退火(thermal annealing)。
  15. 如申請專利範圍第14項所述之方法,更包括:在實施步驟(c)之前,將該第一熱氧化物層氮化。
  16. 如申請專利範圍第14項所述之方法,更包含:在實施步驟(d)之前,將該閘極介電層氮化。
  17. 一種用以製造場效電晶體之閘極介電質的集積式半導體基材處理系統,包含:一反應室,其經設定用以在一矽基材上形成一第一熱氧化物層,其中該第一熱氧化物層之厚度介於約2至10 Å之間,該反應室係經設定用以沉積一閘極介電層在該第一熱氧化物層上且經設定用以形成一第二熱氧化物層在該閘極介電層上;一去耦合電漿源;一或多個裝載閘室(load lock chamber);至少一個基材傳送室,其耦接至該反應室及該裝載閘室;及一控制器,用於管理及監控該處理系統的運作。
  18. 如申請專利範圍第17項所述之集積式半導體基材 處理系統,更包含:一上方氣體注入器與一下方氣體注入器。
  19. 如申請專利範圍第18項所述之集積式半導體基材處理系統,其中該下方氣體注入器係設置在該去耦合電漿源下方,且該上方氣體注入器係設置在該去耦合電漿源上方。
TW096115996A 2006-05-05 2007-05-04 用以製造場效電晶體之閘極介電質的方法 TWI415193B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/381,960 US7727828B2 (en) 2005-10-20 2006-05-05 Method for fabricating a gate dielectric of a field effect transistor

Publications (2)

Publication Number Publication Date
TW200743162A TW200743162A (en) 2007-11-16
TWI415193B true TWI415193B (zh) 2013-11-11

Family

ID=38668104

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096115996A TWI415193B (zh) 2006-05-05 2007-05-04 用以製造場效電晶體之閘極介電質的方法

Country Status (7)

Country Link
US (1) US7727828B2 (zh)
EP (1) EP2022091A1 (zh)
JP (1) JP5455622B2 (zh)
KR (1) KR20090007633A (zh)
CN (1) CN101438398A (zh)
TW (1) TWI415193B (zh)
WO (1) WO2007131051A1 (zh)

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7727828B2 (en) * 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7888217B2 (en) * 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
JPWO2007132884A1 (ja) * 2006-05-17 2009-09-24 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US20090142899A1 (en) * 2007-12-04 2009-06-04 Jensen Jacob M Interfacial layer for hafnium-based high-k/metal gate transistors
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US7981808B2 (en) * 2008-09-30 2011-07-19 Freescale Semiconductor, Inc. Method of forming a gate dielectric by in-situ plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8168462B2 (en) * 2009-06-05 2012-05-01 Applied Materials, Inc. Passivation process for solar cell fabrication
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5371831B2 (ja) * 2010-02-25 2013-12-18 三菱電機株式会社 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8394688B2 (en) 2011-06-27 2013-03-12 United Microelectronics Corp. Process for forming repair layer and MOS transistor having repair layer
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5789149B2 (ja) * 2011-07-21 2015-10-07 Jswアフティ株式会社 原子層成長方法及び原子層成長装置
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012201953A1 (de) * 2012-02-09 2013-08-14 Singulus Technologies Ag Verfahren und Vorrichtung zur Passivierung von Solarzellen mit einer Aluminiumoxid-Schicht
KR102028779B1 (ko) * 2012-02-13 2019-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판의 선택적 산화를 위한 방법 및 장치
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9634083B2 (en) 2012-12-10 2017-04-25 United Microelectronics Corp. Semiconductor structure and process thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9761439B2 (en) * 2014-12-12 2017-09-12 Cree, Inc. PECVD protective layers for semiconductor devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
WO2020073158A1 (en) * 2018-10-08 2020-04-16 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory device having channel structures with native oxide layer
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11322347B2 (en) * 2018-12-14 2022-05-03 Applied Materials, Inc. Conformal oxidation processes for 3D NAND
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202349456A (zh) * 2020-11-06 2023-12-16 美商應用材料股份有限公司 增強材料結構的處理
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022187299A1 (en) * 2021-03-04 2022-09-09 Applied Materials, Inc. Treatments to improve device performance
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126956A1 (en) * 2002-12-27 2004-07-01 Juing-Yi Cheng Method of forming nitrogen enriched gate dielectric with low effective oxide thickness
US6818517B1 (en) * 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US20050136604A1 (en) * 2000-08-10 2005-06-23 Amir Al-Bayati Semiconductor on insulator vertical transistor fabrication and doping process
US6991989B2 (en) * 2004-01-20 2006-01-31 Industrial Technology Research Institute Process of forming high-k gate dielectric layer for metal oxide semiconductor transistor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02303131A (ja) * 1989-05-18 1990-12-17 Oki Electric Ind Co Ltd 絶縁膜形成方法
JP2937817B2 (ja) * 1995-08-01 1999-08-23 松下電子工業株式会社 半導体基板表面の酸化膜の形成方法及びmos半導体デバイスの製造方法
JPH11261065A (ja) * 1997-11-20 1999-09-24 Lucent Technol Inc シリコンゲートfetの製造方法
US6319775B1 (en) * 1999-10-25 2001-11-20 Advanced Micro Devices, Inc. Nitridation process for fabricating an ONO floating-gate electrode in a two-bit EEPROM device
JP2001291866A (ja) * 2000-04-11 2001-10-19 Fuji Electric Co Ltd 半導体装置およびその製造方法
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
JP2004095918A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6949433B1 (en) * 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
JP4261276B2 (ja) * 2003-08-15 2009-04-30 パナソニック株式会社 半導体装置の製造方法
JP2005311061A (ja) * 2004-04-21 2005-11-04 Nippon Telegr & Teleph Corp <Ntt> 絶縁層及びその製造方法
US7888217B2 (en) 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7727828B2 (en) * 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136604A1 (en) * 2000-08-10 2005-06-23 Amir Al-Bayati Semiconductor on insulator vertical transistor fabrication and doping process
US20040126956A1 (en) * 2002-12-27 2004-07-01 Juing-Yi Cheng Method of forming nitrogen enriched gate dielectric with low effective oxide thickness
US6818517B1 (en) * 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US6991989B2 (en) * 2004-01-20 2006-01-31 Industrial Technology Research Institute Process of forming high-k gate dielectric layer for metal oxide semiconductor transistor

Also Published As

Publication number Publication date
US20070093013A1 (en) 2007-04-26
EP2022091A1 (en) 2009-02-11
US7727828B2 (en) 2010-06-01
WO2007131051A1 (en) 2007-11-15
JP5455622B2 (ja) 2014-03-26
KR20090007633A (ko) 2009-01-19
JP2009536459A (ja) 2009-10-08
CN101438398A (zh) 2009-05-20
TW200743162A (en) 2007-11-16

Similar Documents

Publication Publication Date Title
TWI415193B (zh) 用以製造場效電晶體之閘極介電質的方法
TWI450338B (zh) 場效電晶體之閘極介電質的製造方法
US7601648B2 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
US7910497B2 (en) Method of forming dielectric layers on a substrate and apparatus therefor
US7446052B2 (en) Method for forming insulation film
US7217659B2 (en) Process for producing materials for electronic device
US7964514B2 (en) Multiple nitrogen plasma treatments for thin SiON dielectrics
JP4850871B2 (ja) 絶縁膜の形成方法
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
KR101024961B1 (ko) 절연막의 형성방법
TW200836262A (en) Method for forming insulating film and method for manufacturing semiconductor device
KR20210109046A (ko) 질화규소를 증착하는 방법들
JP4564310B2 (ja) 半導体装置の製造方法
TW202416418A (zh) 用於可流動間隙填充膜的多步驟處理