KR20090007633A - 전계 효과 트랜지스터의 게이트 유전체 제조 방법 - Google Patents

전계 효과 트랜지스터의 게이트 유전체 제조 방법 Download PDF

Info

Publication number
KR20090007633A
KR20090007633A KR1020087029778A KR20087029778A KR20090007633A KR 20090007633 A KR20090007633 A KR 20090007633A KR 1020087029778 A KR1020087029778 A KR 1020087029778A KR 20087029778 A KR20087029778 A KR 20087029778A KR 20090007633 A KR20090007633 A KR 20090007633A
Authority
KR
South Korea
Prior art keywords
gate dielectric
oxide layer
layer
substrate
forming
Prior art date
Application number
KR1020087029778A
Other languages
English (en)
Inventor
타이 쳉 츄아
코리 크자르닉
안드레아스 지. 헤지더스
크리스토퍼 션 올센
칼레드 지. 아메드
필립 알랜 크라우스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090007633A publication Critical patent/KR20090007633A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

전계 효과 트랜지스터의 게이트 유전체를 제조하는 방법이 제공된다. 일실시예에서, 방법은, 자연 산화물층을 제거하는 단계, 산화물층을 형성하는 단계, 산화물층 위에 게이트 유전체층을 형성하는 단계, 게이트 유전체층 위에 산화물층을 형성하는 단계, 층들 및 하부 열적 산화물/실리콘 인터페이스를 어닐링하는 단계를 포함한다. 선택가능하게, 산화물층은 게이트 유전체층을 형성하는 단계 이전에 질화될 수 있다. 일실시예에서, 기판상의 산화물층은 산화물층을 증착하는 단계에 의해 형성되고, 게이트 유전체층상의 산화물층은 산소-포함 플라즈마를 사용하여 게이트 유전체층의 적어도 일부분을 산화시키는 단계에 의하여 형성된다. 다른 실시예에서, 게이트 유전체층상의 산화물층은 열적 산화물층을 형성하는 단계, 즉, 게이트 유전체층상에 산화물층을 증착하는 단계에 의하여 형성된다.

Description

전계 효과 트랜지스터의 게이트 유전체 제조 방법{METHOD FOR FABRICATING A GATE DIELECTRIC OF A FIELD EFFECT TRANSISTOR}
본 발명의 실시예들은 일반적으로 반도체 기판들상에 디바이스들을 제조하는 방법들과 연관된다. 보다 상세하게는, 본 발명은 전계 효과 트랜지스터들, 특히, 전계 효과 트랜지스터들의 게이트 유전체들을 제조하는 방법에 관한 것이다.
집적 회로들은 기판상에 형성되고, 회로 내에서 다양한 기능들을 수행하도록 협력하는 백만개 이상의 마이크로-전자 전계 효과 트랜지스터들(예를 들어, CMOS(complementary metai-oxide-semiconductor) 전계 효과 트랜지스터들을 포함할 수 있다. CMOS 트랜지스터는 트랜지스터의 소스와 드레인 영역들 사이에 형성되는 채널 영역 위에 배치되는 게이트 구조물을 포함한다. 게이트 구조물은 일반적으로 게이트 전극 및 게이트 유전체를 포함한다. 게이트 전극은 게이트 유전체 위에 배치되며, 작동시, 게이트 유전체 아래의 채널 영역에서 전하 캐리어들의 흐름(즉, 전류)을 제어하는데 사용된다.
게이트 유전체는 통상적으로 실리콘 질화물(Si3N4) 또는 실리콘 옥시질화물(SiON)로부터 형성된다. 트랜지스터의 속도를 증가시키기 위하여, 진보된 집적 회로들에서의 게이트 유전체의 두께는 약 20-30 옹스트롬 이하의 범위에서 선택된다. 그러나, 그러한 초박 게이트 유전체들을 갖는 게이트 구조물들의 제조는 도전적인 과업을 제시한다. 하나의 특정한 문제점은 본 제조 기술들이 게이트 유전체를 통한 높은 누출 전류를 야기하며, 트랜지스터들의 실리콘/게이트 유전체 인터페이스 안에 많은 양의 질소(N2)의 확산으로 인하여 채널 영역에서 전하 캐리어들의 이동력을 감소시킨다는 것이다. 또한, 게이트 유전체의 폴리실리콘과 질소의 상호작용은 VFB/Vt를 이동시키며, 여기서 VFB는 플랫-밴드 전압이고, Vt는 임계치 전압이다.
따라서, 전계 효과 트랜지스터의 게이트 유전체를 제조하는 개선된 방법이 본 기술 분야에서 요구된다.
본 발명의 실시예들은 일반적으로 전계 효과 트랜지스터의 게이트 유전체를 제조하는 방법과 관련된다. 본 발명은 마이크로프로세서들, 애플리케이션 특정 집적 회로들(ASICs), 전자 메모리 디바이스들 등과 같은 집적 회로 디바이스들에서 사용될 수 있다.
일실시예에서, 방법은 실리콘 기판으로부터 자연 산화물층을 제거하는 단계, 기판상에 제1 산화물층을 형성하는 단계, 제1 산화물층상에 게이트 유전체층(예를 들어, 실리콘 질화물(Si3N4), 하프늄 산화물(HfO2), 하프늄 규산염(HfSixOy, 여기서 x 및 y는 정수) 등)을 형성하는 단계, 게이트 유전체층상에 제2 산화물층을 형성하는 단계, 및 게이트 유전체층, 제1 산화물층, 및 제1 산화물층과 실리콘 기판 사이의 인터페이스를 어닐링하는 단계를 포함한다. 선택가능하게, 기판상의 제2 산화물층은 게이트 유전체층을 형성하는 단계 이전에 질화될 수 있다. 선택가능하게, 게이트 유전체층은 게이트 유전체층상에 제2 산화물층을 형성하는 단계 이전에 질화될 수 있다. 일실시예에서, 방법의 적어도 일부는 통합 반도체 기판 프로세싱 시스템(즉, 클러스터 툴)의 프로세싱 반응기들을 사용하여 수행될 수 있다. 일실시예에서, 기판상의 산화물층은 제1 산화물층을 증착하는 단계에 의하여 형성되며, 게이트 유전체층의 산화물층은 게이트 유전체층을 산화시키는 단계에 의하여 형성된다. 다른 실시예에서, 기판상의 산화물층은 산화물층을 증착하는 단계에 의해 형성되며, 게이트 유전체층상의 산화물층은 게이트 유전체층상에 제2 산화물층을 증착하는 단계에 의해 형성된다.
다른 실시예에서, 기판 위에 전계 효과 트랜지스터의 게이트 유전체를 제조하는 방법은, 기판으로부터 자연 산화물층을 제거하고, 질소 정화 또는 진공 환경에 상기 기판을 위치시키는 단계, 기판상에 제1 열적 산화물층을 형성하는 단계, 제1 열적 산화물층상에 게이트 유전체층을 형성하는 단계, 게이트 유전체층상에 제2 열적 산화물층을 형성하는 단계, 및 제1 열적 산화물층 및 상부에 형성된 산화된 게이트 유전체층을 갖는 기판을 열적으로 어닐링하는 단계를 포함한다. 일실시예에서, 제1 산화물층을 증착하는 단계에 의하여 기판상의 산화물층이 형성되며, 산소-포함 플라즈마를 사용하여 게이트 유전체층의 적어도 일부분을 산화시키는 단계에 의하여 게이트 유전체층상에 산화물층이 형성된다. 다른 실시예에서, 제1 산화물층을 증착하는 단계에 의하여 기판상에 산화물층이 형성되고, 열적 산화물층을 형성하는 단계, 즉, 게이트 유전체층상에 제2 산화물층을 증착하는 단계에 의하여 게이트 유전체층상에 산화물층이 형성된다.
본 발명의 다른 측면에서, 전계 효과 트랜지스터의 게이트 유전체를 제조하는 통합 반도체 기판 프로세싱 시스템이 개시된다. 일실시예에서, 시스템은, 실리콘 기판상에 열적 산화물층을 형성하도록 구성되는 적어도 하나의 제1 반응기, 열적 산화물층상에 게이트 유전체층을 증착시키도록 구성되는 적어도 하나의 제2 반응기, 게이트 유전체층을 산화시키도록 구성되는 적어도 하나의 제3 반응기, 적어도 하나의 로드-록 챔버, 반응기들 및 로드-록 챔버들 각각에 결합되는 적어도 하나의 기판 이송 챔버, 및 프로세싱 시스템의 관리 및 모니터링 동작을 위한 제어기를 포함한다.
본 발명의 다른 측면에서, 전계 효과 트랜지스터의 게이트 유전체를 제조하는 통합 반도체 기판 프로세싱 시스템이 개시된다. 일실시예에서, 시스템은, 실리콘 기판상에 열적 산화물층을 형성하도록 구성되는 반응기 - 반응기는 열적 산화물층상에 게이트 유전체층을 증착시키고, 게이트 유전체층상에 열적 산화물층을 형성하도록 구성됨 - ; 분리된 플라즈마 소스; 하나 이상의 로드-록 챔버들; 반응기 및 로드-록 챔버에 결합되는 적어도 하나의 기판 이송 챔버; 및 프로세싱 시스템의 관리 및 모니터링 동작을 위한 제어기를 포함한다.
본 발명의 이론들은 첨부도면들과 함께 하기의 상세한 설명을 고려하여 명백해질 것이다.
도 1은 본 발명의 일실시예에 따른 전계 효과 트랜지스터의 게이트 유전체를 제조하는 방법을 설명하는 흐름도를 도시한다.
도 2a-2e는 도 1의 방법에 따라 게이트 구조물이 제조되는 기판의 일련의 개략적인 횡단면도들을 함께 도시한다.
도 3은 본 발명의 부분들을 실행하는데 사용될 수 있는 종류의 예시적인 통합 반도체 기판 프로세싱 시스템의 개략적인 도면을 도시한다.
도 4는 본 발명의 부분들을 실행하는데 사용될 수 있는 종류의 예시적인 통합 반도체 기판 프로세싱 챔버의 개략적인 도면을 도시한다.
가능하면, 본 명세서에서는 도면들에서 공통적인 동일한 엘리먼트들을 지칭하기 위하여 동일한 참조 번호들이 사용된다. 도면들에서 이미지들은 설명을 목적으로 간략화되었으며, 일정 비율에 따라 도시되지 않았다.
첨부된 도면들은 본 발명의 예시적인 실시예들을 설명하고, 마찬가지로, 본 발명의 범위를 제한하는 것으로 고려되어서는 안 되며, 다른 동일한 효과의 실시예들을 허용할 수 있다.
본 발명은 초박 게이트 유전체들(예를 들어, 약 20-30 옹스트롬 미만)을 갖는 전계 효과 트랜지스터들의 게이트 유전체를 제조하는 방법이다. 본 발명은 통합 반도체 디바이스들 및 회로들의 제조에 사용될 수 있다.
도 1은 본 발명의 일실시예에 따른 전계 효과 트랜지스터의 게이트 유전체를 제조하기 위한 방법(100)을 설명하는 흐름도이다. 방법(100)은 예시적인 CMOS 전계 효과 트랜지스터의 게이트 구조물의 제조 동안에 기판 위에서 수행되는 프로세싱 단계들을 포함한다. 몇몇 실시예들에서, 이러한 프로세싱 단계들은 도시된 순서대로 수행된다. 대안적인 실시예들에서, 이러한 단계들 중 적어도 두 단계가 동시에 또는 상이한 순서로 수행될 수 있다. 서브-단계들 및 보조 절차들(예를 들어, 프로세싱 반응기들 사이의 기판 이송들, 프로세스 제어 단계들 등)은 본 기술 분야에 공지되어 있으며, 따라서 본 명세서에서는 생략된다.
방법(100)의 적어도 일부는 통합 반도체 기판 프로세싱 시스템의 프로세싱 반응기들(즉, 클러스터 툴)을 사용하여 수행될 수 있다. 그러한 한 프로세싱 시스템으로는 캘리포니아 산타 클라라의 Applied Materials사로부터 이용가능한 CENTURA® 통합 프로세싱 시스템을 들 수 있다. 적절한 프로세싱 시스템(300) 및 적절한 CVD 챔버(400)에 대한 일반적인 설명은 각각 도 3 및 도 4를 참조로 하여 하기에서 논의된다.
도 2a-2e는 함께 도 1의 방법을 사용하여 게이트 구조물이 제조되는 기판의 일련의 개략적인 횡단면도들을 도시한다. 도 2a-2e의 횡단면도들은 게이트 구조물을 제조하기 위하여 수행되는 개별적인 프로세싱 단계들과 연관된다. 도 2a-2e의 이미지들은 일정 비율에 따라 도시되지 않으며, 설명을 목적으로 간략화된다. 발명을 잘 이해하기 위하여, 도 1 및 도 2a-2e를 동시에 참조해야만 할 것이다.
방법(100)은 단계(102)에서 시작하여, 단계(104)로 진행된다.
단계(104)에서, 실리콘(Si) 기판(200)이 제공되고(예를 들어, 200mm 웨이퍼, 300mm 웨이퍼 등), 기판의 표면으로부터 자연 산화물(SiO2)을 제거하기 위한 용액에 노출된다(도 2a). 도식적으로, 방법(100)은 트랜지스터의 게이트 구조물(미도시)을 형성하는데 이용될 수 있다. 게이트 구조물은 일반적으로, 예를 들어, 트랜지스터의 소스 및 드레인 영역들(222 및 224)(점선으로 도시됨) 및 채널 영역(226) 위의 영역(220)에 배치된다. 그래프적으로 명확하도록, 영역들(220-226)은 도 2a에만 보여진다.
일실시예에서, 층(204)이 플루오르화 수소(HF) 및 탈이온화된(DI) 물을 포함하는 용액(즉, 플루오르화 수소산 용액)을 사용하여 제거된다. 일실시예에서, 용액은 중량당 약 0.1 내지 10 %의 HF 및 섭씨 약 20-30 도(℃)의 온도를 갖는다. 다른 실시예에서, 용액은 약 0.5 %의 HF 및 약 25 ℃의 온도를 갖는다. 단계(104)는 탈이온수에서의 헹굼을 수반하는, 용액으로의 기판(200)의 웨트 딥(wet dip)을 사용할 수 있으며, 초음파적으로 개선된 배스(bath)를 포함하는 배치(batch) 배스들 또는 단일 웨이퍼에서 수행될 수 있다. 대안적으로, 단계(104)는 통합 프로세싱 시스템(300)의 단일 기판 습식 세정 반응기를 사용하여 수행될 수 있다. 다른 실시예에서, 층(204)은 RCA 세정 방법을 사용하여 제거될 수 있다. 단계(102)의 완료시, 기판(200)은 진공 로드-록 또는 질소(N2) 정화 환경에 위치된다.
단계(106)에서, 열적 산화물(SiO2)층(206)이 기판(200)상에 성장된다(도 2b). 일반적으로, 층(206)은 약 2-40 옹스트롬, 바람직하게는 약 2-10 옹스트롬의 두께를 가질 수 있다. 일실시예에서, 층(206)은 약 6-10 옹스트롬의 두께를 갖는다. 단계(106)는 예를 들어, RADIANCE® 급속 열 프로세싱(RTP) 반응기, 분리 플라즈마 산화물(DPO) 반응기, 또는 통합 프로세싱 시스템(300)의 플라즈마 강화 화학 기상 증착(PECVD) 반응기를 사용하여 수행될 수 있다. RADIANCE® 반응기는 캘리포니아 산타 클라라의 Applied Materials사로부터 이용가능하다.
일실시예에서, 단계(106)는 약 750-850 ℃의 기판 온도 및 약 0.1-50 Torr의 반응 챔버의 압력을 유지시키면서, 약 0.5-10 slm로 산소(O2)를 제공함으로써 층(206)을 성장시키기 위하여 RTP 반응기를 사용하여 수행될 수 있다. 프로세스의 기간은 약 5-30초일 수 있다. 일실시예에서, 약 800 ℃의 온도 및 약 2 Torr의 압력을 유지시키면서, 약 2 slm의 O2가 제공된다.
다른 실시예에서, 층(206)은 약 700-850 ℃의 기판 온도를 유지시키면서, 약 1-10 slm의 속도로 산화질소(N2O)를, 약 10-500 sccm의 속도로 수소(H2)를 제공함으로써(즉, 약 2:1 - 1000:1 범위의 N2O:H2 흐름 비율) RTP 반응기에서 성장될 수 있다. 추가로, 단계(106)는 약 0.5-20 Torr에서 반응 챔버의 압력을 유지시킨다. 프로세스의 기간은 약 5-60초일 수 있다. 하나의 특정 프로세스 레시피는 약 800 ℃의 온도에서 약 4.9 slm의 속도로 N2O를, 약 50 sccm의 속도로 H2를(즉, 약 98:1의 N2O:H2 흐름 비율) 제공한다.
다른 실시예에서, 단계(106)는 DPO 챔버와 같은 저-에너지 플라즈마를 생성하기에 적합한 프로세스 챔버를 사용하여 수행될 수 있다. 플라즈마의 저 에너지는 기판 및/또는 층의 표면에서 반응을 제어하는 것을 돕는다. 예를 들어, 플라즈마는 다른 플라즈마 소스들 중에서도 특히, 유사-원격 플라즈마 소스, 유도적 플라즈마 소스, 및/또는 RLSA 소스를 사용하여 생성될 수 있다. 대안적인 실시예들에서, RLSA 마이크로파 소스들 또는 자석과 같은 CW 및/또는 펄스형 마이크로파 전력의 소스들이 층(206)을 형성하는데 사용될 수 있다.
일실시예에서, 층(206)은 산소(O2), 산화질소(NO), 또는 아산화질소(N2O) 및 기타 같은 종류의 것들 중 적어도 하나를 포함하는 플라즈마에 기판(200)을 노출시킴으로써 DPO 반응기에서 성장될 수 있다. 또한, 플라즈마는 질소(N2) 및/또는 선택적 비활성 가스(예를 들어, 아르곤(Ar), 헬륨(He) 등)를 선택가능하게 포함할 수 있다.
일실시예에서, 층(206)은 약 10-2000 sccm으로, 약 20-500 ℃의 기판 페데스탈 온도, 및 약 5-1000 mTorr의 반응 챔버의 압력에서 산소(O2)를 제공함으로써 DPO 반응기를 사용하여 형성될 수 있다. 무선 주파수(RF) 플라즈마는 예를 들어, 13.56 MHz에서 약 3-5 kW에 달하는 지속파(CW) 또는 펄스형 플라즈마 전력 소스를 사용하여 전압 공급된다. 펄싱(pulsing) 동안에, 피크 RF 전력은 약 10-3000 W의 범위에 있을 수 있으며, 주파수는 약 2-100 kHz의 범위에 있을 수 있고, 듀티 사이클은 약 2-50 %의 범위에 있을 수 있다. 이러한 프로세스는 약 1-180초 동안 수행 될 수 있다. 일실시예에서, O2가 약 200 sccm로 제공되고, 약 500 W의 피크 RF 전력이 유도 플라즈마 소스에 인가된 약 5%의 듀티 사이클로 약 10 kHz에서, 약 25 ℃의 온도 및 약 40-80 mTorr의 압력에서, 약 15-60초 동안 펄스화된다.
추가의 실시예에서, 열적 실리콘 산화물층(206)은 도 4를 참조로 하여 개시된 바와 같이 PE-CVD 챔버를 사용하여 증착될 수 있다. 산소(O2), 산화질소(NO), 또는 아산화질소(N2O) 등이 상부 가스 주입기(435)를 통해 주입되는 반면, 실란(SiH4)이 하부 가스 주입기를 통해 주입된다. 본 명세서에 개시된 실시예들과 다른 실리콘 소스가 대안적으로 사용될 수 있다. 상부 가스 주입기(435)를 통해 주입되는 가스들은 유도적으로 결합된 플라즈마를 통해 여기될 수 있다. 산소는 예를 들어, 13.56 MHz에서 무선 주파수(RF) 플라즈마에 의해 여기된다. 플라즈마 소스는 펄스형 모드 또는 CW 모드에서 작동될 수 있다. 펄스형 RF 플라즈마가 인가되는 경우에, 피크 전력은 10 내지 3000 와트의 범위에 있을 수 있다. CW 모드 플라즈마가 인가되는 경우에, 피크 전력은 10 W 내지 1000 W의 범위에 있을 수 있다.
층(206)은 약 10-2000 sccm으로, 약 20 ℃ 내지 500 ℃의 페데스탈 온도, 및 1 내지 50 mTorr의 챔버의 압력에서 산소를 제공함으로써 성장될 수 있다.
선택가능한 단계(107)에서, 산화물층(206)이 질화될 수 있다. 층(206)은 예를 들어, 플라즈마 프로세스에서 또는 열적 프로세스에서 질화될 수 있다. 도식적으로, 단계(107)는 층(206)의 상부에서 질화된 물질의 서브-층(207)을 형성한다(도 2c). 질화된 서브-층(207)의 두께는 통상적으로 약 0.5-5 옹스트롬, 바람직하게는 약 1-3 옹스트롬의 범위에서 형성된다.
일실시예에서, 층(206)은 질소-포함 플라즈마에 노출된다. 일실시예에서, 플라즈마는 질소(N2)를 포함하고, 선택가능하게 하나 이상의 비활성 가스들(예를 들어, 아르곤(Ar), 헬륨(He), 및 이와 유사한 종류의 다른 것들)을 포함할 수 있다. 단계(107)는 예를 들어, 통합 프로세싱 시스템(300)의 분리된 플라즈마 질화(DPN) 플라즈마 반응기를 사용하여 수행될 수 있다.
일실시예에서, 서브-층(207)은 약 1-2000 sccm으로, 약 20-500 ℃의 기판 페데스탈 온도, 및 약 5-1000 mTorr의 반응 챔버의 압력에서 질소(N2)를 제공함으로써 DPN 반응기를 사용하여 형성될 수 있다. 무선 주파수(RF) 플라즈마는 약 3-5 kW에 달하는 지속파(CW) 또는 펄스형 플라즈마 전력 소스를 사용하여 예를 들어, 13.56 MHz에서 전압이 공급된다. 펄싱 동안에, 피크 RF 전력, 주파수 및 듀티 사이클은 통상적으로 각각 약 10-3000 W, 약 2-100 kHz, 및 약 2-50 %의 범위에서 선택된다. 이러한 프로세스는 약 1-180초 동안 수행될 수 있다. 일실시예에서, N2가 약 200 sccm으로 제공되고, 약 1000W의 피크 RF 전력이 유도성 플라즈마 소스에 인가된 약 5 %의 듀티 사이클을 갖는 약 10 kHz에서, 그리고 약 25 ℃의 온도 및 약 40-80 mTorr의 압력에서 약 15-60초 동안 펄스화된다. 플라즈마는 다른 플라즈마 소스들 중에서도 특히, 유사-원격 플라즈마 소스, 유도성 플라즈마 소스, 및 방사상 라인 슬로티드 안테나(RLSA: radial line slotted antenna) 소스를 사용하여 생성될 수 있다. 대안적인 실시예들에서, CW 및/또는 펄스형 마이크로파 전력의 소스들은 서 브-층(207)을 형성하기 위해 사용될 수 있다.
선택가능한 단계(107)에서, 열적 산화물층(206)은 또한 질화된 물질의 서브-층(207)을 형성하기 위하여 RTP 반응기내의 고온에서 암모니아(NH3) 가스, 또는 NH3와 N2의 혼합물, 또는 헬륨, 아르곤 등과 같은 하나 이상의 비활성 가스에 노출됨으로써 열적으로 질화될 수 있다.
일실시예에서, 서브-층(207)은 700 ℃-1000 ℃의 기판 온도 및 약 0.1-10 Torr의 반응기 챔버의 압력을 유지시키면서, 5 내지1000 sccm으로 암모니아(NH3) 가스를 제공함으로써 RTP 반응기를 사용하여 형성될 수 있다. 프로세스의 기간은 약 5-120초일 수 있다. 일실시예에서, NH3는 15초의 시간 동안 약 800 ℃의 온도 및 0.3 Torr의 압력을 유지시키면서, 100 sccm으로 제공된다. 선택가능하게, 배치 퍼니스들이 서브-층(207)을 형성하는데 사용될 수 있다.
단계(108)에서, 게이트 유전체층(208)이 열적 산화물층(206) 위에 증착된다(도 2d). 층(208)은 실리콘 질화물(Si3N4)로부터 약 2-20 옹스트롬의 두께로 형성될 수 있으며, 또는, 하프늄 산화물(HfO2), 하프늄 규산염(HfSixOy과 같은, 여기서 x 및 y는 정수) 및 이와 유사한 종류의 다른 것들 또는 그들의 결합물과 같은 높은-k 물질로부터 약 10-60 옹스트롬의 두께로 형성될 수 있다. 단계(108)는 예를 들어, CVD반응기 또는 ALD 반응기와 같은 통합 프로세싱 시스템(300)의 원자층 증착(ALD) 반응기, 또는 화학 기상 증착(CVD) 반응기를 사용하여 수행될 수 있다. 하나의 적절한 CVD 반응기로는 Applied Materials사로부터 이용가능한 XGen CVD 반응기를 들 수 있다.
일실시예에서, CVD반응기를 사용하여, 게이트 유전체층(208)은 실리콘 질화물(Si3N4)을 포함할 수 있으며, 약 400-750℃의 기판 페데스탈 온도 및 약 0.1-50 Torr의 반응 챔버의 압력을 유지시키면서, 약 100-1000 sccm으로 암모니아(NH3)를, 약 1-100 sccm으로 실란(SiH4)을(즉, 1:1 내지 1000:1 범위의 NH3:SiH4 흐름 비율), 그리고 약 10-1000 sccm으로 질소(N2)를 제공함으로써 형성될 수 있다. 이러한 프로세스는 약 30-180초 동안 수행될 수 있다. 일실시예에서, 약 600 ℃의 온도 및 챔버의 약 5 Torr의 압력을 유지시키면서, NH3는 약 500 Torr에서, SiH4는 약 10 sccm으로(즉, 약 50:1의 NH3:SiH4 흐름 비율), 그리고 N2는 약 25 sccm으로 제공된다. 디실란(Si2H6), 디클로로실란(DCS), 트리클로로실란(TCS), 테트라클로르실란(TCS) 또는 헥사클로로디실란(HCD)과 같은 실란(SiH4)을 대신하여 다른 실리콘 소스 가스 또는 화학 약품이 사용될 수 있다.
다른 실시예에서, 게이트 유전체층(208)은 하프늄 산화물 또는 하프늄 규산염을 포함할 수 있으며, CVD 또는 ALO 프로세스를 사용하여 증착될 수 있다. 하프늄 산화물 또는 하프늄 규산염 게이트 유전체층(208)은 오존, 물, 또는 원격 플라즈마 산소 래디컬(radical)들 중 적어도 하나를 포함하는 산화제로 하프늄 및 실리콘의 금속-유기 또는 무기 선구물질들을 사용하여 형성될 수 있다.
일실시예에서, 실리콘 질화물(Si3N4)로 형성된 유전체층이 도 4와 관련하여 개시된 바와 같이 PE-CVD 챔버에서 증착된다. 암모니아(NH3) 및/또는 질소(N2)가 상부 가스 주입기를 통해 주입되는 반면, 실란(SiH4)은 하부 가스 주입기를 통해 주입된다. 일실시예에 따라, NH3는 약 100-1000 sccm으로 주입되고, 실란은 약 1-100 sccm으로 주입되는데, 즉, 1:1 내지 1000:1의 범위의 유량 비율로 주입된다. 또한, N2는 10-1000 sccm의 유량으로 주입될 수 있다. 페데스탈은 약 400 내지 약 750 ℃의 온도에서 유지되며, 반응기 챔버의 압력은 약 1 mTorr 내지 50 mTorr, 통상적으로 약 1 mTorr 내지 20 mTorr에서 유지된다. 본 명세서에 개시된 실시예에서와 다른 실리콘 소스가 대안적으로 사용될 수 있다.
NH3 및/또는 N2는 유도적으로 결합된 플라즈마에 의해 여기된다. 암모니아 및/또는 질소는 예를 들어, 13.56 MHz에서 무선 주파수(RF)에 의해 여기된다. 플라즈마 소스는 펄스형 모드 또는 CW 모드로 작동될 수 있다. 펄스형 RF 플라즈마가 인가되는 경우에, 피크 전력은 10 내지 3000 와트의 범위에 있을 수 있다. CW 모드 플라즈마가 인가되는 경우에, 피크 전력은 10 W 내지 1000 W의 범위에 있을 수 있다.
단계(110)에서, 게이트 유전체층(208)은 산소-포함 플라즈마로의 노출에 의해 산화된다. 도식적으로, 단계(110)는 층(208)의 상부에 산화된 물질의 서브-층(210)을 형성한다(도 2e). 산화된 서브-층(210)의 두께는 통상적으로 약 0.2-10 옹스트롬, 바람직하게는 약 0.5-5 옹스트롬의 범위에서 선택된다.
일실시예에서, 플라즈마는 선택가능한 질소(N2) 및/또는 선택가능한 비활성 가스(예를 들어, 아르곤(Ar), 헬륨(He) 등)를 포함할 수 있을 뿐 아니라, 적어도 산소(O2), 산화질소(NO), 아산화질소(N2O) 및 이와 유사한 것들 중 적어도 하나를 포함한다. 단계(110)는 저-에너지 플라즈마를 생성하기에 적합한 프로세스 챔버를 사용하여 수행될 수 있다. 플라즈마의 저 에너지는 기판 및/또는 층의 표면에서의 반으을 제어하는 것을 돕는다. 예를 들어, 플라즈마는 다른 플라즈마 소스들 중에서도 특히, 유사-원격 플라즈마 소스, 유도성 플라즈마 소스 및/또는 RLSA 소스를 사용하여 생성될 수 있다. 대안적인 실시예들에서, 자석 또는 RLSA 마이크로파 소스들과 같은 CW 및/또는 펄스형 마이크로파 전력의 소스들은 서브-층(210)을 형성하는데 사용될 수 있다. 일실시예에서, 단계(110)는 예를 들어, 통합 프로세싱 시스템(300)의 DPN 플라즈마 반응기를 사용하여 수행될 수 있다.
서브-층(210)은 약 10-2000 sccm으로 산소(O2)를 제공함으로써 형성될 수 있다. 산소는 선택가능하게 N2 및/또는 He 및/또는 Ar과 혼합될 수 있다. 기판 페데스탈 온도는 약 20-500℃에서 유지되고, 반응 챔버의 압력은 약 5-1000 mTorr일 수 있다. 무선 주파수(RF) 플라즈마는 약 3-5 kW에 달하는 지속파(CW) 또는 펄스형 플라즈마 전력 소스를 사용하여 약 13.56 MHz에서 전압 공급된다. 펄싱 동안에, 피크 RF 전력, 주파수, 및 듀티 사이클은 통상적으로, 각각 약 10-3000 W, 약 2-100 kHz, 및 약 2-50 % 범위에서 선택된다. 산화 프로세스는 약 1-180초 동안 수 행될 수 있다. 일실시예에서, O2는 약 200 sccm으로, 유도성 플라즈마 소스에 인가되는 약 5%의 듀티 사이클을 갖는 약 10 kHz에서 펄스화된 약 1000 W의 피크 RF 전력으로, 약 25℃의 온도 및 약 40 mTorr의 압력에서 약 30초 동안 제공된다.
일실시예에서, 게이트 유전체층에 부가적으로 또는 대안적으로, 실리콘 산화물층은 실리콘 질화물 유전체층(208)상에 성장된다. 열적 실리콘 산화물층은 도 4와 관련하여 개시된 바와 같이, PE-CVD 챔버를 사용하여 증착된다. 산소(O2), 산화질소(NO), 아산화질소(N2O) 또는 이와 유사한 다른 것들은 유도적으로 결합된 플라즈마에 의해 여기되고, 가스는 상부 가스 주입부로부터 주입되는 한편, 실리콘 소스(예를 들어, 실란)는 하부 가스 주입부에 의해 제공된다. 본 명세서에 개시된 실시예들에서와 다른 실리콘 소스가 대안적으로 사용될 수 있다. 플라즈마 소스는 펄스형 모드 또는 CW 모드에서 작동될 수 있다. 실리콘 질화물층의 최상부상의 실리콘 산화물층은 2-20 옹스트롬 범위의 두께로 증착되며, 약 10-2000 sccm으로, 약 20 ℃ 내지 500 ℃의 페데스탈 온도 및 1 내지 50 mTorr의 챔버의 압력에서 산소를 제공함으로써 성장될 수 있다. 산소는 예를 들어, 13.56 MHz에서 무선 주파수(RF) 플라즈마에 의해 여기된다. 펄스형 RF 플라즈마가 인가되는 경우에, 피크 전력은 10 내지 3000 와트의 범위에 있을 수 있다. CW 모드 플라즈마가 인가되는 경우에, 피크 전력은 10 W 내지 1000 W의 범위에 있을 수 있다.
PE-CVD 챔버에서 수행되고 있는 단계들(106, 108, 110)과 관련된 실시예들에 따라, 도 4에서 개시된 실시예와 같이, SiO2, Si3N4, 및 SiO2의 적층물이 동일한 챔 버에 증착될 수 있다. 적층물에서 층들을 증착하는 단계들은 선택가능하게 플라즈마 보조를 이용하여 수행될 수 있다. 플라즈마 강화 프로세스는 더 낮은 기판 온도를 허용한다.
낮은 압력들에 대한 배기 유닛, 플라즈마 소스(410), 및 하부 챔버 몸체(420)를 포함하는 챔버 장치(arrangement)는 SiO2, Si3N4, 및 SiO2로 형성된 게이트 유전체 적층물이 열적으로 증착될 수 있도록 얇은 층들의 성장을 허용한다.
단계(112)에서, 게이트 유전체층(208) 및 층(206)과 기판(200) 사이의 산화물/실리콘 인터페이스는 어닐링된다. 단계(112)는 층들(206 및 210)의 노출 전류 감소를 강화하고, 산화물/실리콘 인터페이스의 신뢰성을 강화시킬 뿐 아니라, 채널 영역(226)(도 2a에 도시됨)에서 전하 캐리어들의 이동력을 증가시킨다. 단계(112)는 단일 기판 또는 배치 퍼니스, 또는 통합 프로세싱 시스템(300)의 RTP(예를 들어, RADIANCE® 또는 RTP XE+) 반응기와 같은 적절한 열적 어닐링 챔버를 사용하여 수행될 수 있다.
일실시예에서, 단계(112)의 어닐링 프로세스는 약 800-1100℃의 기판 표면 온도 및 약 0.1-50 Torr의 반응 챔버의 압력을 유지시키면서, 약 2-5000 sccm의 산소(O2) 및 약 100-5000 sccm의 산화질소(NO) 중 적어도 하나를 제공함으로써 수행될 수 있으며, 둘 중 한 가스는 선택가능하게 질소(N2)와 혼합된다. 프로세스는 약 5-180초 동안 수행될 수 있다. 일실시예에서, 약 15초의 기간 동안 약 1000 ℃의 온 도 및 약 0.1 Torr의 압력으로 챔버를 유지시키면서, 산소(O2)가 약 500 sccm으로 제공된다. 다른 실시예에서, 약 15초의 기간 동안 약 1000 ℃의 온도 및 약 0.5 Torr의 압력으로 챔버를 유지시키면서, NO가 약 500 sccm으로 제공된다.
단계(112)의 완료시, 단계(114)에서 방법(100)이 종료된다. 집적 회로들의 제조에서, 방법(100)은 바람직하게는 누출 전류들에 대한 높은 저항력 경로들을 나타내는 초박 게이트 유전체들을 형성하고, 전계 효과 트랜지스터들의 채널 영역들에서 전하 캐리어들의 높은 이동력을 용이하게 한다.
도 3은 도 1의 방법(100)의 일부를 실행하는데 사용될 수 있는 종류의 예시적인 CENTURA® 통합 반도체 기판 프로세싱 시스템(예를 들어, 클러스터 툴)(300)의 개략적인 도면을 도시한다. 시스템(300)의 특정한 실시예는 단지 도식적인 것이며, 본 발명의 범위를 제한하는데 사용되어서는 안 된다. 방법(100)은 다른 반도체 기판 프로세싱 시스템들 및/또는 프로세싱 반응기들을 사용하여 실행될 수 있다.
통합 프로세싱 시스템(300)은 일반적으로 진공 로드-록 챔버들(322), 기판 저장소(334)가 공급되는 로봇(330)을 갖는 타이트한 진공 플레넘(vacuum-tight plenum)(328), 플레넘(328)에 결합되는 프로세스 모듈들(310, 312, 314, 316 및 318), 입력/출력 모듈(302), 선택가능한 계측 모듈(326), 및 시스템 제어기(340)를 포함한다. 로드-록 챔버(322)는 기판 카세트들을 위한 도킹(docking) 스테이션들로서 사용되며, 대기 오염물질로부터 플레넘(328)을 보호한다. 로봇(330)은 로드- 록 챔버들과 프로세스 모듈들 사이에서 기판을 이송한다. 로봇(330)의 도시된 실시예는 예시적인 것이며, 본 발명의 범위를 제한해서는 안 된다. 입력/출력 모듈(302)은 공장 인터페이스(324), 계측 모듈(326), 및 로드-록 챔버들(322) 사이에서 기판 카세트들의 교환을 용이하게 하는 적어도 하나의 전면 개구 단일화 포드(FOUP: front opening unified pod)(306)를 포함한다.
시스템 제어기(340)는 일반적으로 중앙 프로세싱 유닛(CPU)(342), 메모리344), 및 지원 회로들(346)을 포함하며, 시스템(300)의 성능을 최적화시키기 위하여 개별 모듈들로부터의 데이터 수집 및 피드백을 가능하게 할 뿐 아니라, 통합 프로세싱 시스템(300)의 장치 및 모듈들을 제어한다. 작동시, 제어기(340)는 시스템(300)의 장치 및 모듈들의 직접 제어를 사용하며, 대안적으로, 이러한 모듈들 및 장치들과 연관된 컴퓨터들(또는 제어기들)을 관리한다.
프로세싱 모듈들(310, 312, 314, 316, 및 318) 중 적어도 하나는 RTP 반응기(예를 들어, RADIANCE® 반응기), PECVD 반응기, CVD 반응기(예를 들어, XGen 반응기), ALD 반응기, DPN 반응기, 및/또는 도 1을 참조로 상기 개시된 프로세스들을 수행하는데 적합한 다른 반응기일 수 있다. 본 발명에 따른 프로세스들을 수행하기 위한 시스템(300)의 가능한 구성의 일실시예는 두 개의 로드-록 챔버들(322), 두 개의 RTP 모듈들(310 및 312), ALD 모듈(314), CVD 모듈(316), DPN 모듈(318), 측정 툴(304) 및 로봇들(308 및 320)을 포함하는 계측 모듈(326), 및 두 개의 FOUP들(306)을 갖는 입력/출력 모듈(302)을 포함한다. 시스템(300)의 다른 구성들이 또한 본 명세서에 개시된 발명을 실행하는데 이용될 수 있다는 것을 인지해야 한다.
도 4는 예시적인 PE-CVD 챔버(400)의 개략적인 도면을 도시한다. 분리된 플라즈마 질화(DPN) 플라즈마 소스(410)가 하부 챔버 몸체(420)의 프로세싱 영역(402) 위에 제공된다. 챔버 벽들(422)은 프로세싱 영역(402)을 둘러싼다. 기판(401)은 페데스탈(424)상에 위치된다. 페데스탈(424)은 페데스탈의 듀얼 존 히터(dual zone heater)를 위한 접속부들을 포함하는 스템(stem)(450)을 갖는다. 듀얼 존 히터 페데스탈에 관련된 세부 사항들은 2001년 10월 19일자로 출원된, 미국 특허 제 6,646,235호에 보다 상세히 개시되며, 이는 본 명세서에 참조로서 통합된다.
코일(412)은 플라즈마 소스의 돔의 상부벽의 수직 축 주변에 나선형으로 상승한다. 코일(412)은 전극 플레이트(18) 위에 위치되며, 돔 형태에 따른다. 코일(412)의 한 단부는 RF 소스(462)에 접속되며, 코일의 다른 단부는 접지에 접속된다. 일실시예에 따라, RF 매치 회로(464)는 RF 소스(462)와 코일(412) 사이에 제공될 수 있다.
RF 소스(462)는 예를 들어, 13.56 MHz의 주파수에서 코일(412)에 RF 전류를 제공하도록 작동될 수 있다. 0 내지 3000 와트의 전력이 인가될 수 있다. RF 전계는 가스 주입부(435)에 주입되는 질소 가스 또는 산소 가스와 결합된다. 가스 주입부(435)는 N2, NH3, 또는 이와 유사한 다른 것들을 주입할 수 있다. 추가로, 가스 주입부(435)는 산화물이 성장되는 경우에, 산소(O2), 산화질소(NO), 아산화질소(N2O)를 주입할 수 있다. RF 소스(462)는 펄스형 모드 또는 CW 모드에서 작동될 수 있다.
가스 주입부(435)에 의해 주입되고 RF 플라즈마에 의해 이온화되는 가스, 및 증착 가스 주입부(430)에 의해 주입되는 증착 가스는 기판상의 층의 열적 증착을 위해 가열된 웨이퍼 표면 및/또는 프로세싱 영역(402)에서 반응한다.
터보 펌프(440)는 밸브(442) 및 가스 배기관(443)을 통해 챔버 몸체(420)에 접속된다. 일실시예에서, 밸브(442)는 쓰로틀(throttle) 밸브일 수 있다. 터보 펌프(440)는 기판(401)상의 원하는 성장 조건들에 적절한 레벨로 챔버의 압력을 감소시킬 수 있다. 프로세싱 영역의 압력은 일실시예에 따라, 약 30 mTorr 미만으로, 통상적으로는 약 1 mTorr 내지 약 20 mTorr로 제어된다.
상기 개시된 실시예들은 예를 들어, 질소(N2), 암모니아(NH3), 산소(O2), 산화질소(NO) 또는 아산화질소(N2O)가 여기되는 실리콘의 성장을 허용한다. 질소 및/또는 NH3는 실리콘 질화물층을 증착하는데 사용된다. O2, NO, 및/또는 N2O가 실리콘 산화물층을 증착하는데 사용된다. 상부 가스 주입부(435)로부터 주입되는 가스들은 실리콘 소스와 혼합되기 이전에, 펄스형 RF 유도 소스로 여기될 수 있다. 따라서, 플라즈마 강화 CVD는 더 낮은 웨이퍼 온도에서 수행될 수 있다.
추가의 실시예들을 형성하기 위하여 챔버(400)에 포함될 수 있는 챔버의 추 가의 세부 사항들은 2003년 6월 12일자로 출원된 미국 특허 제6,831,021호에 보다 상세히 개시되며, 그 모든 내용은 본 명세서에 참조로서 통합된다.
본 발명은 본 발명의 정신을 벗어나지 않고 본 명세서에 개시된 이론들을 이용함으로써 파라미터들이 본 기술 분야의 당업자들에 의해 수용가능한 특성들을 달성하도록 조정될 수 있는 다른 프로세스들을 사용하여 실행될 수 있다. 전술된 논의들은 전계 효과 트랜지스터의 제조를 참조하였으나, 집적 회로들에서 사용되는 다른 디바이스들 및 구좀루들의 제조 또한 본 발명으로부터 이익을 얻을 수 있다.
전술한 내용은 본 발명의 실시예들에 관한 것으로서, 본 발명의 다른 그리고 추가의 실시예들이 본 발명의 기본적인 범위를 벗어나지 않고 고안될 수 있으며, 그 범위는 하기의 청구항들에 의해 결정된다.

Claims (20)

  1. 전계 효과 트랜지스터의 게이트 유전체를 제조하는 방법으로서,
    (a) 실리콘 기판을 제공하는 단계;
    (b) 상기 실리콘 기판으로부터 자연 산화물층을 제거하는 단계;
    (c) 상기 실리콘 기판상에 제1 산화물층을 형성하는 단계;
    (d) 상기 제1 산화물층상에 게이트 유전체층을 형성하는 단계;
    (e) 상기 게이트 유전체층상에 제2 산화물층을 형성하는 단계; 및
    (f) 상기 게이트 유전체층, 상기 제1 산화물층, 및 상기 제1 산화물층과 상기 실리콘 기판 사이의 인터페이스를 어닐링하는 단계
    를 포함하는, 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  2. 제1항에 있어서,
    상기 단계(c) 내지 상기 단계(e) 동안 단일 챔버에서 상기 실리콘 기판을 유지시키는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  3. 제1항에 있어서,
    상기 단계(c)는 약 2 내지 10 옹스트롬의 두께로 상기 제1 산화물층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전 체 제조 방법.
  4. 제1항에 있어서,
    상기 단계(c)는 분리된 플라즈마 소스에 의해 발생된 산소, 산화질소, 또는 아산화질소 중 적어도 하나를 포함하는 플라즈마에 상기 제1 산화물층을 노출시키는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  5. 제1항에 있어서,
    상기 단계(c)는 상기 제1 산화물층을 질화시키는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  6. 제5항에 있어서,
    상기 질화시키는 단계는 상기 제1 산화물층에 질화된 물질의 서브-층을 생성하는 단계를 더 포함하며, 상기 서브-층은 약 0.5 내지 3 옹스트롬의 두께를 갖는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  7. 제5항에 있어서,
    상기 제1 산화물층을 질화시키는 단계는, 질소-포함 플라즈마에 상기 제1 산화물층을 노출시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  8. 제1항에 있어서,
    상기 단계(d)는, 실리콘 질화물, 하프늄 산화물, 및 하프늄 규산염 중 적어도 하나로부터 상기 게이트 유전체층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  9. 제8항에 있어서,
    상기 단계(d)는 상기 하프늄 산화물 또는 하프늄 규산염 중 적어도 하나로부터 약 10 내지 60 옹스트롬의 두께의 상기 게이트 유전체층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  10. 제8항에 있어서,
    상리 실리콘 질화물로부터 약 2 내지 10 옹스트롬의 두께의 상기 게이트 유전체층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  11. 제1항에 있어서,
    상기 단계(d)는 플라즈마 강화 프로세스에서 상기 게이트 유전체층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  12. 제1항에 있어서,
    상기 제2 산화물층은 약 2 내지 10 옹스트롬의 두께를 갖는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  13. 제1항에 있어서,
    상기 단계(e)는 저 에너지 플라즈마 소스를 사용하여 플라즈마를 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  14. 제1항에 있어서,
    상기 단계(f)는 급속 열 프로세싱 챔버 또는 퍼니스(furnace)에서 상기 기판을 열적으로 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  15. 기판 위에 전계 효과 트랜지스터의 게이트 유전체를 제조하는 방법으로서,
    (a) 상기 기판으로부터 자연 산화물층을 제거하고, 질소 정화 또는 진공 환경에 상기 기판을 위치시키는 단계;
    (b) 상기 기판상에 제1 열적 산화물층을 형성하는 단계;
    (c) 상기 제1 열적 산화물층상에 게이트 유전체층을 형성하는 단계;
    (d) 상기 게이트 유전체층상에 제2 열적 산화물층을 형성하는 단계; 및
    (e) 상기 제1 열적 산화물층 및 상부에 형성된 산화된 상기 게이트 유전체층을 갖는 상기 기판을 열적으로 어닐링하는 단계
    를 포함하는, 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  16. 제15항에 있어서,
    상기 단계(c) 이전에 상기 제1 열적 산화물층을 질화시키는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  17. 제15항에 있어서,
    상기 단계(d) 이전에 상기 게이트 유전체층을 질화시키는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 게이트 유전체 제조 방법.
  18. 전계 효과 트랜지스터의 게이트 유전체를 제조하는 통합 반도체 기판 프로세싱 시스템으로서,
    실리콘 기판상에 열적 산화물층을 형성하고, 상기 열적 산화물층상에 게이트 유전체층을 증착시키며, 상기 게이트 유전체층상에 열적 산화물층을 형성하도록 구성되는 반응기;
    분리된 플라즈마 소스;
    하나 이상의 로드-록 챔버들;
    상기 반응기 및 상기 로드-록 챔버에 결합되는 적어도 하나의 기판 이송 챔버; 및
    상기 프로세싱 시스템의 관리 및 모니터링 동작을 위한 제어기
    를 포함하는, 통합 반도체 기판 프로세싱 시스템.
  19. 제18항에 있어서,
    상부 가스 주입부 및 하부 가스 주입부를 더 포함하는 것을 특징으로 하는 통합 반도체 기판 프로세싱 시스템.
  20. 제19항에 있어서,
    상기 하부 가스 주입부는 상기 분리된 플라즈마 소스 아래에 제공되며, 상기 상부 가스 주입구는 상기 분리된 플라즈마 소스 위에 제공되는 것을 특징으로 하는 통합 반도체 기판 프로세싱 시스템.
KR1020087029778A 2006-05-05 2007-05-02 전계 효과 트랜지스터의 게이트 유전체 제조 방법 KR20090007633A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/381,960 US7727828B2 (en) 2005-10-20 2006-05-05 Method for fabricating a gate dielectric of a field effect transistor
US11/381,960 2006-05-05

Publications (1)

Publication Number Publication Date
KR20090007633A true KR20090007633A (ko) 2009-01-19

Family

ID=38668104

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087029778A KR20090007633A (ko) 2006-05-05 2007-05-02 전계 효과 트랜지스터의 게이트 유전체 제조 방법

Country Status (7)

Country Link
US (1) US7727828B2 (ko)
EP (1) EP2022091A1 (ko)
JP (1) JP5455622B2 (ko)
KR (1) KR20090007633A (ko)
CN (1) CN101438398A (ko)
TW (1) TWI415193B (ko)
WO (1) WO2007131051A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130124904A (ko) * 2012-05-07 2013-11-15 에이에스엠 아이피 홀딩 비.브이. 반도체 디바이스 유전체 계면층

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888217B2 (en) * 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7727828B2 (en) * 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
JPWO2007132884A1 (ja) * 2006-05-17 2009-09-24 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US20090142899A1 (en) * 2007-12-04 2009-06-04 Jensen Jacob M Interfacial layer for hafnium-based high-k/metal gate transistors
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US7981808B2 (en) * 2008-09-30 2011-07-19 Freescale Semiconductor, Inc. Method of forming a gate dielectric by in-situ plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8168462B2 (en) * 2009-06-05 2012-05-01 Applied Materials, Inc. Passivation process for solar cell fabrication
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5371831B2 (ja) * 2010-02-25 2013-12-18 三菱電機株式会社 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8394688B2 (en) 2011-06-27 2013-03-12 United Microelectronics Corp. Process for forming repair layer and MOS transistor having repair layer
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5789149B2 (ja) * 2011-07-21 2015-10-07 Jswアフティ株式会社 原子層成長方法及び原子層成長装置
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012201953A1 (de) * 2012-02-09 2013-08-14 Singulus Technologies Ag Verfahren und Vorrichtung zur Passivierung von Solarzellen mit einer Aluminiumoxid-Schicht
US8993458B2 (en) * 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9634083B2 (en) 2012-12-10 2017-04-25 United Microelectronics Corp. Semiconductor structure and process thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9761439B2 (en) * 2014-12-12 2017-09-12 Cree, Inc. PECVD protective layers for semiconductor devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN109496359B (zh) * 2018-10-08 2020-04-28 长江存储科技有限责任公司 利用自然氧化层形成具有沟道结构的三维存储器件的方法
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11322347B2 (en) * 2018-12-14 2022-05-03 Applied Materials, Inc. Conformal oxidation processes for 3D NAND
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202349456A (zh) * 2020-11-06 2023-12-16 美商應用材料股份有限公司 增強材料結構的處理
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220125783A (ko) * 2021-03-04 2022-09-14 어플라이드 머티어리얼스, 인코포레이티드 디바이스 성능을 개선하기 위한 처리들
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02303131A (ja) * 1989-05-18 1990-12-17 Oki Electric Ind Co Ltd 絶縁膜形成方法
JP2937817B2 (ja) * 1995-08-01 1999-08-23 松下電子工業株式会社 半導体基板表面の酸化膜の形成方法及びmos半導体デバイスの製造方法
JPH11261065A (ja) * 1997-11-20 1999-09-24 Lucent Technol Inc シリコンゲートfetの製造方法
US6319775B1 (en) * 1999-10-25 2001-11-20 Advanced Micro Devices, Inc. Nitridation process for fabricating an ONO floating-gate electrode in a two-bit EEPROM device
JP2001291866A (ja) * 2000-04-11 2001-10-19 Fuji Electric Co Ltd 半導体装置およびその製造方法
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
JP2004095918A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6821868B2 (en) * 2002-12-27 2004-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming nitrogen enriched gate dielectric with low effective oxide thickness
US6949433B1 (en) * 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
JP4261276B2 (ja) * 2003-08-15 2009-04-30 パナソニック株式会社 半導体装置の製造方法
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
TWI228789B (en) 2004-01-20 2005-03-01 Ind Tech Res Inst Method for producing dielectric layer of high-k gate in MOST
JP2005311061A (ja) * 2004-04-21 2005-11-04 Nippon Telegr & Teleph Corp <Ntt> 絶縁層及びその製造方法
US7727828B2 (en) * 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7888217B2 (en) 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130124904A (ko) * 2012-05-07 2013-11-15 에이에스엠 아이피 홀딩 비.브이. 반도체 디바이스 유전체 계면층

Also Published As

Publication number Publication date
EP2022091A1 (en) 2009-02-11
TW200743162A (en) 2007-11-16
CN101438398A (zh) 2009-05-20
US20070093013A1 (en) 2007-04-26
JP5455622B2 (ja) 2014-03-26
JP2009536459A (ja) 2009-10-08
US7727828B2 (en) 2010-06-01
TWI415193B (zh) 2013-11-11
WO2007131051A1 (en) 2007-11-15

Similar Documents

Publication Publication Date Title
US7727828B2 (en) Method for fabricating a gate dielectric of a field effect transistor
US7888217B2 (en) Method for fabricating a gate dielectric of a field effect transistor
US7601648B2 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
US7446052B2 (en) Method for forming insulation film
US7910497B2 (en) Method of forming dielectric layers on a substrate and apparatus therefor
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
US7217659B2 (en) Process for producing materials for electronic device
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
KR100990012B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물및 옥시나이트라이드 증착 방법
WO2014035933A1 (en) Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices
US7622402B2 (en) Method for forming underlying insulation film
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
WO2006025164A1 (ja) 半導体装置の製造方法
TWI839600B (zh) 低溫無蒸汽氧化物間隙填充
WO2010038888A1 (ja) 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
TW202416418A (zh) 用於可流動間隙填充膜的多步驟處理
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application