JP5455622B2 - 電界効果トランジスタのゲート誘電体の製造方法 - Google Patents

電界効果トランジスタのゲート誘電体の製造方法 Download PDF

Info

Publication number
JP5455622B2
JP5455622B2 JP2009510056A JP2009510056A JP5455622B2 JP 5455622 B2 JP5455622 B2 JP 5455622B2 JP 2009510056 A JP2009510056 A JP 2009510056A JP 2009510056 A JP2009510056 A JP 2009510056A JP 5455622 B2 JP5455622 B2 JP 5455622B2
Authority
JP
Japan
Prior art keywords
gate dielectric
plasma
oxide layer
layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009510056A
Other languages
English (en)
Other versions
JP2009536459A (ja
JP2009536459A5 (ja
Inventor
タイ, チェン シュア,
コリー クザルニク,
アンドレアス, ジー. ヘゲドゥス,
クリストファー ショーン オルセン,
クハレド ズィー. アハメド,
フィリップ アラン クラウス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009536459A publication Critical patent/JP2009536459A/ja
Publication of JP2009536459A5 publication Critical patent/JP2009536459A5/ja
Application granted granted Critical
Publication of JP5455622B2 publication Critical patent/JP5455622B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、半導体基板上にデバイスを製造する方法に関する。より詳細には、本発明は、電界効果トランジスタ、特に電界効果トランジスタのゲート誘電体を製造する方法に関する。
関連技術の説明
[0002]集積回路は、基板上に形成され協働して回路内の種々の機能を行う100万を超える超小型電子回路の電界効果トランジスタ(例えば、相補型金属酸化物半導体(CMOS)電界効果トランジスタ)を含むことができる。CMOSトランジスタは、トランジスタのソースとドレインの間に形成されたチャネル領域の上に堆積されたゲート構造を備える。ゲート構造は、通常は、ゲート電極とゲート誘電体を備える。ゲート電極は、ゲート誘電体の上に配置され、動作中、ゲート誘電体の真下のチャネル領域における電荷キャリヤ(即ち、電流)の流れを制御するために用いる。
[0003]ゲート誘電体は、典型的には、窒化シリコン(Si)又は酸窒化シリコン(SiON)から形成される。トランジスタのスピードを上げるために、改良集積回路におけるゲート誘電体の厚さは、約20〜30オングストローム以下の範囲で選択される。しかしながら、このような極薄ゲート誘電体を持つゲート構造の製造は、やりがいのある仕事である。一つの特定の問題は、現在の製造技術がトランジスタのシリコン/ゲート誘電体接合部に多量の窒素(N)が拡散するために、ゲート誘電体を通って高リーク電流を引き起こし、チャネル領域における電荷キャリヤの移動度が減少する。更に、窒素とゲート電極の多結晶シリコンとの相互作用がVFB/V(ここで、VFBはフラットバンド電圧であり、Vは閾値電圧である)を変える。
[0004]それ故、電界効果トランジスタのゲート誘電体を製造する改善された方法が当該技術において求められている。
発明の概要
[0005]本発明の実施形態は、一般的には、電界効果トランジスタのゲート誘電体の製造方法に関する。本発明は、マイクロプロセッサ、特定用途向け集積回路(ASCI)、電子メモリデバイス等の集積回路デバイスに用いることができる。
[0006]一実施形態において、本方法は、シリコン基板からの自然酸化物層を除去するステップと、基板上に第一酸化物層を形成するステップと、第一酸化物層の上にゲート誘電体層(例えば、窒化シリコン(Si)、酸化ハフニウム(HfO)、ケイ酸ハフニウム(HfSi、ここで、x及びyは整数である)等)を形成するステップと、ゲート誘電体層の上に第二酸化物層を形成するステップと、形成された層と、第一酸化物層と基板の間の接合部とをアニールするステップとを含む。所望により、基板上の第一酸化物層は、ゲート誘電体層を形成する前に窒化されてもよい。所望により、ゲート誘電体層は、ゲート誘電体層上に第二酸化物層を形成する前に窒化されてもよい。一実施形態において、本方法の少なくとも一部は、統合半導体基板処理システム(即ち、クラスターツール)の処理リアクタを用いて行われてもよい。一実施形態において、基板上の酸化物層は、第一酸化物層を堆積させることによって形成され、ゲート誘電体層上の酸化物層は、ゲート誘電体層を酸化することによって形成される。他の実施形態において、基板上の酸化物層は、酸化物層を堆積させることによって形成され、ゲート誘電体層上の酸化物層は、ゲート誘電体層上の第二酸化物層を堆積させることによって形成される。
[0007]他の実施形態において、基板の上の電界効果トランジスタのゲート誘電体の製造方法は、基板から自然酸化物層を除去するステップと、窒素パージ環境又は真空環境に基板を入れるステップと、シリコン基板上に第一熱酸化物層を形成するステップと、第一熱酸化物層上にゲート誘電体層を形成するステップと、ゲート誘電体層上に第二酸化物層を形成するステップと、第一熱酸化物層と酸化されたゲート誘電体層がその上に形成された基板を熱的にアニールするステップとを含む。一実施形態において、基板上の酸化物層は、第一酸化物層を堆積させることによって形成され、ゲート誘電体層上の酸化物層は、酸素含有プラズマを用いてゲート誘電体層の少なくとも一部を酸化することによって形成される。他の実施形態において、基板上の酸化物層は、第一酸化物層を堆積させることによって形成され、ゲート誘電体層上の酸化物層は、熱酸化物層を形成することによって、即ち、ゲート誘電体層上に第二酸化物層を堆積させることによって形成される。
[0008]本発明の他の様態において、電界効果トランジスタのゲート誘電体の製造のための統合半導体基板処理システムが開示される。一実施形態において、システムは、シリコン基板上に熱酸化物を形成するように構成された少なくとも一つの第一リアクタと、熱酸化物層上にゲート誘電体層を堆積するように構成された少なくとも一つの第二リアクタと、ゲート誘電体層を酸化するように構成された少なくとも一つの第三リアクタと、少なくとも一つのロードロックチャンバと、リアクタとロードロックチャンバのそれぞれに結合した少なくとも一つの基板搬送チャンバと、処理システムの動作を管理し監視するコントローラとを含む。
[0009]本発明の他の様態において、電界効果トランジスタのゲート誘電体の製造のための統合半導体基板処理システムが開示される。一実施形態において、システムは、シリコン基板上に熱酸化物層を形成するように構成されたリアクタと、熱酸化物層上にゲート誘電体層を堆積するように構成され且つゲート誘電体層上に熱酸化物層を形成するように構成されたリアクタと;分離プラズマソースと;一つ以上のロードロックチャンバと;リアクタとロードロックチャンバに結合した少なくとも一つの基板搬送チャンバと;処理システムの動作を管理し監視するコントローラとを含む。
[0010]本発明の教示は、添付の図面と共に以下の詳細な説明を考慮することにより明らかになる。
[0015]可能であれば、同じ符号は、図に共通する同じ要素を表すために本明細書に用いる。
[0016]添付の図面は、本発明の例示的実施形態を示し、それだけで、本発明の範囲を限定するとみなされるべきでなく、他の等しく有効な実施形態を許容することができる。
詳細な説明
[0017]本発明は、極薄ゲート誘電体(例えば、約20-30オングストローム未満)を持つ電界効果トランジスタのゲート誘電体の製造方法である。本発明は、統合半導体デバイスと回路の製造に用いることができる。
[0018]図1は、本発明の一実施形態による電界効果トランジスタのゲート誘電体の製造方法100を示す流れ図である。方法100は、例示的CMOS電界効果トランジスタのゲート構造の製造の間に、基板上で行われる処理ステップを含む。一部の実施形態において、これらの処理ステップは、示された順序で行われる。別の実施形態において、これらのステップの少なくとも二つは、同時期に又は異なる順序で行われてもよい。サブステップと補助手順(例えば、処理リアクタ、プロセス制御ステップ等の間の基板の搬送)は、当該技術において周知であり、そのようなものとして、本明細書では省略される。
[0019]方法100の少なくとも一部は、統合半導体基板処理システム(即ち、クラスターツール)の処理リアクタを用いてを行われる。このような一処理システムは、カリフォルニア、サンタクララのAppliedMaterials社から入手できるCENTURA(登録商標)統合処理システムである。適切な処理システム300と適切なCVDチャンバ400の一般的な説明を、それぞれ図3と図4によって以下に述べる。
[0020]図2A-図2Eは、共に、ゲート構造が図1の方法を用いて製造される基板を示す一連の概略断面図である。図2A-図2Eの断面図は、ゲート誘電体を製造するために行われる個々の処理ステップに関する。図2A-図2Eの図面は、一定の比率で縮小されてなく、説明のために簡略化されている。本発明を最良に理解するために、読者は、図1と図2A-図2Eを同時に参照すべきである。
[0021]方法100は、ステップ102から開始し、ステップ104に進む。
[0022]ステップ104において、シリコン(Si)基板200を準備し(例えば、200mmのウエハ、300mmのウエハ等)、基板の表面から自然酸化物(SiO)層204を除去する溶液にさらす(図2A)。説明的に、方法100を用いて、トランジスタのゲート構造(図示せず)を形成することができる。ゲート構造は、通常は、例えば、トランジスタのチャネル領域226とソースとドレインの領域222、224(破線で示されている)の上の領域220に配置される。図を明瞭にするために、領域220-226を図2Aだけに示す。
[0023]一実施形態において、層204は、フッ化水素(HF)と純水(DI)を含む溶液(即ち、フッ化水素酸溶液)を用いて除去される。一実施形態において、溶液は、約0.1〜10質量%のHFと約20-30℃の温度を有する。他の実施形態において、溶液は、約0.5%のHFと25℃の温度を有する。ステップ104は、基板200を溶液に湿式浸漬した後、純水ですすぐ工程を用い、超音波増強槽を含む単一ウエハ槽か又はバッチ槽で行うことができる。或いは、ステップ104は、統合処理システム300の単一基板湿式洗浄リアクタを用いて行うことができる。他の実施形態において、基板204は、RCA洗浄法を用いて除去することができる。ステップ102の完了時に、基板200を、真空ロードロック又は窒素(N)パージ環境に入れる。
[0024]ステップ106において、熱酸化物(SiO)層206は、基板200(図2B)上で成長する。層206の厚さは、一般的には約2-40オングストローム、好ましくは約2-10オングストロームである。一実施形態において、層206の厚さは、約6-10オングストロームである。ステップ106は、例えば、統合プロセスシステム300のRADIANCE(登録商標)急速熱処理(RTP)リアクタ、分離プラズマ酸化(DPO)リアクタ、又はプラズマ化学気相堆積(PECVD)リアクタを用いて行うことができる。RADIANCE(登録商標)リアクタは、カリフォルニア、サンタクララのApplideMaterials社から入手できる。
[0025]一実施形態において、ステップ106は、約750℃-850℃の基板温度と約0.1-50トールの反応チャンバの圧力を維持しつつ、RTPリアクタを用いて行われ、約0.5-10slmの酸素(O)を供給することによって層206を成長させることができる。プロセスの時間は、約5-30秒であるのがよい。一実施形態において、Oは、約800℃の温度と約2トールの圧力を維持しつつ、約2slmで供給する。
[0026]他の実施形態において、層206は、約700℃-850℃の基板温度を維持しつつ、亜酸化窒素(NO)を約1-10slmの流量で、水素(H)を約10-500sccmの流量で(即ち、NO:Hの流量比は約2:1-1000:1の範囲にある)を供給することによってRTPリアクタ内で成長させることができる。更に、ステップ106は、反応チャンバの圧力を約0.5-20トールに維持する。プロセスの時間は、約5-60秒間であってもよい。特定の一プロセス法は、約800℃の温度において、NOを約4.9slmの流量でHを約50sccmの流量で(即ち、NO:Hの流量比約98:1)を供給する。
[0027]他の実施形態において、ステップ106は、DPOチャンバのような低エネルギープラズマを生成するのに適したプロセスチャンバを用いて行うことができる。プラズマの低エネルギーは、基板更に/又は層の表面での反応を制御することを援助する。例えば、プラズマは、他のプラズマソースの中でも、準リモートプラズマソース、誘導性プラズマソース、更に/又はRLSAソースを用いて生成するのがよい。別の実施形態において、CWソース更に/又はパルスマイクロ波電力、例えば、マグネトロン又はRLSAマイクロ波ソースを、層206を形成するために用いることができる。
[0028]一実施形態において、層206は、基板200を酸素(O)、一酸化窒素(NO)、亜酸化窒素(NO)等の少なくとも一つを含有するプラズマにさらすことによってDPOリアクタ内で成長させることができる。更に、プラズマは、所望により、窒素(N)更に/又は場合によっては不活性ガス(例えば、アルゴン(Ar)、ヘリウム(He)等)を含有してもよい。
[0029]一実施形態において、層206は、DPOリアクタを用いて、約10-2000sccmの酸素(O)、約20℃-500℃の基板ペデスタル温度、約5-1000ミリトールの反応チャンバの圧力を供給することによって形成することができる。高周波(RF)プラズマは、最大約3-5kWまでの連続波(CW)か又はパルスプラズマ電源を用いて、例えば、13.56MHzのエネルギーを与える。パルスの間、ピークRF電力は、約10-3000Wの範囲にあってもよく、周波数は、約2-100kHzの範囲にあってもよく、負荷サイクルは、約2-50%の範囲にあってもよい。このプロセスは、約1-180秒間行われるのがよい。一実施形態において、Oは、約200sccmで供給され、約500WのピークRF電力は、約5%の負荷サイクルが誘導性プラズマソースに適用された約10kHzで、約25℃の温度と約40-80ミリトールの圧力において約15-60秒間パルスされる。
[0030]実施形態において、更に、熱酸化物層206は、図4について記載されたPE-CVDチャンバを用いて堆積させることができる。酸素(O)、一酸化窒素(NO)、亜酸化窒素(NO)等は、上のガスインジェクタ435を介して噴射され、シラン(SiH)は、下のガスインジェクタを介して噴射される。或いは、本明細書に記載される実施形態に開示された他のシリコンソースが用いられてもよい。上のガスインジェクタ435を通って噴射されたガスは、誘導結合プラズマによって励起することができる。酸素は、例えば、13.56MHzの高周波(RF)プラズマによって励起される。プラズマソースは、パルス方式で作動させてもCW方式で作動させてもよい。パルスRFプラズマが適用される場合、ピーク電力は、10-3000ワットの範囲にあるのがよい。CW方式プラズマが適用される場合、ピーク電力は10W-1000Wの範囲にあるのがよい。
[0031]層206は、約10-2000sccmの酸素、約20℃〜500℃のペデスタル温度、1〜50ミリトールのチャンバ内の圧力を提供することによって成長させることができる。
[0032]所望によるステップ107において、酸化物層206を窒化することができる。層206は、例えば、プラズマプロセス又は熱プロセスにおいて窒化することができる。説明的に、ステップ107は、層206の上の部分に窒化された物質の副層207を形成する(図2C)。窒化された副層207の厚さは、典型的には、約0.5-5オングストローム、好ましくは約1-3オングストロームの範囲に形成される。
[0033]一実施形態において、層206は、窒素含有プラズマにさらされる。一実施形態において、プラズマは、窒素(N)を含有し、所望により、一つ以上の場合によっては不活性ガス(例えば、アルゴン(Ar)、ヘリウム(He)等)を含有してもよい。ステップ107は、例えば、統合処理システム300の分離プラズマ窒化(DPN)プラズマリアクタを用いて行うことができる。
[0034]一実施形態において、副層207は、DPNリアクタを用いて約10-2000sccmの窒素(N)、約20-500℃の基板ペデスタル温度、約5-1000ミリトールの反応チャンバ内圧力を供給することによって形成することができる。高周波(RF)プラズマは、例えば、最大約3-5kWまでの連続波(CW)又はパルスプラズマ電源を用いて、13.56MHzのエネルギーを与える。パルスの間、ピークRF電力、周波数、負荷サイクルは、典型的には、それぞれ約10-3000W、約2-100kHz、約2-50%の範囲で選ばれる。このプロセスは、約1-180秒間行われるのがよい。一実施形態において、Nは、約200sccmで供給され、約1000WのピークRF電力は、約5%の負荷サイクルが誘導性プラズマソースに適用された約10kHzで約25℃の温度と約40-80ミリトールの圧力において約15-60秒間パルスされる。プラズマは、他のプラズマソースの中でも、準リモートプラズマソース、誘導性プラズマソース、ラジアルラインスロットアンテナ(RLSA)ソースを用いて生成するのがよい。別の実施形態において、CW更に/又はパルスマイクロ波電源を用いて副層207を形成することができる。
[0035]所望によるステップ107において、熱酸化物層206もまた、窒化された物質の副層207を形成するためのRTPリアクタ内で、高温で、アンモニア(NH)ガス、又は、NHとN又は一つ以上の不活性ガス、例えば、ヘリウム、アルゴン等の混合物にさらすことによって熱的に窒化することができる。
[0036]一実施形態において、副層207は、700℃-1000℃の基板温度、約0.1-10トールの反応チャンバの圧力を維持しつつ、アンモニア(NH)ガスを5-1000sccmで供給することによってRTPリアクタを用いて形成することができる。プロセス時間は、約5-120秒間であるのがよい。一実施形態において、NHは、約800℃の温度と0.3トールの圧力を維持しつつ100sccmで15秒間供給される。所望により、バッチ炉を用いて、副層207を形成してもよい。
[0037]ステップ108において、ゲート誘電体層208は、熱酸化物層206(図2D)の上に堆積される。層208は、窒化シリコン(Si)から約2-20オングストロームの厚さに、又は酸化ハフニウム(HfO)、ケイ酸ハフニウム(例えば、HfSiO、ここで、xとyは整数である)等又はこれらの組み合わせのような高k物質から約10-60オングストロームの厚さに形成することができる。ステップ108は、例えば、CVDリアクタ又はALDリアクタのような統合処理システム300の化学気相堆積(CVD)リアクタ又は原子層堆積(ALD)リアクタを用いて行うことができる。一つの適切なCVDリアクタは、ApplideMaterials社から入手できるXGen CVDリアクタである。
[0038]一実施形態において、CVDリアクタを用いたゲート誘電体層208は、窒化シリコン(Si)を含むことができ、約400-750℃の基板ペデスタル温度と約0.1-50トールの反応チャンバ内の圧力を維持しつつ、アンモニア(NH)を約100-1000sccmで、シラン(SiH)を約1-100sccmで(即ち、NH:SiHの流量比は1:1〜1000:1の範囲にある)、窒素(N)を約10-1000sccmで供給することによって形成することができる。このプロセスは、約30-180秒間行うのがよい。一実施形態において、チャンバ内の温度約600℃と圧力約5トールを維持しつつ、NHを約500sccmで、SiHを約10sccmで、(即ち、NH:SiHの流量比約50:1)、Nを約25sccmで供給する。シラン(SiH)の代わりに他のシリコンソースガス又は化学物質、例えば、ジシラン(Si)、ジクロロシラン(DCS)、トリクロロシラン(TCS)、テトラクロロシラン(TCS)又はヘキサクロロジシラン(HCD)を使用し得る。
[0039]他の実施形態において、ゲート誘電体層208は、酸化ハフニウム又はケイ酸ハフニウムを含むのがよく、CVD又はALDプロセスを用いて堆積させるのがよい。酸化ハフニウム又はケイ酸ハフニウムゲート誘電体層208は、ハフニウムとシリコンの金属有機又は無機前駆物質をオゾン、水、又はリモートプラズマ酸素ラジカルの少なくとも一つを含む酸化剤と共に用いて形成することができる。
[0040]一実施形態において、窒化シリコン(Si)から形成される誘電体層は、図4について記載されたPE-CVDチャンバ内で堆積される。アンモニア(NH)更に/又は窒素(N)は、上のガスインジェクタを介して噴射されるが、シラン(SiH)は、下のガスインジェクタを介して噴射される。一実施形態によれば、NHは、約100-1000sccmで噴射され、シランは、約1-100sccmで、即ち、1:1〜1000:1の範囲にある流量比で噴射される。更に、Nが10-1000sccmの流量比で噴射されてもよい。ペデスタルは、約400℃〜約750℃の温度で維持され、リアクタチャンバ内の圧力は、約1ミリトール〜50ミリトール、典型的には、1ミリトール〜20ミリトールである。或いは、本明細書に記載された実施形態において開示される他のシリコンソースが用いられてもよい。
[0041]NH更に/又はNは、誘導結合プラズマによって励起される。アンモニア更に/又は窒素は、例えば、13.56MHzの高周波(RF)プラズマによって励起される。プラズマソースは、パルス方式で作動させてもCW方式で作動させてもよい。パルスRFプラズマが適用される場合、ピーク電力は、10〜3000ワットの範囲であるのがよい。CW方式プラズマが適用される場合、ピーク電力は10W〜1000Wの範囲であるのがよい。
[0042]ステップ110において、ゲート誘電体層208は、酸素含有プラズマにさらすことによって酸化される。説明的に、ステップ110は、層208の上部に酸化された物質の副層210を形成する(図2E)。酸化された副層210の厚さは、典型的には、約0.2-10オングストローム、好ましくは約0.5-5オングストロームの範囲で選ばれる。
[0043]一実施形態において、プラズマは、酸素(O)、一酸化窒素(NO)、亜酸化窒素(NO)等の少なくとも一つを含有するだけでなく、所望により、窒素(N)更に/又は場合によっては不活性ガス(例えば、アルゴン(Ar)、ヘリウム(He)等)を含有してもよい。ステップ110は、低エネルギープラズマを生成するのに適したプロセスチャンバを用いて行われてもよい。プラズマの低エネルギーは、基板更に/又は層の表面で反応を制御することを援助する。例えば、プラズマは、他のプラズマソースの中でも、準リモートプラズマソース、誘導プラズマソース、更に/又はRLSAソースを用いて生成するのがよい。別の実施形態において、マグネトロン又はRLSAマイクロ波ソースのようなCW更に/又はパルスマイクロ波電源を用いて副層210を形成することもできる。一実施形態において、ステップ110は、例えば、統合処理システム300のDPNプラズマリアクタを用いて行うことができる。
[0044]副層210は、酸素(O)を約10-2000sccmで供給することによって形成することができる。酸素は、所望により、N更に/又はHe更に/又はArと混合してもよい。基板ペデスタル温度は、約20-500℃に維持され、反応チャンバ内の圧力は、約5-1000ミリトールであるのがよい。高周波(RF)プラズマは、最大約3-5kWの連続波(CW)か又はパルスプラズマ電源を用いて、例えば、約13.56MHzのエネルギーを与える。パルスの間、ピークRF電力、周波数、負荷サイクルは、典型的には、それぞれ約10-3000W、約2-100kHz、約2-50%の範囲で選ばれる。酸化プロセスは、約1-180秒間行うのがよい。一実施形態において、Oは、約200sccmで、約5%の負荷サイクルが誘導プラズマソースに適用された約10kHzでパルスされた約1000WのピークRF電力により約25℃の温度と約40ミリトールの圧力で約30秒間供給される。
[0045]一実施形態において、更に又は或いはゲート誘電体層を酸化するために、酸化シリコン層を窒化シリコン誘電体層208上で成長させる。熱酸化シリコン層を、図4について記載されたPE-CVDチャンバを用いて堆積させる。酸素(O)、一酸化窒素(NO)、亜酸化窒素(NO)等は、誘導結合プラズマによって励起され、ガスは上のガスインジェクタから噴射され、シリコンソース(例えば、シラン)は下のガスインジェクタによって供給される。或いは、本明細書に記載される実施形態に開示される他のシリコンソースが用いられてもよい。プラズマソースは、パルス方式で作動させてもCW方式で動作させてもよい。窒化シリコン層の最上部上の酸化シリコン層は、2-20オングストロームの範囲の厚さで堆積され、酸素を約10-2000sccm、約20℃-500℃のペデスタル温度、1〜50ミリトールのチャンバ内の圧力で供給することによって成長させることができる。酸素は、例えば、13.56MHzの高周波(RF)プラズマによって励起される。パルスRFパルスが適用される場合、ピーク電力は、10-3000ワットの範囲であるのがよい。CW方式が適用される場合、ピーク電力は10W-1000Wの範囲であるのがよい。
[0046]図4に開示されるように、PE-CVDチャンバ内で行われるステップ106、ステップ108、ステップ110に関連した実施形態によれば、SiO、SiとSiOのスタックを同一チャンバ内で堆積させてもよい。スタックにおける層を堆積させるステップは、所望により、プラズマ援助により行われてもよい。プラズマ増強プロセスが、より低い基板温度を可能にする。
[0047]低圧と、プラズマソース410と、下のチャンバ本体420とを含むチャンバ装置は、薄層の成長を可能にし、SiO、Si、SiOから形成されるゲート誘電体スタックを熱的に堆積させることができる。
[0048]ステップ112において、ゲート誘電体層208と層206と基板200の間の酸化物/シリコン接合部をアニールする。ステップ112は、層206と層210のリーク電流の減少を向上し、(図2Aに示されている)チャネル領域226の電荷キャリヤの移動度を増加するだけでなく、酸化物/シリコン接合部の信頼性を向上させる。ステップ112は、統合処理システム300のRTP(例えば、RADIANCE(登録商標)又はRTPXE+)リアクタ、又は単一基板或いはバッチ炉のような適切な熱アニールチャンバを用いて行うことができる。
[0049]一実施形態において、ステップ112のアニールプロセスは、約800-1100℃の基板表面温度と約0.1-50トールの反応チャンバ内の圧力を維持しつつ、約2-5000sccmの酸素(O)と約100-5000sccmの一酸化窒素(NO)の少なくとも一つを供給することによって行うことができ、所望により、いずれのガスも窒素(N)と混合されてもよい。プロセスは、約5-180秒間行われるのがよい。一実施形態において、チャンバを約1000℃の温度と約0.1トールの圧力に維持しつつ、Oを約500sccmで約15秒間供給する。他の実施形態において、チャンバを約1000℃の温度と約0.5トールの圧力に維持しつつ、NOを約500sccmで約15秒間供給する。
[0050]ステップ112の完了時に、ステップ114において、方法100が終了する。集積回路の製造において、方法100は、有利には、リーク電流のための高比抵抗路を示す極薄ゲート誘電体を形成し、電界効果トランジスタのチャネル領域の電荷キャリヤの高移動度を容易にする。
[0051]図3は、図1の方法の一部を行うために用いることができる種類の例示的CENTURA(登録商標)統合半導体基板処理システム(例えば、クラスターツール)300を示す概略図である。システム300の具体的な実施形態は、説明のためのものであり、本発明の範囲を限定するために用いるべきではない。方法100が、他の半導体基板処理システム更に/又は処理リアクタを用いて行われてもよいことは企図される。
[0052]統合処理システム300は、一般的には、真空ロードロックチャンバ322と、ロボット330が基板レセプタクル334に供給する真空気密プレナム328と、プレナム328に結合したプロセスモジュール310、312、314、316、318と、搬入/搬出モジュール302と、場合によっては計測モジュール326と、システムコントローラ340とを含む。ロードロックチャンバ322は、基板カセットのドッキングステーションとして用いられ、大気汚染からプレナム328を保護する。ロボットは、ロードロックチャンバとプロセスモジュールの間で基板を搬送する。ロボット330の示された実施形態は典型的であり、本発明の範囲を制限すべきではない。搬入/搬出モジュール302は、少なくとも一つの前面ドア付きポッド(FOUP)306(二つのFOUP306を示す)を備え、ファクトリインタフェース324と、計測モジュール326と、ロードロックチャンバ322の間の基板カセットの交換を容易にする。
[0053]システムコントローラ340は、一般的には、中央処理装置(CPU)342と、メモリ344と、支援回路346とを備え、統合処理システム300のモジュールと装置に結合し制御するだけでなく、それぞれのモジュールからのデータの収集とフィードバックを可能にして、システム300の性能を最適化する。動作中、コントローラ340は、システム300のモジュールと装置の直接制御を用いるか、或いはこれらのモジュールと装置と関連付けられたコンピュータ(又はコントローラ)を管理する。
[0054]処理モジュール310、312、314、316、318の少なくとも一つは、RTPリアクタ(例えば、RADIANCE(登録商標)リアクタ)、PECVDリアクタ、CVDリアクタ(例えば、XGenリアクタ)、ALDリアクタ、DPNリアクタ、更に/又は上記図1の説明でプロセスを行うのに適した他のリアクタであってもよい。本発明のプロセスを行うためのシステム300の可能な構成の一例としては、二つのロードロックチャンバ322、二つのRTPモジュール310と312、ALDモジュール314、CVDモジュール316、DPNモジュール318、測定ツール304とロボット308と320を備える計測モジュール326、二つのFOUP306を備える搬入/搬出モジュール302が挙げられる。システム300の他の構成を用いて、本明細書に記載される本発明を実施することも企図される。
[0055]図4は、例示的PE-CVDチャンバ400を示す概略図である。分離プラズマ窒化(DPN)プラズマソース410は、下のチャンバ本体420の処理領域402の上に設けられる。チャンバ壁422は、処理領域402をとり囲んでいる。基板401は、ペデスタル424上に置かれる。ペデスタル424は、ペデスタルのデュアルゾーンヒータの接続を含むステム450を持つ。デュアルゾーンヒーターペデスタルに関する詳細は、2001年10月19日出願の米国特許第6,646,235号により詳細に記載され、この開示内容は本明細書に全体で援用されている。
[0056]コイル412は、プラズマソースのドームの上壁の縦軸の周りにらせん状になっている。コイル412は、電極プレート418の上に位置決めされ、ドーム形と一致する。コイルの一端は、RFソース462に接続され、コイルの対向する一端は、接地に接続される。一実施形態によれば、RF整合回路464は、RFソース462とコイル412の間に設けられてもよい。
[0057]RFソース462を作動させて、例えば13.56MHzの周波数でコイル412にRF電流を供給することができる。0〜3000ワットの電力が印加されるのがよい。RFフィールドは、ガスインジェクタ435に噴射される窒素ガス又は酸素ガスと結合する。ガスインジェクタ435は、N、NH等を噴射することができる。更に、ガスインジェクタ435は、酸化物を成長させる場合には、酸素(O)、一酸化窒素(NO)、又は亜酸化窒素(NO)を噴射させることができる。RFソース462は、パルス方式でもCW方式でも作動させることができる。
[0058]ガスインジェクタ435によって噴射され、RFプラズマによってイオン化されるガスと堆積ガスインジェクタ430によって噴射される堆積ガスは、プロセス領域402内で更に/又は基板上の層の熱的な堆積のために加熱されたウエハ表面上で反応する。
[0059]ターボポンプ440は、バルブ442とガス排出口443を介してチャンバ本体420に接続される。一実施形態において、バルブ442はスロットバルブであってもよい。ターボポンプ440は、基板401上の所望の成長条件に適切なレベルまでチャンバ内の圧力を減少させることができる。一実施形態によれば、処理領域の圧力は、約30ミリトール未満、典型的には約1ミリトール〜約20ミリトールであるように制御される。
[0060]上記実施形態は、例えば、励起された窒素(N)、アンモニア(NH)、酸素(O)、一酸化窒素(NO)、又は亜酸化窒素(NO)でシリコンの成長を可能にする。窒素更に/又はNHを用いて、窒化シリコン層を堆積させる。上のガスインジェクタ435から導入されるガスは、シリコンソースと混合する前に、パルスRF誘導ソースで励起することができる。それにより、プラズマ増強CVDは、より低いウエハ温度で行うことができる。
[0061]実施形態を更に形成するためにチャンバ400内に含むことができるチャンバの詳細は、2003年6月12日出願の米国特許第6,831,021号により詳述されており、この開示内容は本明細書に全体で援用されている。
[0062]本発明は、パラメータが、本発明の精神から逸脱することなく本明細書に開示された教示を用いることによって当業者が許容し得る特徴を達成するように調整することができる他のプロセスを用いて実施することができる。上記は電界効果トランジスタの製造を述べたが、集積回路に用いられるその他のデバイスと構造の製造もまた、本発明から利益を得ることができる。
[0063]上記は、本発明の実施形態に関するが、本発明の他の多くの実施形態が、本発明の基本的な範囲から逸脱せずに構成されてもよく、本発明の範囲は、以下の特許請求の範囲によって決定される。
図1は、本発明の一実施形態による電界効果トランジスタのゲート誘電体の製造方法を示す流れ図である。 図2Aは、ゲート構造が図1の方法に従って製造された基板を示す一連の概略断面図である。 図2Bは、ゲート構造が図1の方法に従って製造された基板を示す一連の概略断面図である。 図2Cは、ゲート構造が図1の方法に従って製造された基板を示す一連の概略断面図である。 図2Dは、ゲート構造が図1の方法に従って製造された基板を示す一連の概略断面図である。 図2Eは、ゲート構造が図1の方法に従って製造された基板を示す一連の概略断面図である。 図3は、本発明の一部を実施するために用いることができる種類の例示的統合半導体基板処理システムを示す概略図である。 図4は、本発明の一部を実施するために用いることができる種類の例示的統合半導体基板処理チャンバを示す概略図である。
符号の説明
200…基板、204…自然酸化物層、206…熱酸化物層、207…副層、208…ゲート誘電体層、222…ソース領域、224…ドレイン領域、226…チャネル領域、300…処理システム、302…搬入/搬出モジュール、304…測定ツール、306…FOUP、310…RTPモジュール、312…RTPモジュール、314…ALDモジュール、316…CVDモジュール、318…DPNモジュール、322…真空ロードロックチャンバ、324…ファクトリインタフェース、326…計測モジュール、328…プレナム、330…ロボット、334…基板レセプタクル、400…CVDチャンバ、401…基板、402…処理領域、410…プラズマソース、412…コイル、420…チャンバ本体、422…チャンバ壁、424…ペデスタル、435…ガスインジェクタ、440…ターボポンプ、442…バルブ、443…ガス排出口、450…ステム、462…RFソース、464…RF整合回路。

Claims (8)

  1. 電界効果トランジスタのゲート誘電体の製造方法であって:
    (a)シリコン基板を準備するステップと;
    (b)該シリコン基板上に第一酸化物層を形成し、該第一酸化物層を窒化するステップと;
    (c)該第一酸化物層上に、約10−60オングストロームの厚さで、酸化ハフニウム及びケイ酸ハフニウムの少なくとも一つからゲート誘電体層を形成するステップと;
    (d)該ゲート誘電体層を酸素含有プラズマにさらすことにより該ゲート誘電体層上に第二酸化物層を形成するステップと;
    その後、
    (e)該ゲート誘電体層と、該第一酸化物層と、該第一酸化物層と該シリコン基板の間の接合部とをアニールするステップと;
    を順次含み
    ステップ(b)からステップ(d)まで単一チャンバ内で該シリコン基板を維持する、前記方法。
  2. ステップ(b)が、分離プラズマソースによって生成された酸素、一酸化窒素、又は亜酸化窒素の少なくとも一つを含むプラズマに該第一酸化物層をさらす工程を更に含む、請求項1に記載の方法。
  3. 該第一酸化物層を窒化する工程が、該第一酸化物層を窒素含有プラズマにさらすことを含む、請求項1に記載の方法。
  4. ステップ(c)が、プラズマ増強プロセスにおいて該ゲート誘電体層を形成する工程を更に含む、請求項1に記載の方法。
  5. ステップ(d)における酸素含有プラズマが、低エネルギープラズマソースを用いて形成される、請求項1に記載の方法。
  6. ステップ(e)が、急速熱処理チャンバ又は炉内で該基板を熱的にアニールする工程を更に含む、請求項1に記載の方法。
  7. ステップ(b)の前に該シリコン基板から自然酸化物層を除去するステップを更に含む、請求項1に記載の方法。
  8. ステップ(d)の前に該ゲート誘電体層を窒化するステップを更に含む、請求項1に記載の方法。
JP2009510056A 2006-05-05 2007-05-02 電界効果トランジスタのゲート誘電体の製造方法 Active JP5455622B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/381,960 US7727828B2 (en) 2005-10-20 2006-05-05 Method for fabricating a gate dielectric of a field effect transistor
US11/381,960 2006-05-05
PCT/US2007/068056 WO2007131051A1 (en) 2006-05-05 2007-05-02 Method for fabricating a gate dielectric of a field effect transistor

Publications (3)

Publication Number Publication Date
JP2009536459A JP2009536459A (ja) 2009-10-08
JP2009536459A5 JP2009536459A5 (ja) 2010-05-27
JP5455622B2 true JP5455622B2 (ja) 2014-03-26

Family

ID=38668104

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009510056A Active JP5455622B2 (ja) 2006-05-05 2007-05-02 電界効果トランジスタのゲート誘電体の製造方法

Country Status (7)

Country Link
US (1) US7727828B2 (ja)
EP (1) EP2022091A1 (ja)
JP (1) JP5455622B2 (ja)
KR (1) KR20090007633A (ja)
CN (1) CN101438398A (ja)
TW (1) TWI415193B (ja)
WO (1) WO2007131051A1 (ja)

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7727828B2 (en) * 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7888217B2 (en) * 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
WO2007132884A1 (ja) * 2006-05-17 2007-11-22 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US20090142899A1 (en) * 2007-12-04 2009-06-04 Jensen Jacob M Interfacial layer for hafnium-based high-k/metal gate transistors
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
US8258511B2 (en) * 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US7981808B2 (en) * 2008-09-30 2011-07-19 Freescale Semiconductor, Inc. Method of forming a gate dielectric by in-situ plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8168462B2 (en) * 2009-06-05 2012-05-01 Applied Materials, Inc. Passivation process for solar cell fabrication
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5371831B2 (ja) * 2010-02-25 2013-12-18 三菱電機株式会社 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8394688B2 (en) 2011-06-27 2013-03-12 United Microelectronics Corp. Process for forming repair layer and MOS transistor having repair layer
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5789149B2 (ja) * 2011-07-21 2015-10-07 Jswアフティ株式会社 原子層成長方法及び原子層成長装置
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012201953A1 (de) * 2012-02-09 2013-08-14 Singulus Technologies Ag Verfahren und Vorrichtung zur Passivierung von Solarzellen mit einer Aluminiumoxid-Schicht
WO2013122874A1 (en) 2012-02-13 2013-08-22 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9634083B2 (en) 2012-12-10 2017-04-25 United Microelectronics Corp. Semiconductor structure and process thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9761439B2 (en) * 2014-12-12 2017-09-12 Cree, Inc. PECVD protective layers for semiconductor devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
WO2020073158A1 (en) * 2018-10-08 2020-04-16 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory device having channel structures with native oxide layer
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11322347B2 (en) * 2018-12-14 2022-05-03 Applied Materials, Inc. Conformal oxidation processes for 3D NAND
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202349456A (zh) 2020-11-06 2023-12-16 美商應用材料股份有限公司 增強材料結構的處理
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116918034A (zh) * 2021-03-04 2023-10-20 应用材料公司 用以改善装置效能的处理
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02303131A (ja) * 1989-05-18 1990-12-17 Oki Electric Ind Co Ltd 絶縁膜形成方法
JP2937817B2 (ja) * 1995-08-01 1999-08-23 松下電子工業株式会社 半導体基板表面の酸化膜の形成方法及びmos半導体デバイスの製造方法
JPH11261065A (ja) * 1997-11-20 1999-09-24 Lucent Technol Inc シリコンゲートfetの製造方法
US6319775B1 (en) * 1999-10-25 2001-11-20 Advanced Micro Devices, Inc. Nitridation process for fabricating an ONO floating-gate electrode in a two-bit EEPROM device
JP2001291866A (ja) * 2000-04-11 2001-10-19 Fuji Electric Co Ltd 半導体装置およびその製造方法
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
JP2004095918A (ja) * 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
US6774000B2 (en) * 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6821868B2 (en) * 2002-12-27 2004-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming nitrogen enriched gate dielectric with low effective oxide thickness
US6949433B1 (en) * 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
JP4261276B2 (ja) * 2003-08-15 2009-04-30 パナソニック株式会社 半導体装置の製造方法
US6818517B1 (en) * 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
TWI228789B (en) * 2004-01-20 2005-03-01 Ind Tech Res Inst Method for producing dielectric layer of high-k gate in MOST
JP2005311061A (ja) * 2004-04-21 2005-11-04 Nippon Telegr & Teleph Corp <Ntt> 絶縁層及びその製造方法
US7727828B2 (en) * 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7888217B2 (en) * 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor

Also Published As

Publication number Publication date
WO2007131051A1 (en) 2007-11-15
US7727828B2 (en) 2010-06-01
KR20090007633A (ko) 2009-01-19
JP2009536459A (ja) 2009-10-08
TW200743162A (en) 2007-11-16
US20070093013A1 (en) 2007-04-26
EP2022091A1 (en) 2009-02-11
CN101438398A (zh) 2009-05-20
TWI415193B (zh) 2013-11-11

Similar Documents

Publication Publication Date Title
JP5455622B2 (ja) 電界効果トランジスタのゲート誘電体の製造方法
US7888217B2 (en) Method for fabricating a gate dielectric of a field effect transistor
US7601648B2 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
US7910497B2 (en) Method of forming dielectric layers on a substrate and apparatus therefor
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
JP4658963B2 (ja) 高品質低温窒化シリコン層を形成する方法及び装置
US7662236B2 (en) Method for forming insulation film
US6348420B1 (en) Situ dielectric stacks
US7655574B2 (en) Method of modifying insulating film
KR101024961B1 (ko) 절연막의 형성방법
US20070218687A1 (en) Process for producing materials for electronic device
US7622402B2 (en) Method for forming underlying insulation film
JP2006511087A (ja) 高品位低温窒化シリコン層を形成する方法および装置
JP2006073758A (ja) 半導体装置の製造方法
JP7439101B2 (ja) 膜の有効酸化物厚さを変更するための水素化及び窒化処理

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100412

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100412

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130122

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140107

R150 Certificate of patent or registration of utility model

Ref document number: 5455622

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250