WO2006025164A1 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
WO2006025164A1
WO2006025164A1 PCT/JP2005/013733 JP2005013733W WO2006025164A1 WO 2006025164 A1 WO2006025164 A1 WO 2006025164A1 JP 2005013733 W JP2005013733 W JP 2005013733W WO 2006025164 A1 WO2006025164 A1 WO 2006025164A1
Authority
WO
WIPO (PCT)
Prior art keywords
oxide film
film
semiconductor device
silicon oxide
silicon
Prior art date
Application number
PCT/JP2005/013733
Other languages
English (en)
French (fr)
Inventor
Tadashi Terasaki
Unryu Ogawa
Masanori Nakayama
Original Assignee
Hitachi Kokusai Electric Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc. filed Critical Hitachi Kokusai Electric Inc.
Priority to JP2006531414A priority Critical patent/JPWO2006025164A1/ja
Priority to US10/594,739 priority patent/US20080096395A1/en
Publication of WO2006025164A1 publication Critical patent/WO2006025164A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device including a step of forming an oxide silicon film for a gate insulating film.
  • RCA cleaning refers to SC-1 (NH OH, H 2 O, H 2 O mixed solution) and SC-2 (HC1, H 2 O,
  • a main object of the present invention is to provide a method of manufacturing a semiconductor device capable of forming a high-quality insulating film on the surface of a silicon substrate.
  • the thinned silicon oxide film is heated and oxidized with a gas containing at least oxygen, or the thinned silicon oxide film is plasma-discharged and contains at least oxygen.
  • FIG. 1 is a diagram showing a processing flow of a preferred embodiment of the present invention.
  • FIG. 2 is a diagram for explaining the formation of a thin film oxide film according to a preferred embodiment of the present invention, showing the processing time dependence of oxide film formation after high-temperature annealing.
  • FIG. 3 is a graph showing the relationship between the nitrogen dose in the gate insulating film and the leakage current of the gate insulating film.
  • FIG. 4 is a graph showing a result of improving the on-current characteristics of a MOS transistor by a thin high-quality oxide film in a preferred embodiment of the present invention.
  • FIG. 5 is a schematic longitudinal sectional view of a processing apparatus suitably used in a preferred embodiment of the present invention. Preferred form for carrying out the invention
  • the thinned silicon oxide film is heated and oxidized with a gas containing at least oxygen, or the thinned silicon oxide film is plasma-discharged and contains at least oxygen.
  • a method of manufacturing a semiconductor device is provided.
  • the silicon oxide film formed with a chemical solution has poor film quality, the film quality can be improved by heat treatment, and electrical characteristics can be improved.
  • the silicon oxide film (for example, 11 A) formed by the chemical solution is thinned (for example, becomes 4 A) because the film is densified and sublimated when heat-treated. Then, thermal oxidation or plasma oxidation is performed to increase the thickness of the silicon oxide film to a predetermined thickness (for example, 8 A). It is difficult to control the film thickness of the silicon oxide film by chemical solution cleaning or heat treatment by thinning the film. . This makes it possible to control the film thickness of an extremely thin silicon oxide film. [0011] Further, the roughness of the surface of the oxide film can be further relaxed by thermal oxidation or plasma oxidation of the oxide silicon film after being thinned by heat treatment.
  • the thickness of the concave portion becomes thicker than that of the convex portion as soon as it is more thermally oxidized or plasma oxidized. Is alleviated.
  • the silicon oxide film formed after the etching is processed at a temperature of 800 ° C or higher.
  • the preferable conditions for the thin film by heat treatment are temperature: 800 ° C to 1000 ° C, pressure: 266-26 60Pa, gas flow rate: N: 500-5000sccm, time: 5-60 seconds.
  • the preferable conditions for the thermal oxidation treatment after the heat treatment are as follows: temperature: 700-800 ° C, pressure: 266-266 OPa, gas flow rate: 0: 1-2slm, H: 100-500sccm, N: 0-20slm, Time: 1-30
  • the preferable conditions for the plasma oxidation treatment after the heat treatment are: temperature: 400—900 ° C, pressure: 10—200 Pa, high frequency power: 50—300 W, gas flow rate: 0: 300—1000 sccm, time: 3—24
  • a silicon oxynitride film forming step of forming a silicon oxynitride film by nitriding the silicon oxynitride film formed by the thermal acid plasma or the plasma oxide with a plasma containing nitrogen is further performed.
  • a silicon oxynitride film forming step of forming a silicon oxynitride film by nitriding the silicon oxynitride film formed by the thermal acid plasma or the plasma oxide with a plasma containing nitrogen is further performed.
  • Preferred conditions for plasma nitriding are: temperature: 400—800 ° C, pressure: 10—150 Pa, high frequency power: 150—300 W, gas flow rate: N 300—1000 sccm, time: 60—240 seconds
  • a part or all of the gate insulating film is constituted by the thin film silicon oxide film and the thermal silicon film or the silicon oxide film formed by plasma oxidation.
  • the silicon oxynitride film also constitutes a part of the gate insulating film.
  • the processing atmosphere in the processing chamber in which the silicon oxide film is thinned by heating is in a reduced pressure state.
  • reducing the pressure it is possible to prevent the oxidation process from proceeding. wear.
  • the chemical solution is a hydrogen peroxide solution mixture.
  • the dose of the nitrogen of the silicon oxynitride film is 1E15 (1 X 10 15) [ atom / cm 2] or more.
  • the dose amount is an ion implantation amount per unit area, and is an amount obtained by (the density of the silicon oxynitride film) X (nitrogen concentration) X (film thickness).
  • the density of the silicon oxynitride film here is the total number of atoms of oxygen, nitrogen, and silicon per unit volume.
  • the film thickness refers to the entire silicon oxynitride film, which is the thickness from the surface of the underlying silicon substrate to the surface of the silicon oxynitride film formed on the silicon substrate.
  • the pressure can be adjusted to 226 to 2660 Pa. Therefore, it is better to use a vacuum pump that can evacuate the ultimate pressure to about 1 X 10 _4 Torr.
  • a vacuum pump that can evacuate the ultimate pressure to about 1 X 10 _4 Torr.
  • there is no equipment manufacturing cost such as improving the performance of the vacuum pump to achieve a high vacuum.
  • the roughness of the surface of the silicon oxide silicon film can be relaxed.
  • a gate insulating film including a silicon oxynitride film of a semiconductor device in forming a gate insulating film including a silicon oxynitride film of a semiconductor device, an annealing film having a temperature of 800 ° C. or more is formed in a 7-12 A thin film oxide film in a reduced pressure gas atmosphere. Then, nitriding is performed by a plasma generator so that the nitrogen dose in the silicon oxynitride film after nitriding is 1E15 (1 ⁇ 10 15 ) [atomsZcm 2 ] or more. [0028] Further, after the annealing process, an oxide film is formed again, and then a nitriding process is performed by a plasma generation apparatus.
  • the 7-12A thin film oxide film is a chemical oxide film formed with a peroxy acid-hydrogen water mixed solution.
  • the annealing temperature is 800 ° C or higher, and the atmospheric gases are N, He, Ne, Ar,
  • Kr and Xe are the main component.
  • FIG. 1 shows a processing flow of a preferred embodiment of the present invention.
  • the silicon substrate surface is cleaned with a chemical solution as a pre-process.
  • the foreign material, organic matter, and metal contamination are removed by a cleaning method usually called RCA cleaning, and in the final process, a thin oxide film of about 10 A is formed on the surface and the surface is terminated!
  • impurities are prevented from entering the film.
  • this chemically formed oxide film is inferior in quality to the oxide film formed by high-temperature heat treatment, and in order to improve the characteristics due to the thin film of the gate insulating film, it is about 10A.
  • the existence of a chemical oxide film is no longer negligible.
  • annealing treatment is performed on the chemical oxide film of about 10A to improve the quality by densifying the film.
  • Thin film by sublimation For example, annealing is performed for about 10 seconds in a nitrogen atmosphere at 1330 Pa, 1000 ° C. Under the above annealing conditions, a chemical oxide film of about 10A is thinned to about 4A.
  • the 4 A base oxide film is too thin, so a high-quality oxide film is formed so as to be 8 to 12 A after processing.
  • a treatment is performed in a high-temperature heat treatment apparatus in, for example, 1330 Pa, 850 ° C., oxygen atmosphere diluted with nitrogen for about 10 seconds (thermal oxidation treatment).
  • thermal oxidation treatment There is also a method of forming an oxide film by activating oxygen in a plasma generator and performing a treatment for about 30 seconds at 400 ° C., 100 Pa and RF power of 150 W (plasma oxidation treatment).
  • the oxynitride film is nitrided with a plasma generator to form an oxynitride film.
  • a plasma generator to form an oxynitride film.
  • nitrogen is 1500 sccm, 5 Pa, 400 ° C
  • RF power is 150 W
  • processing is performed for about 15 seconds.
  • the dose of nitrogen in the oxynitride film is 1E15 (1 X 10 15 ) Perform nitriding so that it is at least [atoms / cm 2 ]. Note that the nitrogen dose is preferably 1E16 (1 ⁇ 10 16 ) [atoms / cm 2 ] or less.
  • FIG. 2 shows an example of producing a thin film oxide film according to a preferred embodiment of the present invention.
  • Figure 2 shows the processing time dependence of oxide film formation (plasma oxidation treatment) after high-temperature annealing.
  • plasma oxidation treatment plasma oxidation treatment
  • the thickness of the oxide film is reduced. This is thought to be due to the effect of densification and sublimation of the chemical oxide film.
  • a high-quality thin oxide film can be formed by high-temperature heat treatment or plasma treatment.
  • FIG. 3 shows the nitrogen dose in the gate insulating film and the leakage current of the gate insulating film. Show the relationship.
  • the horizontal axis represents the leakage current density Cig (AZcm 2 )) of the gate insulating film
  • the vertical axis represents the nitrogen dose (atomsZcm 2 ) in the film.
  • This is an example of an oxide film of 12 A, but it can be seen that there is a growing need to improve the nitrogen concentration in order to achieve the above objectives for future demands for thinner films.
  • FIG. 4 shows the results of improving the on-current characteristics of a MOS transistor using a thin high-quality oxide film according to this example.
  • the horizontal axis represents the leakage current density Cig (AZcm 2 )) of the gate insulating film
  • the vertical axis represents the On current (nA).
  • the On current can be improved by forming an oxide film having a small leakage current with a thin film.
  • This plasma processing apparatus is a deformed magnet that can generate high-density plasma by an electric field and a magnetic field.
  • a substrate processing apparatus (hereinafter referred to as an MMT apparatus) that performs plasma processing on a substrate such as a wafer using a netron type plasma source.
  • a substrate is installed in a processing chamber that ensures airtightness, a reaction gas is introduced into the processing chamber via a shower plate, the processing chamber is maintained at a certain pressure, and high-frequency power is applied to the discharge electrode. Supply an electric field to generate a magnetron discharge by applying a magnetic field.
  • the electrons in the vicinity of the discharge electrode continue to circulate around the cycloid while drifting, and are trapped by the magnetic field, so the ionization rate is increased and high-density plasma can be generated.
  • the reactive gas is excited and decomposed by this high-density plasma.
  • Various plasmas are applied to the substrate, such as by subjecting the substrate surface to diffusion treatment such as oxidation or nitridation, or forming a thin film on the substrate surface, or etching the substrate surface by the reaction gas that has been excited and decomposed. Processing can be performed.
  • the substrate in the processing chamber can be heated by light from the light source.
  • This MMT apparatus includes a processing container 203 composed of an upper container 210 and a lower container 211.
  • a processing chamber 201 for processing the wafer 200 is formed inside the lower container 211 and the upper container 210 covered on the lower container 211.
  • the upper container 210 is formed in a dome shape with a dielectric of aluminum nitride, aluminum oxide, or quartz, and the lower container 211 is formed of aluminum.
  • a shower head 236 is provided on the upper part of the upper container 210.
  • the shower head 236 is provided with a gas introduction port (not shown) for introducing a reaction gas.
  • a gas outlet 239 which is an outlet for blowing gas into the processing chamber 201, is provided below the shower head.
  • the shower head 236 is provided with a side wall member 313, a lid 233, a shielding plate 240, a buffer chamber 237, an opening 238, and a gas outlet 239.
  • the nother chamber 237 is provided as a gas dispersion space into which gas is introduced into the upper portion of the processing chamber 201.
  • the noffer chamber 237 includes a wall J material 313, a lid 233, an opening peripheral wall 229, and a shielding plate 240 covering the opening 238. Since the shielding plate 240 is provided in the buffer chamber 237, the gas dispersion space is substantially a space formed between the lid 233 and the shielding plate 240.
  • the lid 233 and the shielding plate 240 are made of quartz.
  • the opening 238 is provided in the ceiling of the processing chamber 201 facing the main surface of the wafer 200 and is a buffer.
  • the chamber 237 and the processing chamber 201 are configured to communicate with each other.
  • the shielding plate 240 covers the opening 238 from the inside of the buffer chamber 237, and is configured to flow the gas introduced into the buffer chamber 237 to the opening peripheral portion 229.
  • the gas outlet 239 is provided in a gap formed between the outer peripheral portion of the lower surface of the shielding plate 240 and the peripheral portion of the opening 238.
  • the gas outlet 239 is disposed inside the buffer chamber 237 which is deeper than the opening surface of the opening 238 so as not to be exposed to the processing chamber 201 exposed to plasma.
  • a plurality of gas outlets 239 are formed at equal intervals along the circumferential direction of the opening 238, and are configured to eject the gas flowing to the opening peripheral portion 229 by the shielding plate 240 into the processing chamber 201 in a shower shape.
  • the reaction gas 230 is supplied from the shower head 236 described above to the processing chamber 201, and the peripheral force of the susceptor 217 is such that the gas after the substrate processing flows toward the bottom of the processing chamber 201.
  • a gas exhaust port 235 which is an exhaust port for exhausting gas is provided in the gas exhaust port 235, and the gas exhaust port 235 is connected to the gas exhaust pipe 231.
  • Plasma generation means 280 that forms a plasma generation region in the processing chamber 201 is composed of discharge means that excites the supplied reaction gas and magnetic field formation means that traps electrons.
  • the discharging means includes a cylindrical electrode 215, a matching unit (not shown), and a high frequency power source (not shown).
  • the magnetic field forming means is composed of a cylindrical magnet 216.
  • the cylindrical electrode 215 has a cylindrical cross section, and is preferably formed of a cylindrical electrode.
  • the cylindrical electrode 215 is installed on the outer periphery of the processing chamber 201 and surrounds the plasma generation region near the cylindrical electrode 215 in the processing chamber 201.
  • the cylindrical electrode 215 is connected to a high frequency power source (not shown) for applying high frequency power via a matching device (not shown) for impedance matching.
  • the cylindrical magnet 216 has a cylindrical cross section, and is formed of a cylindrical permanent magnet.
  • the material of the permanent magnet is, for example, a neodymium-based rare earth coronate magnet.
  • Cylindrical magnets 216 are arranged in two steps near the upper and lower ends in the cylindrical axis direction on the outer surface of cylindrical electrode 215.
  • the upper and lower cylindrical magnets 21 6 and 216 have magnetic poles at both ends (inner and outer peripheral ends) along the radial direction of the processing chamber 201, and the magnetic poles of the upper and lower cylindrical magnets 216 and 216 are reversed. Is set. Therefore, the inner circumference The magnetic poles of the portions are different from each other, so that magnetic lines of force are formed in the cylindrical axis direction along the inner peripheral surface of the cylindrical electrode 215.
  • a susceptor 217 is disposed as a substrate holding means for holding the substrate 200.
  • Susceptor 217 is adapted to heat wafer 200.
  • the susceptor 217 is internally embedded with a heater (not shown) as a heating means.
  • a light source 316 is provided above the shower head 236.
  • the light source 316 is mounted on the side wall member 313 by a light source peripheral member 315 having an opening 317 in the center.
  • a cooling water passage 314 is provided in the side wall member.
  • the structure is such that cooling water is not flowed to the light source peripheral member 315.
  • a material of the light source peripheral member 315 that contacts the light source 316 a material having high thermal conductivity, for example, aluminum is used. Since the portion where the heat is concentrated is around the light source, the temperature rise of the light source peripheral member 315 can be reduced by flowing cooling water to the local portion.
  • the light 301 from the light source is irradiated to the substrate 200 through the opening 317, the quartz lid body 233, the quartz reactive gas shielding plate 240, and the opening 238, so that the substrate 200 can be heat-treated. it can.
  • a heat treatment (annealing) step, a thermal oxidation step or a plasma oxidation step, and a plasma nitriding step can be continuously performed.
  • a lamp heating apparatus using only a lamp performs a heat treatment (annealing) process and a thermal oxidation process, and a lamp is provided, and a plasma oxidation process is performed using an MMT apparatus including only a discharge electrode.
  • a plasma nitriding process may be performed.
  • a method for manufacturing a semiconductor device capable of forming a high-quality insulating film on the surface of a silicon substrate is provided, and the characteristics of the semiconductor device can be improved.
  • the present invention can be particularly suitably used for a method of manufacturing a semiconductor device using a semiconductor silicon wafer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 半導体装置の製造方法は、シリコン基板表面を薬液によりエッチングした後に形成される酸化珪素膜を加熱して薄膜化させる薄膜化工程と、薄膜化した酸化珪素膜を加熱して、少なくとも酸素を含有するガスにより酸化する熱酸化工程、または薄膜化した酸化珪素膜を、プラズマ放電された少なくとも酸素を含有するガスにより酸化する工程とを有する。

Description

半導体装置の製造方法
技術分野
[0001] 本発明は半導体装置の製造方法に関し、特に、ゲート絶縁膜用酸ィ匕珪素膜を形 成する工程を備える半導体装置の製造方法に関する。
背景技術
[0002] 従来、ゲート絶縁膜用酸化珪素膜を形成する場合、まずは前洗浄として RCA洗浄 を行い、その後に酸ィ匕珪素膜を形成していた。
[0003] RCA洗浄とは、 SC— l (NH OH、 H O、 H O混合液)や SC— 2 (HC1、 H O、
4 2 2 2 2 2
H O混合液)や希フッ酸や SPM (H SO、 H O )洗浄シーケンスを組み合わせ、異
2 2 4 2 2
物や有機物や金属汚染を除去する洗浄法であるが、 H O
2 2によって酸ィ匕珪素膜が形 成されるために処理終了後には 10A程度の化学的酸ィ匕膜が形成されている。ゲー ト絶縁膜の薄膜ィ匕に伴い、トランジスタ特性を向上するためにはこの 10A程度の化 学的酸ィ匕膜の存在が無視できなくなっているが、この化学的酸ィ匕珪素膜の膜質は高 温の熱処理によって形成された酸化膜に比べて膜質が悪ぐその結果、その後に酸 化珪素膜を形成したゲート絶縁膜も品質が優れず、トランジスタの特性向上を阻害し ている。
[0004] 従って、本発明の主な目的は、高品質な絶縁膜をシリコン基板表面に形成可能な 半導体装置の製造方法を提供することにある。
発明の開示
[0005] 本発明の一態様によれば、
シリコン基板表面を薬液によりエッチングした後に形成される酸ィ匕珪素膜を加熱し て薄膜化させる酸ィ匕珪素膜の薄膜ィ匕工程と、
前記薄膜化した酸化珪素膜を加熱して、少なくとも酸素を含有するガスにより酸ィ匕 する熱酸化工程、または前記薄膜ィ匕した酸ィ匕珪素膜を、プラズマ放電された少なくと も酸素を含有するガスにより酸ィ匕するプラズマ酸ィ匕工程と、
を有することを特徴とする半導体装置の製造方法が提供される。 図面の簡単な説明
[0006] [図 1]本発明の好ましい実施例の処理フローを示す図である。
[図 2]本発明の好ましい実施例による薄膜酸ィ匕膜の生成を説明するための図であり、 高温ァニール後の酸化膜形成の処理時間依存性を示している。
[図 3]ゲート絶縁膜中の窒素ドーズ量とゲート絶縁膜のリーク電流の関係を示す図で ある。
[図 4]本発明の好ましい実施例における薄膜高品質酸ィ匕膜による MOSトランジスタ の On電流特性向上結果を示す図である。
[図 5]本発明の好ましい実施例で好適に使用される処理装置の概略縦断面図である 発明を実施するための好ましい形態
[0007] 本発明の好ましい形態によれば、
シリコン基板表面を薬液によりエッチングした後に形成される酸ィ匕珪素膜を加熱し て薄膜化させる酸ィ匕珪素膜の薄膜ィ匕工程と、
前記薄膜化した酸化珪素膜を加熱して、少なくとも酸素を含有するガスにより酸ィ匕 する熱酸化工程、または前記薄膜ィ匕した酸ィ匕珪素膜を、プラズマ放電された少なくと も酸素を含有するガスにより酸ィ匕するプラズマ酸ィ匕工程と、
を有することを特徴とする半導体装置の製造方法が提供される。
[0008] 薬液により形成された酸化珪素膜は膜質が悪いが、熱処理により膜質改善が図ら れ、電気特性を向上させることができる。
[0009] また、酸化珪素膜を熱酸化、またはプラズマ酸ィ匕することにより、ラフネス (表面粗さ )が緩和され、表面の平坦度が向上する。
[ooio] すなわち、薬液により形成された酸ィ匕珪素膜 (例えば 11 A)は、熱処理すれば膜の 緻密化や昇華が起きるので、薄膜ィ匕する(例えば 4Aになる)。そして、その後、熱酸 化またはプラズマ酸ィ匕して酸ィ匕珪素膜の膜厚を厚くして所定の膜厚 (たとえば、 8 A) にする。薬液洗浄による酸化珪素膜形成処理や熱処理による酸化珪素膜の薄膜ィ匕 での膜厚制御が難しぐ一旦所定膜厚よりも薄膜ィ匕してから、酸化膜を足すことにより 膜厚を制御する。これにより、極めて薄い酸ィ匕珪素膜の膜厚制御が可能となる。 [0011] また、熱処理により薄膜ィ匕した後の酸ィ匕珪素膜を熱酸ィ匕またはプラズマ酸ィ匕するこ とによって、より酸ィ匕膜表面のラフネスを緩和することができる。すなわち、酸化膜の 凹部の方が凸部より下地の基板までの距離が近いので、より熱酸化、またはプラズマ 酸化されやすぐ凸部に比べて凹部の膜厚が厚くなりやすぐその結果、ラフネスが 緩和される。
[0012] 65nm以降のデザインルールではゲート絶縁膜をより薄膜ィ匕する必要があり、膜質 を改善し、ラフネスを緩和できるようにした本発明がより一層有効になってくる。
[0013] 好ましくは、前記薄膜化工程では、前記エッチングした後に形成される酸ィ匕珪素膜 を温度 800°C以上で処理する。
[0014] 熱処理による薄膜ィ匕の好ましい条件は、温度: 800°C〜1000°C、圧力: 266— 26 60Pa、ガス流量: N : 500— 5000sccm、時間: 5— 60秒である。
2
[0015] 熱処理後の熱酸化処理の好ましい条件は、温度: 700— 800°C、圧力: 266— 266 OPa、ガス流量: 0 : 1— 2slm、H: 100— 500sccm、 N : 0— 20slm、時間: 1— 30
2 2 2
分である。
[0016] 熱処理後のプラズマ酸化処理の好ましい条件は、温度: 400— 900°C、圧力: 10— 200Pa、高周波電力: 50— 300W、ガス流量: 0: 300— 1000sccm、時間: 3— 24
2
0秒である。
[0017] 好ましくは、前記熱酸ィ匕またはプラズマ酸ィ匕により形成された酸ィ匕珪素膜を窒素を 含むプラズマにより窒化して酸窒化珪素膜を形成する酸窒化珪素膜形成工程をさら に有する。
[0018] プラズマ窒化処理の好ましい条件は、温度: 400— 800°C、圧力: 10— 150Pa、高 周波電力: 150— 300W、ガス流量: N 300— 1000sccm、時間: 60— 240秒であ
2
る。
[0019] 好ましくは、前記薄膜ィ匕した酸ィ匕珪素膜および前記熱酸ィ匕またはプラズマ酸化に より形成された酸ィ匕珪素膜によりゲート絶縁膜の一部または全部を構成する。
[0020] 好ましくは、前記酸窒化珪素膜も前記ゲート絶縁膜の一部を構成する。
[0021] また、好ましくは、前記酸化珪素膜を加熱して薄膜化させる処理室内の処理雰囲 気が減圧状態である。減圧状態にすることにより、酸ィ匕が進まないようにすることがで きる。
[0022] また、好ましくは、前記酸ィ匕珪素膜を加熱して薄膜化させる工程では、 N、 He、 Ne
2
、 Ar、 Kr、 Xeのうち少なくとも一つを供給する。
[0023] また、好ましくは、前記薬液が過酸化水素水混合液である。
[0024] また、好ましくは、前記酸窒化珪素膜の窒素のドーズ量が 1E15 (1 X 1015) [atom /cm2]以上である。
ここで、ドーズ量とは、単位面積当たりのイオン注入量のことであり、(前記酸窒化珪 素膜の密度) X (窒素濃度) X (膜厚)で求まる量のことである。
そして、ここでの酸窒化珪素膜の密度とは、単位体積当たりの酸素、窒素、および 珪素の全原子数のことである。
また、窒素濃度とは、
{ (単位体積当たりの窒素原子数) Z (単位体積当たりの酸素、窒素、および珪素の 全原子数) }で求まる値である。
また、膜厚とは、酸窒化珪素膜全体のことであり、下地シリコン基板表面から、前記 シリコン基板上に形成される前記酸窒化珪素膜表面までの厚さのことである。
[0025] なお、薬液により形成された酸ィ匕珪素膜を、熱処理して除去してしまうことも考えら れるが、そのためには、 l X 10_9Torrという程度にまで高真空引きしなければならな い。また、自然酸ィ匕膜を除去した後の基板表面のラフネスが増カロしてしまうという問題 がある。
[0026] これに対して、本発明の方法によれば、圧力が 226〜2660Paに調整できれば良 いので、到達圧力が 1 X 10_4Torr程度まで真空引きできる真空ポンプを用いればよ ぐこれよりも高真空するために真空ポンプの性能を向上させる等、装置の製作コスト がかからない。また、より酸ィ匕珪素膜表面のラフネスを緩和することができる。
[0027] 本発明の好ましい実施の形態においては、半導体デバイスの酸窒化珪素膜を含む ゲート絶縁膜形成において、 7〜12Aの薄膜の酸ィ匕膜に減圧ガス雰囲気にて 800 °C以上のァニール処理を行い、その後にプラズマ生成装置によって窒化処理後の 酸窒化珪素膜中の窒素ドーズ量が 1E15 (1 X 1015) [atomsZcm2]以上となるよう に窒化処理を行う。 [0028] また、上記ァニール処理後に再び酸化膜を形成し、その後にプラズマ生成装置に よって窒化処理を行う。
[0029] また、上記 7〜12Aの薄膜の酸ィ匕膜が過酸ィ匕水素水混合液にて形成された化学 的酸化膜である。
[0030] また、ァニール処理の温度が 800°C以上であり、雰囲気ガスは、 N、 He、 Ne、 Ar、
2
Kr、 Xeのいずれ力、又はいずれか複数の組合せが主成分である。
[0031] 次に、図面を参照して本発明の好ましい実施例について説明する。
[0032] 図 1に本発明の好ま ヽ実施例の処理のフローを示す。ゲート絶縁膜を形成する際 はその前工程として薬液によるシリコン基板表面の清浄ィ匕が行われる。通常 RCA洗 浄と呼ばれる洗浄法によって異物、有機物、金属汚染を除去し、その最終工程にお V、て表面に 10 A程度の薄 、酸化膜を形成して表面の終端処理を行!、表面や膜中 に不純物が混入することを抑制している。しかしこの化学的に形成された酸化膜は高 温熱処理によって形成された酸化膜に対して膜質が劣っており、ゲート絶縁膜の薄 膜ィ匕に伴い、特性を向上するためにはこの 10A程度の化学的酸ィ匕膜の存在が無視 できなくなつている。
[0033] そこで、本実施例では高品質で薄い酸ィ匕膜を形成するために、この 10A程度の化 学的酸ィ匕膜に対してァニール処理を行い、膜の緻密化による高品質化、昇華による 薄膜ィ匕を図る。ァニールとしては、例えば 1330Pa、 1000°C、窒素雰囲気にて 10秒 程度の処理を行う。上記ァニール条件では 10A程度の化学的酸ィ匕膜は 4A程度に まで薄膜ィ匕する。
[0034] 現在のゲート酸窒化珪素膜としては 4 Aの下地酸ィ匕膜は薄すぎるので処理後に 8 〜 12 Aとなるように高品質な酸化膜を形成する。
[0035] この酸ィ匕膜を形成するには、高温熱処理装置にて例えば 1330Pa、 850°C、窒素 希釈された酸素雰囲気にて 10秒程度の処理を行う (熱酸化処理)。また、プラズマ生 成装置にて例えば酸素を活性化し、 400°C、 100Pa、 RFパワー 150Wで、 30秒程 度の処理を行 ヽ酸化膜を形成する方法もある (プラズマ酸ィ匕処理)。
[0036] 次に、この酸ィ匕膜に対してプラズマ生成装置にて窒化処理を行い、酸窒化膜を形 成する。 [0037] 窒化処理条件は、例えば窒素 1500sccm、 5Pa、 400°C、 RFパワー 150Wで、 15 秒程度の処理を行う。
[0038] このとき、 PMOSトランジスタにおける B (ボロン)拡散による Vth (閾値電圧)のシフ トを抑制しかつリーク電流を低減するために、酸窒化膜中の窒素のドーズ量が 1E15 (1 X 1015) [atoms/cm2]以上となるように窒化処理を行う。なお、窒素のドーズ量 は、 1E16 (1 X 1016) [atoms/cm2]以下であることが好ましい。
[0039] 図 2に本発明の好ましい実施例による薄膜酸ィ匕膜の生成例を示す。図 2は高温ァ ニール後の酸化膜形成 (プラズマ酸ィ匕処理)の処理時間依存性を示したものである。 高温ァニールを行うことによって酸ィ匕膜の膜厚が薄くなる。これはケミカル酸ィ匕膜が 緻密化した効果や昇華したためと考えられるが、この後に高温熱処理やプラズマ処 理によって高品質な薄膜の酸ィ匕膜を形成することができる。
[0040] 酸化膜に窒素を入れる目的として PMOSトランジスタの閾値電圧シフトの抑制とリ ーク電流の抑制がある力 図 3にゲート絶縁膜中の窒素ドーズ量とゲート絶縁膜のリ ーク電流の関係を示す。図 3は、横軸がゲート絶縁膜のリーク電流密度 Cig (AZcm2 ) )であり、縦軸が膜中の窒素のドーズ量 (atomsZcm2)である。これは酸化膜が 12 Aの例だが、今後の薄膜化の要求に対しては上記目的を達成するためには、ますま す窒素濃度を向上する必要性が高まることがわかる。
[0041] 本実施例の酸窒化膜を MOSトランジスタに適用し特性を比較評価した例として、 図 4に本実施例による薄膜高品質酸ィ匕膜による MOSトランジスタの On電流特性向 上結果を示す。図 4は、横軸がゲート絶縁膜のリーク電流密度 Cig (AZcm2) )であり 、縦軸が、 On電流 (nA)である。本実施例で薄膜でリーク電流の少ない酸ィ匕膜が形 成されることによって On電流を向上することができることがわ力る。
[0042] 以上説明したように、本発明の好ましい実施例のゲート酸窒化珪素膜を形成するこ とによって、リーク電流の少ない薄膜の酸窒化膜を形成でき MOSトランジスタの特性 を向上することができる。
[0043] 次に、本発明の好ましい実施例で好適に使用されるプラズマ処理装置について図 5を参照して説明する。
[0044] このプラズマ処理装置は、電界と磁界により高密度プラズマを生成できる変形マグ ネトロン型プラズマ源を用いて、ウェハ等の基板をプラズマ処理する基板処理装置( 以下、 MMT装置と称する)である。この MMT装置では、気密性を確保した処理室 に基板を設置し、シャワープレートを介して反応ガスを処理室に導入し、処理室をあ る一定の圧力に保ち、放電用電極に高周波電力を供給して電界を形成するとともに 磁界をかけてマグネトロン放電を起こす。放電用電極近傍の電子がドリフトしながらサ イクロイド運動を続けて周回し、磁界に捕捉されるため電離生成率が高くなり高密度 プラズマ生成が可能となる。この高密度プラズマによって反応ガスを励起分解させる 。励起分解させた反応ガスにより、基板表面を酸ィ匕または窒化等の拡散処理をしたり 、または基板表面に薄膜を形成したり、または基板表面をエッチングしたりする等、基 板へ各種のプラズマ処理を施すことができる。
また、光源からの光により処理室内の基板を加熱できるようになつている。
[0045] この MMT装置は、上側容器 210と下側容器 211とから構成された処理容器 203を 備える。下側容器 211と、下側容器 211の上に被せられる上側容器 210とから内部 にウェハ 200を処理する処理室 201が形成されている。上側容器 210は窒化アルミ -ゥムや酸化アルミニウム又は石英の誘電体でドーム型をして形成されており、下側 容器 211はアルミニウムで形成されている。
[0046] 上側容器 210の上部には、シャワーヘッド 236が設けられる。シャワーヘッド 236に は反応ガス導入用のガス導入口(図示せず)が連通して設けられている。シャワーへ ッドの下部には処理室 201内へガスを吹出す噴出孔であるガス吹出口 239が設けら れる。
[0047] シャワーヘッド 236は、側壁部材 313と、蓋体 233と、遮蔽プレート 240と、バッファ 室 237と、開口 238と、ガス吹出口 239とを備免て ヽる。
[0048] ノ ッファ室 237は、処理室 201の上部にガスが導入されるガス分散空間として設け られる。ノ ッファ室 237は、佃 J壁咅材 313と、蓋体 233と、開口周辺咅 229と、開口 23 8を覆う遮蔽プレート 240とから構成される。バッファ室 237内には、遮蔽プレート 240 が設けられるので、実質的にガス分散空間は、蓋体 233と遮蔽プレート 240との間に 形成される空間となる。蓋体 233と遮蔽プレート 240は石英で構成されている。
[0049] 開口 238は、ウェハ 200の主面と対向する処理室 201の天井に設けられ、バッファ 室 237と処理室 201とを連通するように構成される。
[0050] 遮蔽プレート 240は、開口 238をバッファ室 237内側から覆って、バッファ室 237内 に導入されるガスを開口周辺部 229に流すように構成される。
[0051] ガス吹出口 239は、遮蔽プレート 240の下面外周部と開口 238の周辺部との間に 形成された隙間に設けられる。ガス吹出口 239は、プラズマにさらされる処理室 201 に露出しないように、開口 238の開口面よりも奥まったバッファ室 237の内側に配置 される。ガス吹出口 239は、開口 238の周方向に沿って複数個等間隔に形成され、 遮蔽プレート 240によって開口周辺部 229に流れるガスを処理室 201内にシャワー 状に噴出するように構成される。
[0052] 上述したシャワーヘッド 236から反応ガス 230が処理室 201に供給され、またサセ プタ 217の周囲力も処理室 201の底方向へ基板処理後のガスが流れるように下側容 器 211の側壁にガスを排気する排気口であるガス排気口 235が設けられ、ガス排気 口 235はガス排気管 231に接続されている。
[0053] 処理室 201内にプラズマ生成領域を形成するプラズマ生成手段 280は、供給され る反応ガスを励起させる放電手段と、電子をトラップする磁界形成手段とから構成さ れる。
放電手段は、筒状電極 215、整合器 (図示せず)、高周波電源 (図示せず)から構 成される。磁界形成手段は、筒状磁石 216から構成される。
[0054] 筒状電極 215は、断面が筒状であり、好適には円筒状の電極で構成される。筒状 電極 215は処理室 201の外周に設置されて処理室 201内の筒状電極 215近傍のプ ラズマ生成領域を囲んでいる。筒状電極 215にはインピーダンスの整合を行う整合 器 (図示せず)を介して高周波電力を印加する高周波電源(図示せず)が接続されて いる。
[0055] また、筒状磁石 216は、断面が筒状であり、筒状の永久磁石で構成される。永久磁 石の材質は、例えばネオジム系希土類コノ レト磁石である。筒状磁石 216は、筒状 電極 215の外表面の筒軸方向の上下端近傍 2段に配置される。上下の筒状磁石 21 6, 216は、処理室 201の半径方向に沿った両端(内周端と外周端)に磁極を持ち、 上下の筒状磁石 216, 216の磁極の向きが逆向きに設定されている。従って、内周 部の磁極同士が異極となっており、これにより、筒状電極 215の内周面に沿って筒軸 方向に磁力線を形成するようになって 、る。
[0056] 処理室 201の底側中央には、基板 200を保持するための基板保持手段としてサセ プタ 217が配置されている。サセプタ 217はウェハ 200を加熱できるようになつている 。サセプタ 217は、内部に加熱手段としてのヒータ(図中省略)がー体的に埋め込ま れている。
[0057] シャワーヘッド 236の上部には光源 316が設けられている。光源 316は、中央に開 口 317を有する光源周辺部材 315により、側壁部材 313上に取り付けられている。側 壁部材には冷却水通路 314が設けられている。冷却水を流して熱を光源周辺部材 3 15にカ卩えない構造となっている。光源 316と接触する光源周辺部材 315の材質とし ては、熱伝導率の高い材質例えば、アルミニウムを用いる。熱'光が集中する部分は 光源の周囲であるため、その局所的な部分に冷却水を流すことで、光源周辺部材 3 15の温度上昇を低減させることができる。
[0058] 光源からの光 301は、開口 317、石英製の蓋体 233、石英製の反応ガス遮蔽プレ ート 240、開口 238を介して基板 200に照射され、基板 200を加熱処理することがで きる。
[0059] この装置で、加熱処理 (ァニール)工程、熱酸ィ匕工程またはプラズマ酸ィ匕工程、プ ラズマ窒化工程を連続処理することができる。
[0060] また、ランプだけを用いたランプ加熱装置で、加熱処理 (ァニール)工程、熱酸化工 程を行 、、ランプが設けられて 、な 、放電用電極だけの MMT装置でプラズマ酸化 工程、プラズマ窒化工程を行うようにしてもよい。
[0061] 明細書、特許請求の範囲、図面および要約書を含む 2004年 8月 31日提出の日本 国特許出願 2004— 252138および 2005年 4月 5日提出の日本国特許出願 2005
- 108645号の開示内容全体は、そのまま引用してここに組み込まれる。
[0062] 種々の典型的な実施の形態を示しかつ説明してきたが、本発明はそれらの実施の 形態に限定されない。従って、本発明の範囲は、次の請求の範囲によってのみ限定 されるちのである。
産業上の利用可能性 以上説明したように、本発明の一形態によれば、高品質な絶縁膜をシリコン基板表 面に形成可能な半導体装置の製造方法が提供され、半導体装置の特性向上を図る ことができる。
その結果、本発明は、半導体シリコンウェハを用いて半導体装置を製造する方法 に特に好適に利用できる。

Claims

請求の範囲
[1] シリコン基板表面を薬液によりエッチングした後に形成される酸ィ匕珪素膜を加熱し て薄膜化させる酸ィ匕珪素膜の薄膜ィ匕工程と、
前記薄膜化した酸化珪素膜を加熱して、少なくとも酸素を含有するガスにより酸ィ匕 する熱酸化工程、または前記薄膜ィ匕した酸ィ匕珪素膜を、プラズマ放電された少なくと も酸素を含有するガスにより酸ィ匕するプラズマ酸ィ匕工程と、
を有することを特徴とする半導体装置の製造方法。
[2] 前記薄膜化工程では、前記エッチングした後に形成される酸化珪素膜を温度 800
°C以上で処理することを特徴とする請求項 1の半導体装置の製造方法。
[3] 前記薄膜化工程では、前記エッチングした後に形成される酸化珪素膜を温度 800
°C〜1000°Cで処理することを特徴とする請求項 2の半導体装置の製造方法。
[4] 前記薄膜化工程では、前記エッチングした後に形成される酸化珪素膜を減圧下で 処理することを特徴とする請求項 1乃至 3の半導体装置の製造方法。
[5] 前記減圧下での圧力が 266Pa〜2660Paであることを特徴とする請求項 4の半導 体装置の製造方法。
[6] 前記薄膜化工程では、前記エッチングした後に形成される酸化珪素膜を窒素で処 理することを特徴とする請求項 4の半導体装置の製造方法。
[7] 前記薄膜化工程では、前記エッチングした後に形成される酸ィ匕珪素膜を 5秒〜 60 秒処理することを特徴とする請求項 6の半導体装置の製造方法。
[8] 前記酸ィ匕珪素膜をプラズマ放電された少なくとも窒素を含有するガスにより窒化し て酸窒化珪素膜を形成するプラズマ窒化工程をさらに有し、該プラズマ窒化工程に より前記酸窒化珪素膜の窒素のドーズ量を 1 X 1015 [atomsZcm2]以上にすること を特徴とする請求項 1乃至 3の半導体装置の製造方法。
PCT/JP2005/013733 2004-08-31 2005-07-27 半導体装置の製造方法 WO2006025164A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006531414A JPWO2006025164A1 (ja) 2004-08-31 2005-07-27 半導体装置の製造方法
US10/594,739 US20080096395A1 (en) 2004-08-31 2005-07-27 Producing Method of Semiconductor Device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2004-252138 2004-08-31
JP2004252138 2004-08-31
JP2005-108645 2005-04-05
JP2005108645 2005-04-05

Publications (1)

Publication Number Publication Date
WO2006025164A1 true WO2006025164A1 (ja) 2006-03-09

Family

ID=35999827

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/013733 WO2006025164A1 (ja) 2004-08-31 2005-07-27 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US20080096395A1 (ja)
JP (1) JPWO2006025164A1 (ja)
KR (1) KR100829335B1 (ja)
TW (1) TW200614340A (ja)
WO (1) WO2006025164A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080206968A1 (en) * 2006-12-27 2008-08-28 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device
KR20100006009A (ko) * 2008-07-08 2010-01-18 주성엔지니어링(주) 반도체 제조 장치
US8883624B1 (en) 2013-09-27 2014-11-11 Cypress Semiconductor Corporation Integration of a memory transistor into high-K, metal gate CMOS process flow
KR102578827B1 (ko) * 2018-04-24 2023-09-15 삼성전자주식회사 유연한 유무기 보호막 및 그 제조방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164861A (ja) * 1998-11-24 2000-06-16 United Microelectron Corp ゲート酸化膜の形成方法
WO2003088345A1 (fr) * 2002-03-29 2003-10-23 Tokyo Electron Limited Materiau pour dispositif electronique et procede de fabrication correspondant

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3952542B2 (ja) * 1997-06-20 2007-08-01 ソニー株式会社 シリコン酸化膜の形成方法
US6046088A (en) * 1997-12-05 2000-04-04 Advanced Micro Devices, Inc. Method for self-aligning polysilicon gates with field isolation and the resultant structure
JPH11307526A (ja) * 1998-04-24 1999-11-05 Nec Corp 酸化膜の作製方法
KR100327329B1 (ko) * 1998-12-11 2002-07-04 윤종용 저압하의실리콘산화막및산질화막형성방법
JP2001274154A (ja) * 2000-01-18 2001-10-05 Applied Materials Inc 成膜方法、成膜装置、半導体装置及びその製造方法
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US6362085B1 (en) * 2000-07-19 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for reducing gate oxide effective thickness and leakage current
JP4806127B2 (ja) * 2001-02-01 2011-11-02 東京エレクトロン株式会社 薄膜形成方法
SG152910A1 (en) * 2001-12-07 2009-06-29 Tokyo Electron Ltd Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
JP3484177B2 (ja) * 2002-04-26 2004-01-06 沖電気工業株式会社 半導体装置とその製造方法
US6649538B1 (en) * 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
JP2005026538A (ja) * 2003-07-04 2005-01-27 Renesas Technology Corp 半導体集積回路装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164861A (ja) * 1998-11-24 2000-06-16 United Microelectron Corp ゲート酸化膜の形成方法
WO2003088345A1 (fr) * 2002-03-29 2003-10-23 Tokyo Electron Limited Materiau pour dispositif electronique et procede de fabrication correspondant

Also Published As

Publication number Publication date
US20080096395A1 (en) 2008-04-24
TW200614340A (en) 2006-05-01
KR20070004717A (ko) 2007-01-09
KR100829335B1 (ko) 2008-05-13
JPWO2006025164A1 (ja) 2008-05-08

Similar Documents

Publication Publication Date Title
US9012336B2 (en) Method for conformal treatment of dielectric films using inductively coupled plasma
JP5283147B2 (ja) 半導体装置および半導体装置の製造方法
US7446052B2 (en) Method for forming insulation film
US7727828B2 (en) Method for fabricating a gate dielectric of a field effect transistor
US8021987B2 (en) Method of modifying insulating film
JP4926219B2 (ja) 電子デバイス材料の製造方法
KR100645306B1 (ko) 기판 처리 방법
JP4850871B2 (ja) 絶縁膜の形成方法
TWI450338B (zh) 場效電晶體之閘極介電質的製造方法
US20070218687A1 (en) Process for producing materials for electronic device
TW201342475A (zh) 以氨與三氟化氮蝕刻氧化物
JP4694108B2 (ja) 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
JP2009177161A (ja) 絶縁膜の形成方法
WO2010038900A1 (ja) 酸化珪素膜、酸化珪素膜の形成方法、および、プラズマcvd装置
WO2003056622A1 (fr) Procede de traitement d'un substrat et methode de production d'un dispositif a semi-conducteurs
KR100829335B1 (ko) 반도체 장치의 제조 방법
WO2010038887A1 (ja) 二酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
WO2007053553A2 (en) Method and system for forming a nitrided germanium-containing layer using plasma processing
JP2022534801A (ja) 高エネルギー低線量プラズマを用いた窒化ケイ素ベースの誘電体膜の後処理の方法
JP2005252031A (ja) プラズマ窒化方法
JP2003188172A (ja) 基板処理方法
JPH05343391A (ja) 半導体装置の製造方法
JPH07235530A (ja) 絶縁膜の形成方法
WO2010038888A1 (ja) 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
CN117912940A (zh) 一种碳化硅器件高温氧化工艺方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2006531414

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020067018779

Country of ref document: KR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 1020067018779

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 10594739

Country of ref document: US

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10594739

Country of ref document: US