KR100641762B1 - 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법 - Google Patents

절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법 Download PDF

Info

Publication number
KR100641762B1
KR100641762B1 KR1020047000890A KR20047000890A KR100641762B1 KR 100641762 B1 KR100641762 B1 KR 100641762B1 KR 1020047000890 A KR1020047000890 A KR 1020047000890A KR 20047000890 A KR20047000890 A KR 20047000890A KR 100641762 B1 KR100641762 B1 KR 100641762B1
Authority
KR
South Korea
Prior art keywords
film
substrate
processing
oxide film
insulating film
Prior art date
Application number
KR1020047000890A
Other languages
English (en)
Other versions
KR20040017338A (ko
Inventor
이게타마사노부
아오야마신타로
신리키히로시
다카하시츠요시
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040017338A publication Critical patent/KR20040017338A/ko
Application granted granted Critical
Publication of KR100641762B1 publication Critical patent/KR100641762B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

고주파 플라즈마에 의해 질소 라디칼을 형성하고, 산소를 포함하는 절연막 표면에 상기 질소 라디칼을 공급함으로써 상기 절연막 표면을 질화시킨다. 상기 산소를 포함하는 절연막은 0.4nm 이하의 막 두께를 갖고, 상기 표면이 질화된 질화막 상에 고유전체막이 형성된다. 또한, 상기 질소 라디칼은 상기 절연막의 표면을 따라 흐르도록 형성된 기체의 흐름에 의해 제공된다.

Description

절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조 방법, 기판 처리 장치 및 기판 처리 방법{NITRIDING METHOD FOR INSULATION FILM, SEMICONDUCTOR DEVICE AND PRODUCTION METHOD FOR SEMICONDUCTOR DEVICE, SUBSTRATE TREATING DEVICE AND SUBSTRATE TREATING METHOD}
본 발명은 반도체 장치에 관한 것으로, 특히 고유전체막을 갖는 초미세화 고속 반도체 장치의 제조 방법에 관한 것이다.
오늘날의 초고속 반도체 장치에서는 미세화 프로세스의 진보와 함께 0.1㎛ 이하의 게이트장이 가능해지고 있다. 일반적으로 미세화와 함께 반도체 장치의 동작 속도는 향상되지만, 이와 같이 매우 미세화된 반도체 장치에서는 게이트 절연막의 막 두께를, 미세화에 의한 게이트장의 단축에 수반하여, 스케일링측을 따라 감소시킬 필요가 있다.
그러나 게이트장이 0.1㎛ 이하가 되면 게이트 절연막의 두께도 종래의 열산화막을 사용할 경우 1 내지 2nm 또는 그 이하로 설정할 필요가 있지만, 이와 같이 매우 얇은 게이트 절연막에서는 터널 전류가 증대하고, 그 결과 게이트 누설 전류 가 증대하는 문제를 회피할 수 없다.
이러한 사정으로 종래부터, 비유전율이 열산화막의 것보다 훨씬 크고, 이 때문에 실제의 막 두께가 크더라도 SiO2막으로 환산한 경우의 막 두께가 작은 Ta2O5 나 Al2O3, ZrO2, HfO2 및 ZrSiO4 또는 HfSiO4 와 같은 고유전체 재료(이른바 high-K 재료)를 게이트 절연막에 대해 적용하는 것이 제안되었다. 이러한 고유전체 재료를 사용함으로써 게이트장이 0.1㎛ 이하로 매우 짧은 초고속 반도체 장치에서도 10nm 정도의 물리적 막 두께의 게이트 절연막을 사용할 수 있어 터널 효과에 의한 게이트 누설 전류를 억제할 수 있다.
예컨대 종래부터 Ta2O5막은 Ta(OC2H5)5 및 O2 를 기상 원료로 한 CVD법에 의해 형성할 수 있다는 것이 알려져 있다. 전형적인 경우, CVD 프로세스는 감압 환경 하에 약 480℃ 또는 그 이상의 온도에서 실행된다. 이렇게 하여 형성된 Ta2O5막은 추가로 산소 분위기 중에서 열처리되고, 그 결과 막 중의 산소 결손이 해소되며, 또한 막 자체가 결정화된다. 이렇게 하여 결정화된 Ta2O5막은 큰 비유전율을 나타낸다.
채널 영역 중의 캐리어 이동도를 향상시키는 관점에서는 고유전체 게이트 산화막과 실리콘 기판 사이에, 1nm 이하, 바람직하게는 0.8nm 이하의 두께가 극히 얇은 베이스 산화막을 개재시키는 것이 바람직하다. 베이스 산화막은 매우 얇을 필요가 있고, 두께가 두꺼우면 고유전체막을 게이트 절연막에 사용한 효과가 상쇄된 다. 한편, 이러한 매우 얇은 베이스 산화막은 실리콘 기판 표면을 균일하게 덮을 필요가 있고, 또한 계면 준위 등의 결함을 형성하지 않을 것이 요구된다.
종래부터, 얇은 게이트 산화막은 실리콘 기판의 급속 열산화(RTO) 처리에 의해 형성되는 것이 일반적이지만, 열산화막을 목적하는 1nm 이하의 두께로 형성하고자 하면 막 형성시의 처리 온도를 저하시킬 필요가 있다. 그러나, 이와 같이 저온에서 형성된 열산화막은 계면 준위 등의 결함을 갖기 쉬워 고유전체 게이트 산화막의 베이스 산화막으로서는 부적당하다.
도 1은 고유전체 게이트 절연막을 갖는 고속 반도체 장치(100)의 개략적인 구성을 나타낸다.
도 1을 참조하면, 반도체 장치(100)는 실리콘 기판(1) 상에 형성되어 있고, 실리콘 기판(1) 상에는 얇은 베이스 산화막(2)을 통해 Ta2O5, Al2O3 , ZrO2, HfO2, ZrSiO4, HfSiO4 등의 고유전체 게이트 절연막(3)이 형성되고, 또한 상기 고유전체 게이트 절연막(3) 상에는 게이트 전극(4)이 형성되어 있다.
도 1의 반도체 장치(100)에서는 상기 베이스 산화막층(2)의 표면 부분에 실리콘 기판(1)과 베이스 산화막(2) 사이의 계면의 평탄성이 유지되는 범위로 질소(N)가 도핑되어 산질화막(2A)이 형성되어 있다. 실리콘 산화막보다 비유전율이 큰 산질화막(2A)을 베이스 산화막(2) 중에 형성함으로써 베이스 산화막(2)의 열산화막 환산 막 두께를 더욱 감소시킬 수 있게 된다.
앞에서도 설명한 바와 같이, 이러한 고속 반도체 장치(100)에서는 상기 베이 스 산화막(2)의 두께는 가능한 한 얇은 것이 바람직하다.
그러나, 베이스 산화막(2)을 1nm 이하, 예컨대 0.8nm 이하, 더욱이 0.3 내지 0.4nm 전후의 두께로 균일하고도 안정적으로 형성하는 것은 종래부터 매우 곤란하였다. 예컨대 막 두께가 0.3 내지 0.4nm인 경우, 산화막은 2 내지 3원자층 분량의 막 두께밖에 갖지 않게 된다.
또한, 베이스 산화막(2) 상에 형성되는 고유전체 게이트 절연막(3)의 기능을 발현시키기 위해서는 퇴적된 고유전체막(3)을 열처리에 의해 결정화하고, 또한 산소 결손 보상을 할 필요가 있지만, 이러한 열처리를 고유전체막(3)에 대해 수행한 경우, 베이스 산화막(2)의 막 두께가 증대해 버려 고유전체 게이트 절연막(3)을 사용함에 따른 게이트 절연막의 실효적인 막 두께의 감소가 실질적으로 상쇄되어 버렸다.
이러한 열처리에 따른 베이스 산화막(2)의 막 두께의 증대는, 실리콘 기판(1)과 베이스 산화막(2)의 계면에서의 산소 원자 및 실리콘 원자의 상호 확산, 및 이에 따른 실리케이트 전이층의 형성, 또는 실리콘 기판 중으로의 산소의 침입에 의한 베이스 산화막(2)의 성장 가능성을 시사하고 있다. 이러한 베이스 산화막(2)의 열처리에 따른 막 두께 증대의 문제는, 특히 베이스 산화막(2)의 막 두께가 베이스 산화막으로서 바람직한 수 원자층 이하의 막 두께까지 저감된 경우, 매우 심각한 문제가 된다.
이러한 사정에서 본 발명의 발명자는 낮은 라디칼 밀도 하에서 고품질의 산 화막을 낮은 성막 속도로 형성할 수 있는 자외광 여기 산소 라디칼(UV-O2 라디칼) 기판 처리 장치를 베이스 산화막의 형성에 사용하는 것을 이전에 제안하였다.
도 2는 종래의 UV-O2 라디칼 기판 처리 장치(10)의 개략적인 구성을 나타낸다.
도 2를 참조하면, 기판 처리 장치(10)는 피처리 기판(12)을 감압 환경 하에서 유지하는 처리 용기(11)를 갖고, 상기 피처리 기판(12)은 히터(11a)를 갖는 유지대(11A) 상에 유지된다. 또한 상기 처리 용기(11) 중에는 상기 유지대(11A) 상의 피처리 기판(12)에 대향하도록 샤워 헤드(11B)가 설치되고, 상기 샤워 헤드(21B)에는 산소 기체, O3, N2O, NO 또는 이들의 혼합물로 이루어진 산화 기체가 공급된다.
상기 샤워 헤드(11B)는 석영 등의 자외광에 대해 투명한 재료로 형성되고, 또한 상기 처리 용기(11)에는 석영 등의 자외광을 투과시키는 창(11C)이 상기 유지대(11A) 상의 피처리 기판(12)을 노출시키도록 형성된다. 또한 상기 창(11C)의 외측에는 상기 창(11C)의 면을 따라 이동가능한 자외광원(13)이 형성된다.
도 2의 처리 용기(11) 중에 실리콘 기판을 상기 피처리 기판(12)으로서 도입하고, 처리 용기(11)의 내부를 배기·감압한 후 산소 등의 산화 기체를 도입하고, 상기 자외광원(13)을 구동시켜 상기 산화 기체 중에 활성인 O* 등의 라디칼을 형성한다. 이러한 자외선 활성화 라디칼은 노출된 실리콘 기판(12)의 표면을 산화시키고, 그 결과 상기 실리콘 기판(12)의 표면에 0.5 내지 0.8nm 정도의 매우 얇은 산 화막이 형성된다.
도 2의 기판 처리 장치(10)에서는 상기 자외광원(13)을 상기 광학 창(11C)을 따라 이동시킴으로써 상기 산화막을 균일한 두께로 형성할 수 있다.
이렇게 하여 형성된 산화막은 자외선 활성화 산화 처리로 형성되어 있기 때문에, 장 등[Zhang, J-Y, et al., Appl. Phys. Lett. 71(20), November 17, 1997, pp.2964-2966]이 보고하고 있는 바와 같이 계면 준위 등의 결함이 적어 고유전체 게이트 절연막 아래의 베이스 산화막으로서 바람직하다.
앞에서도 설명한 바와 같이, 고유전체 게이트 절연막 아래의 베이스 산화막은 매우 얇아야 하고, UVO2 라디칼 기판 처리 장치를 사용하여 0.8nm 정도 두께의 베이스 산화막이 실현되었다. 그러나, 실리콘 기판 상에 이보다 얇은 베이스 산화막을 형성하고자 하면 막 두께 제어가 곤란해져, 균일한 두께의 베이스 산화막을 정밀도 좋게 형성하는 것은 종래에 매우 곤란하였다.
그런데 종래부터, 원자간 결합가 수가 큰, 이른바 「강성이 높은」 실리콘 단결정 기판 표면에 원자간 결합가 수가 작은, 이른바 「강성이 낮은」 금속 산화막을 직접 형성하면, 실리콘 기판과 금속 산화막의 계면이 역학적으로 불안정해져 결함을 발생시킬 가능성이 지적되었고(예컨대, 루코비스키 등의 문헌[G. Lucovisky, et al., Appl. Phys. Lett. 74, p.2005, 1999]), 이 문제를 회피하기 위해 실리콘 기판과 금속 산화막의 계면에 질소를 1원자층 분량으로 도입한 산질화층을 전이층으로서 형성하는 것이 제안되었다. 또한, 고유전체 게이트 절연막의 베이스 산화막으로서 이와 같이 산질화막을 형성하는 것은 고유전체 게이트 절연막 중의 금속 원소 또는 산소와 실리콘 기판을 구성하는 실리콘의 상호 확산을 억제하거나, 전극으로부터의 도펀트 확산을 억제하는 것에도 효과적인 것으로 생각된다.
이러한 산질화층을 형성하는데 있어서 산화막 표면을 마이크로파 여기 리모트 플라즈마에 의해 질화시키는 기술이 제안되었다. 그러나, 이러한 마이크로파를 사용한 질화 공정에서는 일반적으로 1.33×10-1 내지 1.33×10-4Pa(10-3 내지 10-6Torr) 정도의 매우 높은 진공도가 요구된다. 이러한 매우 높은 진공도로 질화 처리를 하는 경우에는 처리 용기 중에 잔존하는 산소나 수분 등의 미량 불순물의 영향을 무시할 수 없게 되어, 질화 처리시에 산화 반응이 생겨 산화막을 증막시켜 버릴 우려가 있다. 이와 같이 산질화 처리시에 산화막이 증막되어 버리면 고유전체 게이트 절연막을 사용하는 효과는 상쇄되어 버린다.
종래부터, 이와 같이 매우 얇은 산질화막을 안정적으로 재현성 좋게, 또한 통상의 반도체 프로세스에서 사용되는 정도의 용이하게 도달가능한 진공도로, 게다가 산화에 의한 증막을 수반하지 않으면서 질화시키는 것은 매우 곤란하였다.
발명의 개시
그래서 본 발명은 상기 과제를 해결한, 신규하고 유용한 기판 처리 방법 및 기판 처리 장치를 제공하는 것을 개괄적 과제로 한다.
본 발명의 보다 구체적인 과제는 기판 상에 매우 얇은 절연막을 형성하는 기 판 처리 방법, 상기 절연막을 사용한 반도체 장치 및 그 제조 방법을 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판 표면에 매우 얇은, 전형적으로는 2 내지 4원자층 분량 이하인 두께의 산화막을 안정적으로 형성하고, 추가로 이를 질화시켜 산질화막을 형성할 수 있는 기판 처리 방법 및 기판 처리 장치를 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판 표면에 매우 얇은, 전형적으로는 2 내지 4원자층 분량 이하인 두께의 산질화막을 형성하고, 추가로 이를 질화시켜 질소 농도가 보다 높은 산질화막을 목적하는 두께로 형성할 수 있는 기판 처리 장치를 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판 표면에 매우 얇은, 전형적으로는 2 내지 4원자층 분량 이하인 두께의 산화막 또는 질화막을 안정적으로 형성하고, 추가로 이를 안정적으로 질화시킬 수 있는 기판 처리 장치를 포함한 클러스터형 기판 처리 시스템을 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판 상에 매우 얇은 산질화막을 안정적으로 재현성 좋게 직접 형성할 수 있는 기판 처리 방법을 제공하는데 있다.
본 발명의 다른 과제는 고주파 플라즈마에 의해 질소 라디칼을 형성하는 공정; 및 산소를 함유하는 절연막 표면에 상기 질소 라디칼을 공급하여 상기 절연막 표면을 질화시키는 공정을 포함하는 것을 특징으로 하는 절연막의 질화 방법을 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판 표면에 절연막을 형성하는 공정; 고주파 플라즈마에 의해 질소 라디칼을 형성하는 공정; 상기 절연막 표면에 상기 질소 라디칼을 공급하여 상기 절연막 표면을 질화시켜 산질화막을 형성하는 공정; 및 상기 산질화막 상에 고유전체막을 형성하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법을 제공하는데 있다.
본 발명의 다른 과제는 고주파 플라즈마에 의해 질소 라디칼을 형성하는 질소 라디칼 형성부; 및 절연막이 형성된 피처리 기판을 유지하는 처리 용기로 이루어지고, 상기 처리 용기는 상기 질소 라디칼 형성부로부터 상기 질소 라디칼이 공급되고, 상기 절연막 표면에 상기 질소 라디칼을 공급함으로써 상기 절연막 표면을 질화시키는 것을 특징으로 하는 기판 처리 장치를 제공하는데 있다.
본 발명에 의하면, 고주파 플라즈마 여기된 질소 라디칼을 사용하여 매우 얇은 산화막 표면을 안정적으로 재현성 좋게 질화시켜 산질화막을 형성할 수 있게 된다. 또한 본 발명에 의하면, 고주파 플라즈마 여기된 질소 라디칼을 사용하여 매우 얇은 산질화막 표면을 안정적으로 재현성 좋게 질화시켜 질소 농도가 높은 산질화막을 목적하는 막 두께로 형성할 수 있게 된다. 특히 고주파 플라즈마를 사용함으로써 질화 처리가 비교적 높은 처리 압력에서 이루어지기 때문에, 처음에 처리 용기 내를 충분히 감압해 둠으로써 처리 용기 내의 잔존 산소 또는 기타 불순물 기체 성분은 질화 처리시에는 플라즈마 기체에 의해 희석되어, 잔존 산소에 의한 쓸데없는 산화나 이에 따른 산질화막 증막의 문제를 효과적으로 억제할 수 있다. 특히 본 발명에 의하면, 고유전율 게이트 절연막 아래에 형성되는 매우 얇은 베이스 산화막을 질화시키는 것이 가능하고, 그 결과, 상기 베이스 산화막 상에 고유전체 게이트 절연막을 형성한 경우에 실리콘 기판과 고유전체 게이트 절연막 사이에서의 금속 원소 및 실리콘의 상호 확산, 및 이에 따른 전이층의 형성을 억제할 수 있다.
또한 이러한 막 두께가 매우 얇을 것이 요구되는 산화막 또는 산질화막 등의 절연막의 질화 처리에서는 질소 원자의 도입에 따른 절연막의 증막을 피할 수 없지만, 본 발명에서는 질화 처리 전의 절연막의 막 두께를 2 내지 4원자층 분량 또는 그보다 얇게 설정함으로써 질화 처리 후의 절연막, 즉 산질화막의 막 두께를 매우 얇게 할 수 있다.
본 발명의 다른 과제는 처리 공간을 획정하고, 상기 처리 공간 중에 피처리 기판을 유지하는 유지대를 구비한 처리 용기; 상기 처리 용기 상에 설치된 제 1 라디칼원(源); 상기 처리 용기 상에 설치된 제 2 라디칼원; 상기 처리 용기에 설치되고, 상기 처리 공간을 제 1 처리압으로 배기하는 제 1 배기 경로; 및 상기 처리 용기에 설치되고, 상기 처리 공간을 제 2 처리압으로 배기하는 제 2 배기 경로로 이루어지는 것을 특징으로 하는 기판 처리 장치를 제공하는데 있다.
본 발명의 다른 과제는 기판 반송실; 및 상기 기판 반송실에 결합된 복수의 처리실로 이루어지는 클러스터형 기판 처리 장치에 있어서, 상기 복수의 처리실 중 하나가, 상기 기판 반송실에 결합된 기판 반입·반출구를 제 1 단부에 갖고, 대향하는 제 2 단부에 제 1 라디칼원을 갖고, 내부에 처리 공간을 획정하는 처리 용기; 상기 처리 공간 중 상기 제 1 단부와 제 2 단부 사이에서 회전가능하게 설치되고, 피처리 기판을 유지하는 기판 유지대; 상기 처리 공간 중 상기 제 1 단부와 상기 기판 유지대 사이에 설치되고, 상기 처리 공간에 제 1 처리 기체를 도입하는 사용 되는 압력보다; 상기 제 1 라디칼원에 제 2 처리 기체를 도입하는 제 2 처리 기체 도입부; 상기 처리 용기 상에 상기 사용되는 압력보다 상기 기판 유지대 사이에서 상기 제 1 처리 기체를 활성화시키도록 설치된 제 2 라디칼원; 상기 처리 공간 중 상기 기판 유지대보다 상기 제 1 단부에 가까운 부분에 설치된 제 1 배기구; 상기 처리 공간 중 상기 기판 유지대보다 상기 제 2 단부에 가까운 부분에 설치된 제 2 배기구; 상기 제 1 배기구에 결합되어 상기 처리 공간을 제 1 처리압으로 배기하는 제 1 펌프; 및 상기 제 2 배기구에 결합되어 상기 처리 공간을 제 2의 보다 낮은 처리압으로 배기하는 제 2 펌프를 구비하고, 상기 제 2 펌프는 상기 처리 용기의 제 2 단부 근방에 설치되는 것을 특징으로 하는 클러스터형 기판 처리 장치를 제공하는데 있다.
본 발명에 의하면, 동일한 기판 처리 장치에 있어서 실리콘 기판의 자외광 여기 라디칼 산화 처리와, 이러한 자외광 여기 라디칼 산화 처리에 의해 형성된 산화막의 고주파 리모트 플라즈마를 사용한 라디칼 질화 처리를 연속적으로 수행할 수 있게 된다. 자외광 여기 라디칼 산화 처리와 고주파 리모트 플라즈마 라디칼 질화 처리는 처리 압력이 크게 다르지만, 본 발명의 기판 처리 장치에서는 처리 용기에 2개의 배기 경로를 설치함으로써 이들 처리를 동일한 처리 용기로 수행하는 것을 가능하게 하고 있다. 특히 본 발명에 따르면, 고유전율 게이트 절연막 아래에 형성되는 매우 얇은 베이스 산화막을 질화시키는 것이 가능하고, 그 결과, 상기 베이스 산화막 상에 고유전체 게이트 절연막을 형성한 경우에 실리콘 기판과 고유전체 게이트 절연막 사이에서의 금속 원소 및 실리콘의 상호 확산, 및 이에 따른 전이층의 형성을 억제할 수 있게 된다. 또한 본 발명에 따르면, 처리 용기 중에서의 제 1 및 제 2 배기 경로의 위치를 처리 용기 내에 고진공을 실현하는데 필요한 터보 분자 펌프가 처리 용기의 외단부에 위치하도록 설정함으로써, 상기 기판 처리 장치를 그 내단부에서 기판 반송로에 결합시켜 클러스터형 기판 처리 장치를 용이하게 구축할 수 있게 된다.
본 발명의 다른 과제는 실리콘 기판; 및 상기 실리콘 기판 상에 형성된 1 내지 4원자층 두께의 절연막을 포함하는 것을 특징으로 하는 반도체 장치를 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판; 및 상기 실리콘 기판 상에 형성된 절연막으로 이루어지는 반도체 장치에 있어서, 상기 절연막은 실리콘 기판 상에 형성된 1 내지 4원자층 두께의 산화막을 질화시켜 형성되는 것을 특징으로 하는 반도체 장치를 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판 표면에 133 내지 133×10-4mPa 범위의 분압을 갖는 산소 라디칼을 사용한 산화 처리에 의해 산화막을 형성하는 공정을 포함하는 것을 특징으로 하는 기판 처리 방법을 제공하는데 있다.
본 발명의 다른 과제는 실리콘 기판 표면에 베이스 산화막을 형성하는 공정; 상기 베이스 산화막 상에 고유전체막을 형성하는 공정; 및 상기 고유전체막 상에 게이트 전극층을 형성하는 공정으로 이루어지는 반도체 장치의 제조 방법에 있어서, 상기 베이스 산화막을 형성하는 공정은 상기 실리콘 기판 표면에, 분압이 133 내지 133×10-4mPa의 범위가 되는 농도의 산소 라디칼을 사용한 산화 처리에 의해 산화막을 형성하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법을 제공하는데 있다.
본 발명에 의하면, 실리콘 기판과 고유전체 게이트 절연막 사이에 설치되는 베이스 산화막으로서 효과적인 매우 얇은 산화막을, 실리콘 기판 표면의 라디칼 산화에 있어서 산화막의 막 두께가 2 내지 4원자층 분량의 두께에 이르렀을 때 발현되는 막 성장의 정류 효과를 이용하여 균일한 두께로 재현성 좋게 형성할 수 있게 된다.
본 발명의 다른 과제는 실리콘 기판 표면에 NO 기체를 공급하는 공정; 및 상기 NO 기체를 자외광에 의해 여기시켜 상기 실리콘 기판 표면에 산질화막을 형성하는 공정으로 이루어지는 것을 특징으로 하는 기판 처리 방법을 제공하는데 있다.
본 발명에 의하면, NO 분위기를 자외광 여기시킴으로써 실리콘 기판 표면에 직접 산질화막을 형성할 수 있게 된다. 그 때, 특히 자외광 파장을 145nm보다 긴 192nm 이하, 예컨대 172nm로 설정함으로써 산화 작용을 억제할 수 있어, 높은 질소 농도의 산질화막을 형성할 수 있게 된다. 이러한 산질화막은 성막시에 2원자층 분량의 두께에 대응하는 약 0.5nm의 막 두께에서 성막의 정류를 발생시키고, 따라서 이 막 두께의 산질화막을 안정적으로 재현성 좋게 형성할 수 있게 된다.
본 발명의 다른 과제 및 특징은 이하에서 도면을 참조하여 이루어지는 본 발명의 상세한 설명에 의해 보다 명확해질 것이다.
도 1은 고유전체 게이트 절연막을 갖는 반도체 장치의 구성을 나타내는 도면이다.
도 2는 종래의 UV-O2 라디칼 기판 처리 장치의 구성을 나타내는 도면이다.
도 3은 본 발명의 제 1 실시양태에 따른 기판 처리 장치의 구성을 설명하는 도면이다.
도 4A 및 4B는 각각 도 3의 기판 처리 장치를 사용하여 수행되는 기판의 산화 처리를 나타내는 측면도 및 평면도이다.
도 5는 도 3의 기판 처리 장치를 사용하여 수행되는 기판의 산화 처리 공정을 나타내는 도면이다.
도 6은 본 발명에서 사용되는 XPS에 의한 막 두께 측정 방법을 나타내는 도면이다.
도 7은 본 발명에서 사용되는 XPS에 의한 막 두께 측정 방법을 나타내는 다른 도면이다.
도 8은 도 3의 기판 처리 장치에 의해 산화막을 형성할 때 관측되는 산화막 두께 성장의 정류 현상을 개략적으로 나타내는 도면이다.
도 9A 및 9B는 실리콘 기판 표면에서의 산화막 형성 과정을 나타내는 도면이다.
도 10은 본 발명의 제 1 실시양태에서 수득된 산화막의 누설 전류 특성을 나 타내는 도면이다.
도 11A 및 11B는 도 10의 누설 전류 특성의 원인을 설명하는 도면이다.
도 12A 내지 12C는 도 3의 기판 처리 장치에서 생기는 산화막 형성 공정을 나타내는 도면이다.
도 13은 도 3의 기판 처리 장치에서 사용되는 리모트 플라즈마원의 구성을 나타내는 도면이다.
도 14A 내지 14C는 각각 RF 리모트 플라즈마와 마이크로파 플라즈마의 특성을 비교하는 도면 및 표준적인 리모트 플라즈마원과 마이크로파 플라즈마원의 구성을 나타내는 도면이다.
도 15는 RF 리모트 플라즈마와 마이크로파 플라즈마의 특성을 비교하는 다른 도면이다.
도 16A 및 16B는 각각 도 3의 기판 처리 장치를 사용하여 수행되는 산화막의 질화 처리를 나타내는 측면도 및 평면도이다.
도 17A 및 17B는 RF 리모트 플라즈마로 질화된 산화막 중의 질소 농도와 막 두께의 관계를, 질화를 마이크로파 플라즈마로 수행한 경우와 비교하여 나타내는 도면이다.
도 18은 본 발명에서 사용되는 XPS의 개략을 나타내는 도면이다.
도 19는 산화막의 리모트 플라즈마에 의한 질화 시간과 막 중 질소 농도의 관계를 나타내는 도면이다.
도 20은 산화막의 질화 시간과 질소의 막내 분포의 관계를 나타내는 도면이 다.
도 21은 산화막의 질화 처리에 의해 형성된 산질화막의 웨이퍼 마다의 막 두께 변동을 나타내는 도면이다.
도 22는 본 발명의 제 1 실시양태에 의한 산화막의 질화 처리에 따른 막 두께 증가를 나타내는 도면이다.
도 23A 및 23B는 도 3의 기판 처리 장치에서 실현되는 질화 처리의 면내 균일성을 나타내는 도면이다.
도 24는 UV-O2 산화막 또는 UV-NO 산질화막의 RF 질화 처리에 따른 증막과 막 중 질소 농도의 관계를 나타내는 도면이다.
도 25는 UV-O2 산화막의 RF 질화 처리를 나타내는 흐름도이다.
도 26A 내지 26C는 본 발명의 제 1 실시양태에서 수득된 산질화막 상으로의 고유전체막 형성에 따라 Si2p 궤도의 XPS 스펙트럼에 나타나는 화학 시프트를 나타내는 도면이다.
도 27은 본 발명의 제 1 실시양태에서 수득된 산질화막에 발생한 증막을 고유전체막 형성 전후에 비교하여 나타내는 도면이다.
도 28A 및 28B는 본 발명의 제 2 실시양태에 따른 기판 처리 방법을 나타내는 흐름도이다.
도 29A 및 29B는 도 28A 및 28B의 공정에 의해 형성된 산질화막의 막 두께와 막 중 질소 농도를 각각 나타내는 도면이다.
도 30A 및 30B는 본 발명 제 2 실시양태의 비교예에 따른 기판 처리 방법을 나타내는 흐름도이다.
도 31A 및 31B는 도 30A 및 30B의 공정에 의해 형성된 산질화막의 막 두께와 막 중 질소 농도를 각각 나타내는 도면이다.
도 32는 본 발명의 제 3 실시양태에 따른 기판 처리 방법을 나타내는 흐름도이다.
도 33A 및 33B는 본 발명 제 3 실시양태의 기판 처리 방법에 의해 형성된 산질화막의 막 두께와 비교예에 따른 산질화막의 막 두께를 나타내는 도면이다.
도 34는 NO 분자의 다양한 여기 상태에서의 포텐셜 곡선을 나타내는 도면이다.
도 35는 본 발명의 실시양태 4에 따른 UV-NO 산화막의 RF 질화 처리를 나타내는 흐름도이다.
도 36은 도 35의 공정으로 수득된 산질화막 중의 질소 원자의 분포를 나타내는 도면이다.
도 37A 및 37B는 산질화막 중에서의 질소 원자의 분포 상태의 예를 나타내는 도면이다.
도 38은 도 3의 기판 처리 장치를 사용하여 클러스터형 기판 처리 시스템을 구성한 경우의 문제점을 설명하는 도면이다.
도 39A 및 39B는 본 발명의 제 5 실시양태에 따른 클러스터형 기판 처리 시스템의 구성을 나타내는 도면이다.
도 40A 및 40B는 도 39의 기판 처리 시스템에 따른 기판의 산화 처리를 나타내는 도면이다.
도 41A 및 41B는 도 39의 기판 처리 시스템에 따른 기판의 질화 처리를 나타내는 도면이다.
도 42는 본 발명의 제 6 실시양태에 따른 반도체 장치의 구성을 나타내는 도면이다.
도 43은 본 발명의 제 6 실시양태에 따른 기판 처리 방법을 나타내는 흐름도이다.
도 44는 본 발명의 제 6 실시양태에서 사용되는 초기 산화막의 막 두께 분포를 설명하는 도면이다.
도 45는 본 발명의 제 6 실시양태에 의해 형성되는 산질화막의 막 두께 분포를 설명하는 도면이다.
도 46A 내지 46D는 본 발명의 제 6 실시양태에 따른 산질화막 형성 공정의 키네틱스(kinetics)를 설명하는 도면이다.
도 47A 내지 47D는 본 발명의 제 6 실시양태에 따른 산질화막 형성 공정의 키네틱스를 설명하는 다른 도면이다.
도 48A 및 48B는 본 발명의 제 6 실시양태에 따른 산질화막 형성에서의 산화막의 질소 도핑 메커니즘을 나타내는 도면이다.
도 49A 및 49B는 본 발명의 제 6 실시양태에 의해 형성된 산질화막 중의 질소 원자의 분포를 산소 원자 및 Si 원자의 분포와 함께 나타내는 도면이다.
도 50A 및 50B는 본 발명의 제 6 실시양태에 의해 형성된 산질화막 중의 질소 원자의 분포를 산소 원자 및 Si 원자의 분포와 함께 나타내는 다른 도면이다.
도 51은 본 발명의 제 7 실시양태에서 사용되는 기판 처리 장치의 구성을 나타내는 도면이다.
도 52A 내지 52C는 도 51의 기판 처리 장치를 사용하여 형성한 산화막의 막 두께 분포를 나타내는 도면이다.
도 53은 도 51의 기판 처리 장치를 사용하여 형성한 산화막에 대해 처리 시간과 막 두께의 관계를 나타내는 도면이다.
도 54A 내지 54E는 도 51의 기판 처리 장치를 사용하여 형성한 산화막의 막 두께 분포를 나타내는 다른 도면이다.
도 55A 내지 55E는 도 51의 기판 처리 장치를 사용하여 형성한 산화막의 막 두께 분포를 나타내는 또 다른 도면이다.
도 56A 및 56B는 비교 대조예에 의한 산화막의 막 두께 분포를 나타내는 도면이다.
도 57은 도 51의 기판 처리 장치의 최적 주사 영역 결정 순서를 나타내는 흐름도이다.
도 58은 도 51의 기판 처리 장치의 최적 광원 구동 에너지 결정 순서를 나타내는 흐름도이다.
도 59는 본 발명의 제 8 실시양태에 따른 반도체 장치의 구성을 나타내는 도면이다.
도 60은 본 발명의 제 8 실시양태에서 사용되는 클러스터형 기판 처리 시스템의 구성을 나타내는 도면이다.
도 61은 본 발명의 제 8 실시양태에서 사용되는 처리 조건을 확정하는 도면이다.
도 62A 및 62B는 본 발명의 제 9 실시양태에서 실리콘 기판 표면에 형성된 산화막을 UV-NO 질화 처리한 경우의 막 두께 분포를 나타내는 도면이다.
도 63A 및 63B는 본 발명의 제 9 실시양태에서 실리콘 기판 표면에 UV-NO 질화 처리에 의해 직접 산질화막을 형성한 경우의 막 두께 분포를 나타내는 도면이다.
도 64A 및 64B는 본 발명의 제 9 실시양태에 따른, UV-NO 질화 처리에 의한 실리콘 기판 표면 상으로의 산질화막 형성의 키네틱스를 나타내는 도면이다.
도 65A 및 65B는 본 발명의 제 9 실시양태에 따른, UV-NO 질화 처리에 의한 실리콘 기판 표면 상으로의 산질화막 형성의 키네틱스를 나타내는 다른 도면이다.
도 66A 및 66B는 본 발명의 제 9 실시양태에 따른, UV-NO 질화 처리에 의한 실리콘 기판 표면 상으로의 산질화막 형성의 키네틱스를 나타내는 또 다른 도면이다.
도 67은 자외광원의 예를 나타내는 도면이다.
도 68A 및 68B는 본 발명의 제 10 실시양태에 따른 기판 처리 공정에 의해 수득된 산질화막의 막 두께 분포를 나타내는 도면이다.
도 69A 및 69B는 본 발명의 제 11 실시양태에 따른 NO 기체 도입 순서를 나 타내는 도면이다.
도 70A 및 70B는 도 69A 및 69B의 NO 기체 도입 순서를 사용하여 형성된 산질화막의 막 두께 및 막 중 질소 농도를 나타내는 도면이다.
도 71A 내지 71E는 본 발명의 제 12 실시양태에 따른 반도체 장치의 제조 공정을 설명하는 도면이다.
도 72는 본 발명의 제 1 실시양태에서의 바람직한 RF-N2 처리 조건을 나타내는 도면이다.
도 73은 본 발명의 제 6 실시양태에서의 처리 조건과 막 두께 분포의 관계를 나타내는 도면이다.
도 74A 및 74B는 각각 실리콘 산화막의 XPS 스펙트럼, 및 XPS 스펙트럼에 나타나는 화학 시프트와 막 두께의 관계를 나타내는 도면이다.
도 75는 직경이 200mm인 웨이퍼상에 UV-NO 처리에 의해 형성된 산질화막의 막 두께 분포를 개량된 엘립소메트리(ellipsometry)에 의해 측정한 결과를 나타내는 도면이다.
제 1 실시양태
도 3은 도 1의 실리콘 기판(1) 상에 매우 얇은 베이스 산화막(2)을 산질화막(2A)을 함유시켜 형성하기 위한, 본 발명의 제 1 실시양태에 따른 기판 처 리 장치(20)의 개략적 구성을 나타낸다.
도 3을 참조하면, 기판 처리 장치(20)는 히터(22A)를 갖춰 처리 위치와 기판 반입·반출 위치 사이를 상하로 이동가능하게 설치된 기판 유지대(22)를 수납하고, 상기 기판 유지대(22)와 함께 처리 공간(21B)을 구획하는 처리 용기(21)를 구비하고 있고, 상기 기판 유지대(22)는 구동 기구(22C)에 의해 회전된다. 또한, 상기 처리 용기(21)의 내벽면은 석영 유리로 이루어진 내부 라이너(21G)에 의해 덮여져 있고, 이에 따라 노출 금속면으로부터의 피처리 기판의 금속 오염을 1×1010 원자/cm2 이하의 수준으로 억제한다.
또한 상기 기판 유지대(22)와 구동 기구(22C)의 결합부에는 자기 밀봉(28)이 형성되고, 자기 밀봉(28)은 진공 환경에 유지되는 자기 밀봉실(22B)과 대기 환경 중에 형성되는 구동 기구(22C)를 분리한다. 자기 밀봉(28)은 액체이기 때문에 상기 기판 유지대(22)는 회전가능하게 유지된다.
도시된 상태에서는, 상기 기판 유지대(22)는 처리 위치에 있고, 하측에 피처리 기판의 반입·반출을 위한 반입·반출실(21C)이 형성되어 있다. 상기 처리 용기(21)는 게이트 밸브(27A)를 통해 기판 반송 유닛(27)에 결합되어 있고, 상기 기판 유지대(22)가 반입·반출(21C) 중에 하강한 상태에서 상기 게이트 밸브(27A)를 통해 기판 반송 유닛(27)으로부터 피처리 기판(W)이 기판 유지대(22) 상에 반송되고, 또한 처리 완료된 기판(W)이 기판 유지대(22)로부터 기판 반송 유닛(27)에 반송된다.
도 3의 기판 처리 장치(20)에서는 상기 처리 용기(21)의 게이트 밸브(27A)에 가까운 부분에 배기구(21A)가 형성되어 있고, 상기 배기구(21A)에는 밸브(23A) 및APC(자동 압력 제어장치)(24B)를 통해 터보 분자 펌프(23B)가 결합되어 있다. 상기 터보 분자 펌프(23B)에는 추가로 드라이 펌프 및 메커니컬 부스터 펌프를 결합하여 구성한 펌프(24)가 밸브(23C)를 통해 결합되어 있고, 상기 터보 분자 펌프(23B) 및 드라이 펌프(24)를 구동시킴으로써 상기 처리 공간(21B)의 압력을 1.33×10-1 내지 1.33×10-4Pa(10-3 내지 10-6Torr)까지 감압할 수 있게 된다.
한편, 상기 배기구(21A)는 밸브(24A) 및 APC(24B)를 통해 직접 펌프(24)에 결합되어 있고, 상기 밸브(24A)를 개방함으로써 상기 처리 공간은 상기 펌프(24)에 의해 1.33Pa 내지 1.33kPa(0.01 내지 10Torr)의 압력까지 감압된다.
상기 처리 용기(21)에는 피처리 기판(W)을 사이에 두고 상기 배기구(21A)와 대향하는 측에 산소 기체를 공급하는 처리 기체 공급 노즐(21D)이 설치되어 있고, 상기 처리 기체 공급 노즐(21D)에 공급된 산소 기체는 상기 처리 공간(21B) 중을 상기 피처리 기판(W)의 표면을 따라 흘러 상기 배기구(21A)로부터 배기된다.
이와 같이 상기 처리 기체 공급 노즐(21D)로부터 공급된 처리 기체를 활성화시켜 산소 라디칼을 생성시키기 때문에, 도 3의 기판 처리 장치(20)에서는 상기 처리 용기(21) 상에, 상기 처리 기체 공급 노즐(21D)과 피처리 기판(W) 사이의 영역에 대응하여 석영 창(25A)을 갖는 자외광원(25)이 설치되어 있다. 즉, 상기 자외광원(25)을 구동시킴으로써 상기 처리 기체 공급 노즐(21D)로부터 처리 공간(21B) 에 도입된 산소 기체가 활성화되고, 그 결과 형성된 산소 라디칼이 상기 피처리 기판(W)의 표면을 따라 흐른다. 이에 따라, 상기 피처리 기판(W)의 표면에 1nm 이하의 막 두께를 갖는, 특히 2 내지 3원자층 분량의 두께에 상당하는 약 0.4nm의 막 두께를 갖는 라디칼 산화막을 형성할 수 있게 된다.
또한 상기 처리 용기(21)에는 상기 피처리 기판(W)에 대해 배기구(21A)와 대향하는 측에 리모트 플라즈마원(26)이 형성되어 있다. 그래서 상기 리모트 플라즈마원(26)에 Ar 등의 불활성 기체와 함께 질소 기체를 공급하고, 이를 플라즈마에 의해 활성화시킴으로써 질소 라디칼을 형성할 수 있다. 이렇게 하여 형성된 질소 라디칼은 상기 피처리 기판(W)의 표면을 따라 흘러 기판 표면을 질화시킨다. 또한, 리모트 플라즈마원(26)에 질소 대신에 산소를 도입함으로써 기판 표면을 산화시킬 수도 있다.
도 3의 기판 처리 장치(20)에서는 추가로 상기 반입·반출실(21C)을 질소 기체에 의해 퍼지하는 퍼지 라인(21c)이 설치되고, 추가로 상기 자기 밀봉실(22B)을 질소 기체에 의해 퍼지하는 퍼지 라인(22b) 및 그의 배기 라인(22c)이 설치되어 있다.
보다 상세히 설명하면, 상기 배기 라인(22c)에는 밸브(29A)를 통해 터보 분자 펌프(29B)가 결합되고, 상기 터보 분자 펌프(29B)는 밸브(29C)를 통해 펌프(24)에 결합되어 있다. 또한, 상기 배기 라인(22c)은 펌프(24)와 밸브(29D)를 통해 직접 결합되어 있고, 이에 따라 자기 밀봉실(22B)을 다양한 압력으로 유지할 수 있게 된다.
상기 반입·반출실(21C)은 펌프(24)에 의해 밸브(24C)를 통해 배기되거나, 또는 터보 분자 펌프(23B)에 의해 밸브(23D)를 통해 배기된다. 상기 처리 공간(21B) 중에서 오염이 생기는 것을 회피하기 위해, 상기 반입·반출실(21C)은 처리 공간(21B)보다 저압으로 유지되고, 또한 상기 자기 밀봉실(22B)은 차동 배기됨으로써 상기 반입·반출실(21C)보다 더 저압으로 유지된다.
이하, 도 3의 기판 처리 장치(20)를 사용하여 수행하는 피처리 기판(W) 표면의 자외광 라디칼 산화 처리, 및 그 후에 수행되는 리모트 플라즈마 라디칼 질화 처리에 대해 설명한다.
자외광 라디칼 산화(UV-O 2 ) 처리
도 4A 및 4B는 각각 도 3의 기판 처리 장치(20)를 사용하여 피처리 기판(W)의 라디칼 산화를 수행하는 경우를 나타내는 측면도 및 평면도이다.
도 4A를 참조하면, 상기 처리 공간(21B) 중에는 처리 기체 공급 노즐(21D)로부터 산소 기체가 공급되어 피처리 기판(W)의 표면을 따라 흐른 후, 배기구(21A), APC(23D), 터보 분자 펌프(23B) 및 펌프(24)를 통해 배기된다. 터보 분자 펌프(23B) 및 APC(23D)를 사용함으로써 상기 처리 공간(21B)의 도달 진공도가 기판(W)의 산소 라디칼에 의한 산화에 필요한 10-3 내지 10-6Torr의 범위로 설정된다.
이와 동시에, 바람직하게는 172nm 파장의 자외광을 발생시키는 자외광원(25)을 구동시킴으로써, 이렇게 하여 형성된 산소 기체 흐름 중에 산소 라디칼이 형성 된다. 형성된 산소 라디칼은 상기 피처리 기판(W)의 표면을 따라 흐를 때, 회전하고 있는 기판 표면을 산화시킨다. 이러한 피처리 기판(W)의 자외광 여기 산소 라디칼에 의한 산화(이하 UV-O2 처리)에 의해 실리콘 기판 표면에 1nm 이하의 막 두께를 갖는 매우 얇은 산화막, 특히 2 내지 3원자층에 상당하는 약 0.4nm의 막 두께를 갖는 산화막을 안정적으로 재현성 좋게 형성할 수 있게 된다.
도 4B는 도 4A의 구성의 평면도를 나타낸다.
도 4B를 참조하면, 자외광원(25)은 산소 기체 흐름의 방향에 교차하는 방향으로 연장되는 관형상의 광원이고, 터보 분자 펌프(23B)가 배기구(21A)를 통해 처리 공간(21B)을 배기함을 알 수 있다. 한편, 상기 배기구(21A)로부터 직접 펌프(24)에 이르는, 도 4B 중에 점선으로 나타낸 배기 경로는 밸브(24A)를 폐쇄함으로써 차단되어 있다.
도 4B의 평면도로부터 알 수 있는 바와 같이, 터보 분자 펌프(23B)는 기판 반송 유닛(27)을 피하여 처리 용기(21) 옆으로 돌출하는 모양으로 배치되어 있다.
도 5는 도 3의 기판 처리 장치(20)에 있어서 도 4A 및 4B의 공정에 의해 실리콘 기판 표면에 실리콘 산화막을, 기판 온도를 450℃로 설정하고 자외광 조사 강도 및 산소 기체 유량 또는 산소 분압을 다양하게 변화시키면서 형성한 경우의 막 두께와 산화 시간의 관계를 나타낸다. 단, 도 5의 실험에서는 라디칼 산화에 앞서 실리콘 기판 표면의 자연 산화막을 제거하고, 또한 경우에 따라서는 기판 표면에 잔류하는 탄소를 자외광 여기 질소 라디칼 중에서 제거하고, 추가로 Ar 분위기 중 약 950℃에서의 고온 열처리를 수행함으로써 기판 표면을 평탄화하고 있다. 또한 상기 자외광원(24B)으로서는 파장이 172nm인 엑시머 램프를 사용하였다.
도 5를 참조하면, 계열 1의 데이터는 자외광 조사 파워를 자외광원(24B)의 창면에서의 기준 파워(50mW/cm2)의 5%로 설정하고, 처리압을 665mPa(5mTorr), 산소 기체 유량을 30SCCM으로 설정한 경우의 산화 시간과 산화막 두께의 관계를 나타내고, 계열 2의 데이터는 자외광 파워를 제로로 설정하고, 처리압을 133Pa(1Torr), 산소 기체 유량을 3SLM으로 설정한 경우의 산화 시간과 산화막 두께의 관계를 나타낸다.
또한 계열 3의 데이터는 자외광 파워를 제로로 설정하고, 처리압을 2.66Pa(20mTorr), 산소 기체 유량을 150SCCM으로 설정한 경우의 산화 시간과 산화막 두께의 관계를 나타내고, 계열 4의 데이터는 자외광 조사 파워를 100%, 즉 상기 기준 파워로 설정하고, 처리압을 2.66Pa(20mTorr), 산소 기체 유량을 150SCCM으로 설정한 경우의 산화 시간과 산화막 두께의 관계를 나타낸다.
또한 계열 5의 데이터는 자외광 조사 파워를 기준 파워의 20%로 설정하고, 처리압을 2.66Pa(20mTorr), 산소 기체 유량을 150SCCM으로 설정한 경우의 산화 시간과 산화막압의 관계를 나타내고, 계열 6의 데이터는 자외광 조사 파워를 기준 조사 파워의 20%로 설정하고, 처리압을 약 67Pa(0.5Torr), 산소 기체 유량을 0.5SLM으로 설정한 경우의 산화 시간과 산화막 두께의 관계를 나타낸다.
또한 계열 7의 데이터는 자외광 조사 파워를 기준 파워의 20%로 설정하고, 처리압을 665Pa(5Torr), 산소 기체 유량을 2SLM으로 설정한 경우의 산화 시간과 산화막 두께의 관계를 나타내고, 계열 8의 데이터는 자외광 조사 파워를 기준 파워의 5%로 설정하고, 처리압을 2.66Pa(20mTorr), 산소 기체 유량을 150SCCM으로 설정한 경우의 산화 시간과 산화막 두께의 관계를 나타낸다.
도 5의 실험에서 산화막의 막 두께는 XPS법에 의해 구하고 있지만, 이와 같이 1nm를 하회하는 매우 얇은 산화막의 막 두께를 구하는 통일된 방법은 현시점에서는 존재하지 않는다.
그래서 본 발명의 발명자는 도 6에 나타낸 관측된 Si2p 궤도의 XPS 스펙트럼에 대해 백그라운드 보정 및 3/2와 1/2 스핀 상태의 분리 보정을 수행하고, 그 결과 수득된 도 7에 나타낸 Si2p 3/2 XPS 스펙트럼을 바탕으로, 루 등[Z.H. Lu, et al., Appl. Phys, Lett. 71(1997), p.2764]의 교시에 따라, 하기 수학식 1에 나타낸 식 및 계수를 사용하여 산화막의 막 두께(d)를 구하였다.
Figure 112004002249904-pct00001
λ=2.96
β=0.75
단, 상기 식에서 α는 도 6에 나타낸 XPS 스펙트럼의 검출각이고, 도시된 예에서는 30°로 설정되어 있다. 또한 상기 수학식 1에서 Ix+는 산화막에 대응하는 스펙트럼 피크의 적분 강도(I1++ I2++ I3++ I4+)이고, 도 7 중 102 내지 104eV의 에너지 영역에서 보이는 피크에 대응한다. 한편, I0+는 100eV 근방의 에너지 영역에 대응한, 실리콘 기판에 기인하는 스펙트럼 피크의 적분 강도에 대응한다.
다시 도 5를 참조하면, 자외광 조사 파워, 따라서 형성되는 산소 라디칼 밀도가 작은 경우(계열 1, 2, 3 및 8)에는 처음에는 산화막의 산화막 두께가 0nm이었지만 산화 시간과 함께 산화막 두께가 서서히 증가를 계속하는데 대해, 자외광 조사 파워를 기준 파워의 20% 이상으로 설정한 계열 4, 5, 6 및 7에서는 도 8에 개략적으로 도시한 바와 같이 산화막 성장이 성장 개시 후 약 0.4nm의 막 두께에 도달한 시점에서 정류하고, 어느 정도의 정류 시간이 경과한 후 급격히 성장이 재개됨이 확인된다.
도 5 또는 도 8의 관계는 실리콘 기판 표면의 산화 처리에 있어서 0.4nm 전후의 막 두께를 갖는 매우 얇은 산화막을 안정적으로 형성할 수 있음을 의미한다. 또한, 도 5에 도시된 바와 같이, 이러한 정류 시간이 어느 정도 계속됨으로써, 형성되는 산화막은 균일한 두께를 가짐을 알 수 있다. 즉, 본 발명에 의하면, 약 0.4nm 두께의 산화막을 실리콘 기판 상에 균일한 두께로 형성할 수 있게 된다.
도 9A 및 9B는 이러한 실리콘 기판 상으로의 얇은 산화막의 형성 과정을 개략적으로 나타낸다. 이들 도면에서는 실리콘 기판 상의 구조를 극히 단순화하고 있는 것에 주의해야 한다.
도 9A를 참조하면, 실리콘 기판 표면에는 실리콘 원자 1개당 2개의 산소 원 자가 결합하여 1원자층의 산소층이 형성되어 있다. 그 대표적인 상태에서는 기판 표면의 실리콘 원자는 기판 내부의 2개의 실리콘 원자와 기판 표면의 2개의 산소 원자에 의해 배위되어 서브옥사이드를 형성한다.
이에 대해, 도 9B의 상태에서는 실리콘 기판 최상부의 실리콘 원자는 4개의 산소 원자에 의해 배위되어 있어 안정적인 Si4+의 상태를 취한다. 이러한 이유로, 도 9A의 상태에서는 빠르게 산화가 진행되고 도 9B의 상태가 되어 산화가 정류하는 것으로 생각된다. 도 9B의 상태에서의 산화막의 두께는 약 0.4nm이고, 이는 도 5에서 관측되는 정류 상태에서의 산화막 두께와 잘 일치한다.
도 7의 XPS 스펙트럼에 있어서, 산화막 두께가 0.1nm 또는 0.2nm인 경우에 101 내지 104eV의 에너지 범위에서 보이는 낮은 피크가 도 9A의 서브옥사이드에 대응하고, 산화막 두께가 0.3nm를 초과한 경우에 에너지 영역에 나타나는 피크가 Si4+에 기인하는 것으로 1원자층을 초과하는 산화막의 형성을 나타내고 있는 것으로 생각된다.
도 74A는 실리콘 기판 상에 형성된 실리콘 산화막의 생 XPS 스펙트럼 및 그 화학 시프트를 나타내고, 한편 도 74B는 실리콘 산화막의 XPS 막 두께, 즉 XPS 분석에 의해 구해진 막 두께와 도 74A의 화학 시프트의 관계를 나타낸다.
도 74A 및 74B를 참조하면, XPS 막 두께의 값이 증가함에 따라 화학 시프트의 크기도 증대하지만, XPS 막 두께가 0.3nm 내지 0.4nm의 사이에 도달한 점에서 화학 시프트의 값이 실리콘 산화막 원래의 값인 4eV에 도달하고, 이 점에서 화학 시프트에 포화가 시작됨을 알 수 있다. 앞에서도 설명한 바와 같이, 이와 같이 매우 얇은 산화막의 막 두께는 측정 장치의 차이, 또는 앞의 수학식 1에서 사용되는 정수 λ 또는 β의 값에 따라 변화될 가능성이 있다. 그래서, 본 발명에서 이상에서 설명한 0.4nm의 막 두께를, 도 74A 및 74B의 관계로부터, 산화막의 화학 시프트가 약 4eV가 되는 최소의 정류막 두께로 정의하는 것도 가능하다.
이러한 0.4nm의 막 두께에서의 산화막 두께의 정류 현상은, 도 4A 및 4B의 UV-O2 라디칼 산화 처리에 한정되지 않고, 균일하게 얇은 산화막을 정밀도 좋게 형성할 수 있는 산화막 형성 방법이면 동일하게 나타나는 것으로 생각된다.
도 9B의 상태로부터 추가로 산화를 계속하면 산화막의 두께는 다시 증대한다.
도 10은 이와 같이 도 3의 기판 처리 장치를 사용한 도 4A 및 4B의 UV-O2 산화 처리에 의해 형성된 산화막 상에 두께가 0.4nm인 ZrSiOx막과 전극막을 형성하고(후에 설명하는 도 11B를 참조), 수득된 적층 구조에 대해 구한 열산화막 환산 막 두께 Teq와 누설 전류 Ig의 관계를 나타낸다. 단, 도 10의 누설 전류 특성은 상기 전극막과 실리콘 기판 사이에 플랫 밴드 전압 Vfb를 기준으로 Vfb-0.8V의 전압을 인가한 상태에서 측정하고 있다. 비교를 위해, 도 10 중에는 열산화막의 누설 전류 특성도 나타내고 있다. 또한 도시하고 있는 환산 막 두께는 산화막과 ZrSiOx막을 합친 구조에 관한 것이다.
도 10을 참조하면, 산화막을 생략한 경우, 즉 산화막의 막 두께가 0nm인 경 우에는 누설 전류 밀도가 열산화막의 누설 전류 밀도를 초과하고, 또한 열산화막 환산 막 두께 Teq도 약 1.7nm 정도의 비교적 큰 값이 됨을 알 수 있다.
이에 대해, 산화막의 막 두께를 0nm에서 0.4nm까지 증대시키면, 열산화막 환산 막 두께 Teq의 값이 감소하기 시작함을 알 수 있다. 이러한 상태에서는 산화막이 실리콘 기판과 ZrSiOx막 사이에 개재하게 되어 물리막 두께는 실제로는 증대될 것인데 환산 막 두께 Teq는 감소하고 있지만, 이는 실리콘 기판 상에 ZrSiOx막을 직접 형성한 경우, 도 11A에 도시한 바와 같이 Zr의 실리콘 기판 중으로의 확산 또는 Si의 ZrSiOx막 중으로의 확산이 대규모로 생겨 실리콘 기판과 ZrSiOx막 사이에 두꺼운 계면층이 형성되고 있음을 시사하고 있다. 이에 대해, 도 11B에 도시한 바와 같이 두께가 0.4nm인 산화막을 개재시킴으로써 이러한 계면층의 형성이 억제되고, 결과적으로 환산 막 두께가 감소하는 것으로 생각된다. 이에 따라 누설 전류의 값도 산화막의 두께와 함께 감소함을 알 수 있다. 단, 도 11A 및 11B는 이렇게 하여 형성된 시료의 개략적인 단면을 나타내고 있고, 실리콘 기판(41) 상에 산화막(42)이 형성되어 산화막(42) 상에 ZrSiOx막(43)이 형성되어 있는 구조를 나타내고 있다.
한편, 상기 산화막의 막 두께가 0.4nm를 초과하면, 열산화막 환산 막 두께의 값은 다시 증대하기 시작한다. 산화막의 막 두께가 0.4nm를 초과한 범위에서는 막 두께의 증대와 함께 누설 전류의 값도 감소하고 있어, 환산 막 두께의 증대는 산화막의 물리막 두께의 증대에 기인하는 것으로 생각된다.
이와 같이, 도 5에서 관측된 산화막의 성장이 정류하는 0.4nm 부근의 막 두 께는 산화막과 고유전체막으로 이루어지는 계의 환산 막 두께의 최소값에 대응하고 있어, 도 9B에 나타낸 안정적인 산화막에 의해 Zr 등의 금속 원소의 실리콘 기판 중으로의 확산이 효과적으로 저지되고, 그 이상 산화막의 두께를 증대시켜도 금속 원소의 확산 저지 효과는 그다지 높아지지 않음을 알 수 있다.
또한 0.4nm 두께의 산화막을 사용한 경우의 누설 전류의 값은 대응하는 두께의 열산화막 누설 전류값보다 2자리수 정도 작고, 이러한 구조의 절연막을 MOS 트랜지스터의 게이트 절연막에 사용함으로써 게이트 누설 전류를 최소화할 수 있음을 알 수 있다.
또한, 도 5 또는 도 8에서 설명한 산화막 성장의 0.4nm에서의 정류 현상의 결과, 도 12A에 도시한 바와 같이 실리콘 기판(41) 상에 형성된 산화막(42)에 당초 막 두께의 변화없이 요철이 존재하여도, 산화막 성장시에 막 두께의 증대가 도 12B에 도시한 바와 같이 0.4nm의 근방에서 정류하기 때문에, 정류 기간 내에 산화막 성장을 계속함으로써 도 12C에 나타낸 매우 평탄한 균일한 막 두께의 산화막(42)을 수득할 수 있다.
앞에서도 설명한 바와 같이, 매우 얇은 산화막에 대해서는 현상태에서는 통일된 막 두께 측정 방법이 존재하지 않는다. 이 때문에, 도 12C의 산화막(42)의 막 두께값 자체는 측정 방법에서 상이할 가능성이 있다. 그러나, 앞서 설명한 이유로부터, 산화막 성장에 정류가 생기는 두께는 2원자층 분량의 두께임을 알 수 있고, 따라서 바람직한 산화막(42)의 막 두께는 약 2원자층 분량의 두께라고 생각된다. 이 바람직한 두께에는 2원자층 분량의 두께가 산화막(42) 전체에 걸쳐 확보되 도록 부분적으로 3원자층 분량 두께의 영역이 형성되어 있는 경우도 포함된다. 즉, 바람직한 산화막(42)의 두께는 실제로는 2 내지 3원자층의 범위라고 생각된다.
리모트 플라즈마 라디칼 질화(RF-N 2 ) 처리
도 13은 도 3의 기판 처리 장치(20)에서 사용되는 리모트 플라즈마원(26)의 구성을 나타낸다.
도 13을 참조하면, 리모트 플라즈마원(26)은 내부에 기체 순환 통로(26a)와 이에 연통한 기체 입구(26b) 및 기체 출구(26c)를 형성한, 전형적으로는 알루미늄으로 이루어진 블록(26A)을 포함하고, 상기 블록(26A)의 일부에는 페라이트 코어(26B)가 형성되어 있다.
상기 기체 순환 통로(26a) 및 기체 입구(26b)와 기체 출구(26c)의 내면에는 불소수지 코팅(26d)이 실시되고, 상기 페라이트 코어(26B)에 감긴 코일에 수직으로 주파수가 400kHz인 고주파(RF) 파워를 공급함으로써, 상기 기체 순환 통로(26a) 내에 플라즈마(26C)가 형성된다.
플라즈마(26C)의 여기에 수반하여 상기 기체 순환 통로(26a) 중에는 질소 라디칼 및 질소 이온이 형성되지만, 직진성이 강한 질소 이온은 상기 순환 통로(26a)를 순환할 때 소멸하고, 상기 기체 출구(26c)에서는 주로 질소 라디칼 N2 *가 방출된다. 또한 도 13의 구성에서는 상기 기체 출구(26c)에 접지된 이온 필터(26e)를 설치함으로써 질소 이온을 비롯한 하전 입자가 제거되고, 상기 처리 공간(21B)에는 질소 라디칼만이 공급된다. 또한, 상기 이온 필터(26e)를 접지시키지 않는 경우에 도 상기 이온 필터(26e)의 구조는 확산판으로서 작용하기 때문에, 충분히 질소 이온을 비롯한 하전 입자를 제거할 수 있다. 또한, 대량의 N2 라디칼을 필요로 하는 공정을 실행하는 경우에는 이온 필터(26e)에서의 N2 라디칼의 충돌에 의한 소멸을 막기 위해 이온 필터(26e)를 떼어내는 경우도 있다.
도 14A는 도 13의 리모트 플라즈마원(26)에 의해 형성되는 이온의 수와 전자 에너지의 관계를, 도 14B에 나타낸 표준적인 고주파 플라즈마원 및 도 14C에 나타낸 표준적인 마이크로파 플라즈마원의 경우와 비교하여 나타낸다.
도 14A를 참조하면, 마이크로파에 의해 플라즈마를 여기시킨 경우에는 질소 분자의 이온화가 촉진되어 다량의 질소 이온이 형성되게 된다. 이에 대해 500kHz 이하의 고주파(RF) 파워에 의해 플라즈마를 여기시킨 경우에는 형성되는 질소 이온의 수가 대폭 감소한다.
그러나, 고주파 플라즈마의 경우, 전자 에너지가 높은 이온의 비율이 커지기 때문에 기판에 손상을 발생시키는 결점이 있다. 그러나, 도 13과 같은 구성으로 하면, 직진성이 강한 질소 이온은 기체 순환 통로(26a) 내에서 소멸하여 N2 라디칼만을 선택적으로 처리 용기 중에 도입할 수 있게 된다.
또한, 앞에서도 설명한 바와 같이, 도 14B 및 14C는 각각 표준적인 고주파 플라즈마원 및 표준적인 마이크로파 플라즈마원의 구성을 나타낸다.
도 14B를 참조하면, 석영 라이너(426d)로 덮여진 플라즈마실(426D) 중에는 상부의 기체 도입구(426b)로부터 처리 기체를 도입하고, 이를 고주파 여기시킴으로 써 상기 플라즈마실(426D) 중에 플라즈마(426C)를 형성한다.
상기 플라즈마(426C)에 수반하여 형성된 질소 이온 및 질소 라디칼은 하측의 처리실에 도입되어 플라즈마 질화가 수행된다. 그러나, 이러한 구성의 플라즈마원에서는 직진성이 강한 질소 이온을 완전히 제거하는 것은 트랩(426c)을 설치하였더라도 곤란하다.
도 14C는 마이크로파 플라즈마원도 동일하고, 플라즈마 여기에 마이크로파가 사용된다는 점이 상이할 뿐이다. 따라서, 도 14C의 마이크로파 플라즈마원에서도 직진성이 강한 질소 이온을 라디칼로부터 분리하여 제거하는 것은 트랩을 설치하였더라도 곤란하다.
이와 같이, 도 13에 나타낸 라디칼원을 사용함으로써, 도 14A에 점선으로 나타낸 이온 분포가 수득되어 이온수를 줄인 이상적인 리모트 플라즈마 질화를 수행할 수 있게 된다.
마이크로파에 의해 플라즈마 처리를 수행하는 경우에는 도 15에 도시한 바와 같이 1.33×10-3 내지 1.33×10-6Pa(10-1 내지 10-4Torr)의 고진공이 필요하게 되지만, 고주파 플라즈마 처리는 13.3Pa 내지 1.33kPa(0.1 내지 10Torr)의 비교적 높은 압력에서 실행가능하다.
다음으로, 리모트 플라즈마 처리에 적당한 플라즈마 여기 주파수 및 처리압에 대해 고찰한다.
도 72는 플라즈마 여기 주파수와 플라즈마 착화 압력 범위의 관계를 나타낸 다.
도 72를 참조하면, 플라즈마 착화 압력 범위는 사용되는 플라즈마 여기 주파수에 따라 변화되고, 예컨대 플라즈마 여기 주파수가 400kHz인 경우 1.33Pa 내지 1.33kPa(0.01 내지 10Torr)의 범위가 되고, 또한 플라즈마 여기 주파수가 2.45GHz인 경우 13.3mPa 내지 1.33kPa(0.1mTorr 내지 0.01Torr)의 범위가 됨을 알 수 있다.
여기서 바람직한 처리압에 대해 고찰하면, 처리압이 지나치게 낮으면 처리 용기 중에 도입된 질소 라디칼은 확산되어 버리고, 예컨대 도 3의 기판 처리 장치(20)에서 기판(W)을 회전시켜도 라디칼원에 가까운 기판 주변부만이 질화되는 등, 질화 처리에 불균일이 생긴다. 이러한 점에서, 도 3의 기판 처리 장치(20)에서 도 13의 리모트 라디칼원(26)을 사용한 경우, 균일한 질화 처리를 수행하기 위해서는 라디칼 흐름을 어느 정도 제어할 필요가 있고, 이 때문에 처리 용기(21) 중에서의 처리압을 도 72 중에 선 A로 나타낸 0.01Torr(1.33Pa) 이상의 값으로 제어해야 한다. 한편, 상기 처리 용기(21) 중에서의 처리압이 지나치게 높으면 질소 라디칼은 충돌에 의해 소멸되어 버리기 때문에, 상기 처리 용기(21) 중에서의 처리압은 도 72 중에 선 B로 나타낸 3Torr(399Pa) 이하의 값으로 제어해야 한다.
다음으로, 바람직한 플라즈마 여기 주파수에 대해 고찰하면, 플라즈마 여기 주파수가 선 C로 나타낸 4kHz 미만이 되면 이온이 크게 가속되어 고에너지 이온에 의한 기판의 손상이 증대하기 때문에, 플라즈마 여기 주파수는 4kHz 이상으로 설정하는 것이 바람직하다. 한편, 플라즈마 여기 주파수가 도 72 중 선 D로 나타낸 13.56MHz를 초과하면 큰 유량에서의 플라즈마 처리가 곤란해지기 때문에, 플라즈마 여기 주파수는 13.56MHz를 초과하지 않도록 설정하는 것이 바람직하다.
결국, 도 3의 기판 처리 장치(20)에서 도 13의 라디칼원(26)을 사용하여 수행하는 라디칼 질화 처리에 있어서는 처리압과 주파수를, 도 72 중 선 A 내지 D로 구획되는 사선으로 나타낸 영역 내에 설정하는 것이 바람직하다. 본 발명에서는 대표적인 플라즈마 여기 주파수로서 약 400kHz의 주파수를 사용하지만, 이는 상기 범위를 포함하는 것이다. 특히 40kHz 내지 4MHz의 범위에서는 실질적으로 동일한 플라즈마 처리가 실현될 수 있다.
이하의 표 1은 마이크로파에 의해 플라즈마를 여기시키는 경우와 고주파(RF) 파워에 의해 플라즈마를 여기시키는 경우 사이에서의 이온화 에너지 변환 효율, 방전가능 압력 범위, 플라즈마 소비 전력 및 처리 기체 유량의 비교를 나타낸다.
Figure 112004002249904-pct00002
표 1을 참조하면, 이온화 에너지 변환 효율은 마이크로파 여기의 경우에 약 1×10-2 정도인데 대해, RF 여기의 경우 약 1×10-7까지 감소하고, 또한 방전가능 압력은 마이크로파 여기의 경우 0.1mTorr 내지 0.1Torr(133mPa 내지 13.3Pa) 정도인데 대해, RF 여기의 경우에는 0.1 내지 100Torr(13.3Pa 내지 13.3kPa) 정도임을 알 수 있다. 이에 따라, 플라즈마 소비 전력은 RF 여기의 경우가 마이크로파 여기의 경우보다 크고, 처리 기체 유량은 RF 여기의 경우가 마이크로파 여기의 경우보다 훨씬 크게 된다.
도 3의 기판 처리 장치에서는 산화막의 질화 처리를 질소 이온이 아닌 질소 라디칼 N2 *로 수행하고 있고, 이 때문에 여기되는 질소 이온의 수는 적은 쪽이 바람직하다. 또한 피처리 기판에 부가되는 손상을 최소화하는 관점에서도 여기되는 질소 이온의 수는 적은 것이 바람직하다. 또한 도 3의 기판 처리 장치에서는 여기되는 질소 라디칼의 수도 적고, 고유전체 게이트 절연막 아래의 매우 얇은, 기껏 2 내지 3원자층 정도의 두께밖에 안되는 베이스 산화막을 질화시키는데 바람직하다. 이러한 고주파 플라즈마 여기 질소 라디칼을 사용하여 수행하는 산화막의 질화 처리를 이하에서는 RF-N2 처리라 한다.
앞에서도 설명한 바와 같이, 도 13의 리모트 플라즈마 라디칼원(26)을 사용함으로써 도 3의 기판 처리 장치(20)에서 큰 유량의 처리 기체를 처리 용기(21) 중에 도입할 수 있게 되기 때문에, 이러한 RF-N2 처리에서는 결과적으로 기판 표면에서의 질소 농도 분포가 균일한 뛰어난 질화 처리가 가능하게 된다.
처리 기체 유량을 증대시킨 경우, 질화되는 영역은 처리 기체 유량이 적은 경우와 다르고, 도 3에 있어서의 플라즈마원(26)과 배기구(21A)를 맺는 기판 중심축 근방의 영역에 한정되며, 또한 Ar 기체와 질소 기체의 유량을 합계한 처리 기체 유량을 제어함으로써, 기판 상에서의 상기 질화 영역의 상기 배기구(21A)의 방향으 로의 연재량(延在量)을 조정할 수 있다. 그래서 상기 연재량을 최적화한 뒤에 피처리 기판(W)을 회전시킴으로써, 기판 표면 상에서의 질소 농도의 균일성이 향상된다. 또한, 도 3의 구성에서는 Ar 기체를 질소 기체에 첨가함으로써 질소 라디칼의 수명이 길어지는 효과도 고려할 수 있고, Ar 기체의 첨가가 본 발명의 RF-N2 처리에 있어서의 면내 균일성을 향상시키고 있을 가능성도 있다.
또한 마이크로파 플라즈마를 사용한 질화 처리에 있어서도, 큰 기체 유량에서의 질화 처리가 가능하면, 리모트 플라즈마 질화 처리에 있어서 도달되는 면내 균일성과 동일한 정도의 면내 균일성을 실현할 수 있을 가능성이 있다.
도 16A 및 16B는 각각 도 3의 기판 처리 장치(20)를 사용하여 피처리 기판(W)의 라디칼 질화(RF-N2 처리)를 수행하는 경우를 나타내는 측면도 및 평면도이다.
도 16A 및 16B를 참조하면, 리모트 플라즈마 라디칼원(26)에는 Ar 기체와 질소 기체가 공급되고, 플라즈마를 수 백 kHz의 주파수로 고주파 여기시킴으로써 질소 라디칼이 형성된다. 형성된 질소 라디칼은 상기 피처리 기판(W)의 표면을 따라 흘러, 상기 배기구(21A) 및 펌프(24)를 통해 배기된다. 그 결과, 상기 처리 공간(21B)은 기판(W)의 라디칼 질화에 적당한 1.33Pa 내지 1.33kPa(0.01 내지 10Torr) 범위의 처리압으로 설정된다. 특히 6.65 내지 133Pa(0.05 내지 1.0Torr)의 압력 범위를 사용하는 것이 바람직하다. 이렇게 하여 형성된 질소 라디칼은 상기 피처리 기판(W)의 표면을 따라 흐를 때 피처리 기판(W)의 표면을 질화시킨다.
도 16A 및 16B의 질화 공정에서는, 질화 공정에 앞선 퍼지 공정에서는 상기 밸브(23A 및 23C)가 개방되고 밸브(24A)가 폐쇄됨으로써 상기 처리 공간(21B)의 압력이 1.33×10-1 내지 1.33×10-4Pa의 압력까지 감압되고, 처리 공간(21B) 중에 잔류하고 있는 산소나 수분이 퍼지되지만, 그 후의 질화 처리에서는 밸브(23A 및 23C)가 폐쇄되어 터보 분자 펌프(23B)는 처리 공간(21B)의 배기 경로에는 포함되지 않는다.
이와 같이, 도 3의 기판 처리 장치(20)를 사용함으로써, 피처리 기판(W)의 표면에 매우 얇은 산화막을 형성하고, 그 산화막 표면을 추가로 질화시킬 수 있게 된다.
도 17A는 도 3의 기판 처리 장치(20)에 의해 실리콘 기판 상에 열산화 처리에 의해 2.0nm의 두께로 형성된 산화막을, 도 13의 RF 리모트 플라즈마원(26)을 사용하여, 표 2에 나타내는 조건으로 RF-N2 처리를 수행한 경우의 상기 산화막 중에서의 질소 농도 분포를 나타내고, 도 17B는 동일한 산화막 중에서의 질소 농도 분포와 산소 농도 분포의 관계를 나타낸다.
Figure 112004002249904-pct00003
표 2를 참조하면, 기판 처리 장치(20)를 사용한 RF-N2 처리시에는 상기 처리 공간(21B) 중에 질소를 50SCCM의 유량으로 공급하고 Ar을 2SLM의 유량으로 공급하며, 질화 처리는 1Torr(133Pa)의 압력 하에서 수행되지만, 질화 처리 개시 전에 일단 처리 공간(21B)의 내압을 10-6Torr(1.33×10-4Pa) 정도까지 감압하여, 내부에 잔류하고 있는 산소 또는 수분을 충분히 퍼지하고 있다. 이 때문에, 상기 1Torr 정도의 압력에서 수행되는 질화 처리(RF-N2 처리)시에는 상기 처리 공간(21B) 중에서 잔류 산소는 Ar 및 질소에 의해 희석되어 있고, 잔류 산소 농도, 따라서 잔류 산소의 열역학적인 활동도는 매우 작아져 있다.
이에 대해, 마이크로파 플라즈마를 사용한 질화 처리에서는 질화 처리시의 처리 압력이 퍼지압과 동일한 정도이고, 따라서 플라즈마 분위기 중에서 잔류 산소는 높은 열역학적인 활동도를 갖는 것으로 생각된다.
도 17A를 참조하면, 마이크로파 여기 플라즈마에 의해 질화된 경우에는 산화막 중에 도입되는 질소의 농도는 한정되어 있어, 산화막의 질화는 실질적으로 진행되지 않음을 알 수 있다. 이에 대해 본 실시양태와 같이 RF 여기 플라즈마에 의해 질화된 경우에는 산화막 중에서 질소 농도가 깊이와 함께 직선적으로 변화되어, 표면 근방에서는 20% 가까운 농도에 이르고 있음을 알 수 있다.
도 18은 XPS(엑스선 분광 스펙트럼)를 사용하여 수행하는 도 17A의 측정의 원리를 나타낸다.
도 18을 참조하면, 실리콘 기판(11) 상에 산화막(12)을 형성한 시료에는 소정의 각도로 비스듬히 엑스선이 조사되고, 여기된 엑스선 스펙트럼을 검출기 DET(1) 및 DET(2)에 의해 여러가지 각도로 검출한다. 그 때, 예컨대 90°의 깊은 검출각으로 설정된 검출기 DET(1)에서는 여기 엑스선의 산화막(12) 내에서의 행로가 짧고, 따라서 상기 검출기 DET(1)에서 검출되는 엑스선 스펙트럼에는 산화막(12)의 하부의 정보를 많이 포함하고 있는데 대해, 얕은 검출각으로 설정된 검출기 DET(2)에서는 여기 엑스선의 산화막(12) 중에서의 행로가 길고, 따라서 검출기 DET(2)는 주로 산화막(12)의 표면 근방의 정보를 검출한다.
도 17B는 상기 산화막 중에서의 질소 농도와 산소 농도의 관계를 나타낸다. 단, 도 17B 중 산소 농도는 O1s 궤도에 대응하는 X선 강도에 의해 표시되어 있다.
도 17B를 참조하면, 산화막의 질화를 본 발명과 같이 RF 리모트 플라즈마를 사용한 RF-N2 처리로 수행한 경우에는 질소 농도의 증대에 따라 산소 농도가 감소하고 있어 산화막 중에서 질소 원자가 산소 원자를 치환하고 있음을 알 수 있다. 이에 대해 산화막의 질화를 마이크로파 플라즈마로 수행한 경우에는 이러한 치환 관계는 보이지 않고, 질소 농도와 함께 산소 농도가 저하되는 관계는 보이지 않는다. 또한 특히 도 17B에서는 마이크로파 질화에 의해 5 내지 6%의 질소를 도입한 예에 있어서는 산소 농도의 증가가 보이고 있고, 이는 질화와 함께 산화막의 증막이 일어남을 시사하고 있다. 이러한 마이크로파 질화에 따른 산소 농도의 증가는 마이크로파 질화가 고진공 중에서 수행되고, 따라서 처리 공간 중에 잔류하는 산소 또는 수분이 고주파 리모트 플라즈마 질화의 경우와 같이 Ar 기체나 질소 기체에 의해 희석되지 않고 분위기 중에서 높은 활동도를 갖는 것에 의한 것으로 생각된다.
도 19는 도 3의 기판 처리 장치(20)에 있어서 산화막을 4Å(0.4nm) 및 7Å(0.7nm)의 두께로 형성하고, 이를 상기 리모트 플라즈마원(26)을 사용한 도 16A 및 16B의 RF-N2 처리에 의해 질화시킨 경우의 질화 시간과 막 중의 질소 농도의 관계를 나타낸다. 또한 도 20은 도 19의 질화 처리에 따른 질소의 산화막 막 표면으로의 편석의 모양을 나타낸다. 또한 도 19 및 20에는 산화막을 급속 열산화 처리에 의해 5Å(0.5nm) 및 7Å(0.7nm)의 두께로 형성한 경우도 나타내고 있다.
도 19를 참조하면, 막 중의 질소 농도는 어느 산화막이라도 질화 처리 시간과 동일하게 상승하지만, 특히 UV-O2 산화에 의해 형성된 2원자층 분량에 대응하는 0.4nm의 막 두께를 갖는 산화막의 경우 또는 이에 가까운 0.5nm의 막 두께를 갖는 열산화막의 경우에는 산화막이 얇기 때문에, 동일한 성막 조건에서 질소 농도가 높아진다.
도 20은 도 18에 있어서 검출기 DET(1) 및 DET(2)를 각각 30° 및 90°의 검출각으로 설정하여 질소 농도를 검출한 결과를 나타낸다.
도 20으로부터 알 수 있는 바와 같이, 도 20의 종축은 30°의 검출각에서 수득되는 막 표면에 편석되어 있는 질소 원자로부터의 엑스선 스펙트럼 강도를, 90°의 검출각에서 수득되는 막 전체에 분산되어 있는 질소 원자로부터의 엑스선 스펙트럼 강도의 값으로 나눈 것으로 되어 있고, 이를 질소 편석률이라고 정의한다. 이 값이 1 이상인 경우에는 표면으로의 질소의 편석이 생기고 있다.
도 20을 참조하면, 산화막이 상기 UV-O2 처리에 의해 7Å의 막 두께로 형성 되어 있는 막인 경우, 질소 편석률이 1 이상이 되어 질소 원자는 당초 표면에 편석되어 도 1의 산질화막(12A)과 같은 상태가 실현되고 있는 것으로 생각된다. 또한, 90초간의 RF-N2 처리를 수행한 후에는 막 중에 거의 균일하게 분포되어 있음을 알 수 있다. 또한 다른 막에서도 90초간의 RF-N2 처리로, 질소 원자의 막 중의 분포는 거의 균일하게 됨을 알 수 있다.
도 21의 실험에서는 도 3의 기판 처리 장치(20)에 있어서, 상기 UV-O2 처리 및 이하 RF-N2 처리를 10장의 웨이퍼(웨이퍼 #1 내지 웨이퍼 #10)에 대해 반복해서 실행하였다.
도 21은 이렇게 하여 수득된 산질화막의 웨이퍼 마다의 막 두께 변동을 나타낸다. 단, 도 21의 결과는 도 3의 구성에 있어서 상기 자외광원(25)을 구동시켜 수행하는 UV-O2 산화 처리시, XPS 측정에 의해 구한 산화막의 막 두께가 0.4nm가 되도록 산화막을 형성하고, 계속해서 이렇게 하여 형성된 산화막을, 상기 리모트 플라즈마원(26)을 구동시켜 수행하는 RF-N2 처리에 의해 질소 원자를 약 4% 함유하는 산질화막으로 변환시킨 경우에 관한 것이다.
도 21을 참조하면, 종축은 이렇게 하여 수득된 산질화막에 대해 엘립소메트리에 의해 구한 막 두께를 나타내는데, 도 21로부터 알 수 있는 바와 같이 수득된 막 두께는 거의 8Å(0.8nm)으로 일정함을 알 수 있다.
도 22는 도 3의 기판 처리 장치(20)에 의해 막 두께가 0.4nm인 산화막을 실 리콘 기판 상에 자외광원(25)을 사용한 UV-O2 처리에 의해 형성한 후, 이를 리모트 플라즈마원(26)에 의해 RF-N2 처리한 경우의, 질화에 의한 막 두께 증가를 조사한 결과를 나타낸다.
도 22를 참조하면, 당초(RF-N2 처리를 수행하기 전) 막 두께가 약 0.38nm이었던 산화막은 상기 RF-N2 처리에 의해 4 내지 7%의 질소 원자를 도입한 시점에서 막 두께가 약 0.5nm까지 증대하고 있음을 알 수 있다. 한편, RF-N2 처리에 의해 질소 원자를 약 15% 도입한 경우에는 막 두께는 약 1.3nm까지 증대하고 있고, 이 경우에는 도입된 질소 원자가 산화막을 통과하여 실리콘 기판 중에 침입하여 질화막을 형성하고 있는 것으로 생각된다.
도 22 중에는 두께가 0.4nm인 산화막 중에 질소를 1층 분량만 도입한 이상적인 모델 구조에 대한 질소 농도와 막 두께의 관계를 ▲로 나타내고 있다.
도 22를 참조하면, 이 이상적인 모델 구조에서는 질소 원자 도입 후의 막 두께가 약 0.5nm가 되고, 그 경우의 막 두께의 증가는 약 0.1nm, 질소 농도는 약 12%가 된다.
이 모델을 기준으로 하면, 도 3의 기판 처리 장치(20)에 의해 산화막의 질화를 수행하는 경우, 막 두께 증가는 동일한 정도인 0.1 내지 0.2nm로 억제하는 것이 바람직한 것으로 결론지어진다. 또한 그 때 막 중에 받아들여지는 질소 원자의 양은 최대 12% 정도가 된다고 추정된다.
도 23A 및 23B는 도 3의 기판 처리 장치(20)에 의해 실리콘 기판(W) 상에 산화막을, 실리콘 기판(W)을 구동 기구(22C)에 의해 회전시키면서 2nm의 두께로 형성하고, 형성된 산질화막의 질소 농도 분포 및 막 두께 분포를 측정한 결과를 나타낸다. 단, 도 23A 및 23B의 실험은 2nm의 두께로 산화막이 형성된 실리콘 기판을 회전시키면서, 133Pa의 압력 하에 450℃의 기판 온도로 Ar 기체를 2SLM, 질소 기체를 50SCCM의 유량으로 공급하면서 수행하고 있다. 도 23A 중, 기판 표면 중 질소가 농축되어 있는 부분이 밝게 표시되어 있다. 또한 도 23B에는 엘립소메트리로 구한 산질화막의 막 두께와 XPS 분석으로 구한 질소 농도가 표시되어 있다.
도 23A 및 23B의 결과는 도 3의 기판 처리 장치(20)에 있어서 이와 같이 기판(W)을 회전시키고, 또한 Ar 기체 및 질소 기체의 유량을 최적화함으로써, 비대칭의 라디칼 흐름이 발생하는 기판 처리 장치(20)에서도 기판(W)의 표면 전체에 걸쳐 거의 균일한 질소 분포를 실현할 수 있음을 나타내고 있다.
도 24는 앞서 설명한 도 22에 대응하는 도면이고, 도 25의 흐름도에 나타낸 바와 같은 UV-O2 처리(스텝 S1)에 의해 형성된 산화막에 대해 RF-N2 처리(스텝 S2)를 수행하여 수득된 산질화막 중의 질소 농도와 XPS법에 의해 측정한 막 두께의 관계를 나타낸다. 단, 도 24에서는 상기 RF-N2 처리에 앞서 산화막의 초기 막 두께를 다양하게 변화시키고 있다.
도 24를 참조하면, ●는 산화막의 초기 막 두께가 0.4nm인 경우의 XPS 막 두께와 막 중 질소 농도의 관계를 나타내고, 상기 도 22 중에 ●로 나타낸 경우에 대 응하지만, 도 24의 실험에서는 이렇게 하여 수득된 산질화막 중의 질소 농도는 막 두께가 약 0.8nm까지의 범위이면 XPS 막 두께와 함께 직선적으로 증대함을 알 수 있다.
이에 대해, 도면 중 ■로 나타낸 예는 산화막의 초기 막 두께를 0.3nm로 한 경우에 대응하지만, 역시 수득된 산질화막 중의 질소 농도는 XPS 막 두께와 함께 산화막 초기 막 두께가 0.4nm인 경우와 거의 동일한 구배로 직선적으로 증대함을 알 수 있다.
그래서, 이러한 산질화막을 앞서 도 1에서 나타낸 바와 같은 고유전체 게이트 절연막(3) 아래의 베이스 산화막(2)으로서 사용하는 경우, 상기 베이스 산화막(2) 중에 질소를 도입함으로써 실리콘 기판(1)의 산화는 억제되지만, 도 24의 관계로부터, 질소 농도가 지나치게 높으면 수득된 산질화막의 물리막 두께가 증대해 버려 고유전체 게이트 절연막(3)을 사용하는 효과가 상쇄되어 버림을 알 수 있다.
그래서, 이와 같이 UV-O2 처리에 의해 형성된 산화막(이하 UV-O2 산화막이라 한다)을 RF-N2 처리하여 형성된 산질화막 중에 20% 이상의 농도로 질소를 도입하고자 하면, 상기 UV-O2 산화막의 초기 막 두께는 0.4nm보다 작아야 함을 알 수 있다. 즉, 이와 같이 고농도의 질소를 도입한 산질화막을 고유전체 게이트 절연막의 베이스 산화막으로서 사용하는 경우에는 상기 UV-O2 산화막의 초기 막 두께를 0.4nm보다 작게 설정해야 한다.
도 26A 내지 26C는 UV-O2 처리에 의해 실리콘 기판(1) 상에 산화막(2)을 형성하고, 또한 형성된 산화막(2) 상에 고유전체막(3)으로서 HfO2막을 형성한 경우의, Si 기판(1) 상에 형성된 구조에 대해 XPS법에 의해 구해진 Si2p 궤도의 스펙트럼을 나타낸다. 단, 도 26A는 상기 산화막(2)을 형성한 상태에서의 스펙트럼을, 도 26B는 상기 산화막(2) 상에 HfO2막을 형성한 상태에서의 스펙트럼을, 또한 도 26C는 이렇게 하여 형성된 HfO2막을 열처리한 경우의 스펙트럼을 나타낸다. 또한 도 26A 내지 26C의 각각에 대해 대응하는 개략적 소자 구조를 나타낸다. 단, 도 26A 내지 26C 중 앞서 설명한 부분에는 동일한 참조부호를 붙이고 설명을 생략한다.
도 26A 내지 26C를 참조하면, 도 26A의 상태에서 Si2p 궤도의 스펙트럼 피크 A 이외에 화학 시프트한 Si2p 궤도의 낮은 스펙트럼 피크 B가 관측되지만, 도 26B의 상태에서 피크 B의 강도는 증대하고, 특히 도 26C의 열처리를 수행한 후의 상태에서는 피크 B의 강도는 피크 A의 강도에 필적할 때까지 증대함을 알 수 있다.
상기 피크 B에 대응하는 화학 시프트는 기판(1) 및 산화막(2) 중의 Si 원자가 HfO2막으로부터의 산소 원자와 결합함으로써 발생하는 것이고, Si2p 궤도 스펙트럼의 화학 시프트의 원인이 모두 실리콘 기판(1)의 계면 반응에 있다고 가정하면, 상기 피크 B의 면적으로부터, 도 26C의 열처리 공정에 따른 산화막(2)의 증막 정도를 추정할 수 있다.
도 27은 다양한 초기 막 두께의 산화막 상에 HfO2막을 형성하고, 추가로 열처리를 수행한 경우에 대해, HfO2막 형성 전과 열처리 후에 산화막(2)의 막 두께의 변화를 평가한 결과를 나타낸다. 단, 도 27 중 횡축은 도 26A에 대응하는 HfO2 막 형성 전의 산화막의 초기 막 두께를, 종축은 도 26C에 대응하는 열처리 후의 산화막의 막 두께를 나타낸다.
도 27로부터, 예컨대 UV-O2 산화막의 초기 막 두께가 0.4nm를 초과한 점에서 최종 막 두께의 감소 또는 정류가 일어나고 있음을 알 수 있다. 그래서, 이 증막의 정류가 실리콘 기판 표면에서의 계면 반응의 억제에 대응한다고 생각하면, ZrSiO4막을 형성하는 앞의 실시양태에서는 산화막(2)의 막 두께가 0.4nm인 경우에 막 형성이 정류하기 때문에 이 막 두께가 최적이 되었지만, 고유전체막을 열처리하는 경우, 이러한 실리콘 기판 표면에서의 계면 반응의 억제의 관점에서 산화막(2)의 최적값이 0.4nm보다 더욱 두꺼운 곳에 존재할 가능성도 있다.
이와 같이, 계면 반응의 정도는 고유전체막의 종류 또는 그 반응성, 후속 열처리의 정도 등으로 변화되기 때문에, 계면 반응을 억제하기 위해서는 계면막으로서 0.4nm보다 두꺼운 막이 필요하게 되는 경우도 있다. 물론, 고유전체 게이트 절연막 전체의 프로세스는 계면 반응이 최소가 되도록 설계해야 하고, 또한 베이스 산화막(2)의 막 두께는 이상적으로는 0.4nm이어야 한다. 결론적으로, 베이스 산화막(2)은 2 내지 4원자층 범위의 막 두께를 갖는 것이 바람직하고, 2 내지 3원자층 범위의 막 두께를 갖는 것이 보다 바람직하고, 2원자층의 막 두께를 갖는 것이 가장 바람직하다.
제 2 실시양태
도 28A는 도 3의 기판 처리 장치(20)를 사용하여 수행하는, 본 발명의 제 2 실시양태에 따른 실리콘 기판 표면으로의 산질화막의 형성 공정을 나타내는 흐름도이고, 도 28B는 도 3의 기판 처리 장치(20)에 있어서 실리콘 기판 표면에 산화막을 형성한 후 기판을 대기 중에 취출하고, 또한 기판을 상기 기판 처리 장치(20)의 처리 용기(21)로 되돌려 상기 산화막을 RF-N2 처리하여 산질화막을 형성하는, 도 28A의 공정에 대한 비교예에 따른 산질화막의 형성 공정을 나타내는 흐름도이다.
먼저 도 28B의 비교예를 참조하면, 스텝 21에 있어서 도 3의 기판 처리 장치(20) 중에서 피처리 기판(W) 표면에, 앞서 설명한 UV-O2 처리 공정에 의해 자외광 여기 산소 라디칼을 사용하여 실리콘 산화막을 형성하고, 다음으로 스텝 22에 있어서 상기 피처리 기판(W)을 처리 용기(21) 밖으로 일단 반출한 후, 상기 처리 용기(21) 내부를 고진공 상태로 배기하고, 다시 피처리 기판(W)을 처리 용기(21) 중으로 되돌린다. 또한 스텝 23에 있어서 앞서 설명한 RF 여기 질소 라디칼을 사용한 RF-N2 처리를 수행하여 상기 실리콘 산화막을 실리콘 산질화막으로 변환시킨다.
도 28B의 공정에서는 스텝 22에 있어서 상기 처리 용기(21) 내부가 고진공 상태로 배기되기 때문에, 스텝 23에 있어서의 RF-N2 처리 공정에서 산소에 의한 오 염이 없어, 산화에 의한 산질화막의 증막이 최소한으로 억제되는 것으로 생각된다.
이에 대해 도 28A의 공정에서는 기판 처리량을 향상시키기 위해 스텝 21에 대응하는 스텝 11의 UV-O2 처리 공정을 끝낸 피처리 기판(W)은 그대로 상기 처리 용기(21) 중에 유지되고, 스텝 12의 퍼지 공정 후, 스텝 23에 대응하는 RF 여기 질소 라디칼을 사용한 RF-N2 처리 공정(13)에 의해 상기 실리콘 산화막이 실리콘 산질화막으로 변환된다.
도 29A는 도 28A의 공정에 의해 형성된 산질화막의 XPS법에 의해 구해진 막 두께와, 도 28B의 공정에 의해 형성된 산질화막의 XPS법에 의해 구해진 막 두께를 비교하여 나타낸다. 단, 도 29A 중 횡축은 도 28A의 스텝 13 또는 도 28B의 스텝 23에 있어서의 질화 시간을 나타낸다. 도 29A 중 ■은 도 28A의 공정을, ◆는 도 28B의 공정을 나타낸다.
도 29A를 참조하면, 질화 처리의 진행과 함께 산질화막의 막 두께도 증대하지만, 도 28A의 공정과 도 28B의 공정에서 실질적인 차이는 관찰되지 않고 도 28A의 공정에서도 충분한 산소 퍼지가 실현됨을 알 수 있다.
도 29B는 이렇게 하여 산질화막 중에 받아들여진 질소 원자의 농도를, 도 28A의 공정과 도 28B의 공정에 비교하여 나타낸다. 단, 도 29B 중 횡축은 도 28A의 스텝 13 또는 도 28B의 스텝 23에 있어서의 질화 시간을 나타낸다. 도 29B 중 ■는 도 28A의 공정을, ◆는 도 28B의 공정을 나타낸다.
도 29B를 참조하면 산질화막 중에 받아들여진 질소 원자의 농도는 도 28A의 공정에서도 도 28B의 공정에서도 실질적으로 차이가 없고, 도 29A에 보이는 증막은 산질화막 중으로의 질소의 도입에 기인하는 것으로 해석된다.
다음으로 본 발명의 발명자는 도 3의 기판 처리 장치(20)를 사용하여 자외광원(25) 대신에 리모트 플라즈마원(26)에 의해 산소 라디칼을 발생시켜 산화막을 형성하고(이하 RF-O2 처리라 한다), RF-O2 처리에 의해 형성된 산화막을 상기 리모트 플라즈마원(26)에 의해 발생된 질소 라디칼을 사용한 RF-N2 처리에 의해 질화시킴으로써 산질화막을 형성하는 실험을 수행하였다.
도 30A의 실험에서는 스텝 31에 있어서 상기 리모트 플라즈마원(26)에 Ar 기체와 산소 기체를 도입하여 RF-O2 처리를 수행하고, 스텝 32에 있어서 상기 처리 용기(21)를 고진공 상태로 퍼지한 후, Ar로 처리 용기(21)를 4회 퍼지하고, 추가로 스텝 33에 있어서 상기 리모트 플라즈마원(26)을 사용한 RF-N2 처리를 수행하고 있다.
이에 대해, 도 30B의 실험에서는 스텝 41에 있어서 상기 스텝 31과 마찬가지로 상기 리모트 플라즈마원(26)에 Ar과 O2를 도입하여 RF-O2 처리를 수행하고, 그 후 스텝 42에 있어서 피처리 기판(W)을 처리 용기(21) 밖으로 반출한다. 이 상태에서 상기 처리 용기(21) 내부를 고진공 상태로 배기한 후, 피처리 기판(W)을 처리 용기(21)로 되돌리고, 추가로 스텝 43에 있어서 상기 스텝 33과 동일한 RF-N2 처리를 수행한다.
도 31A는 이렇게 하여 도 30A의 공정에 의해 형성된 산질화막의 XPS법으로 구한 막 두께를, 도 30B의 공정에 의해 형성된 산질화막의 XPS법으로 구한 막 두께와 비교하여 나타낸다. 단, 도 31A 중 ■는 도 30A의 공정에 대응하고, ◆는 도 30B의 공정에 대응한다.
도 31A를 참조하면, 피처리 기판(W) 상에 상기 산화막을 상기 리모트 플라즈마원(26)에 의한 RF-O2 처리에 의해 형성한 경우에는 도 30A의 공정을 사용하면 실질적인 증막이 생김을 알 수 있다.
도 31B는 도 30A의 공정에 의해 형성된 산질화막 중의 질소 원자의 농도를, 도 30B의 공정에 의해 형성된 산질화막 중의 질소 원자의 농도와 비교하여 나타낸다. 단, 도 31B 중 ■는 도 30A의 공정에 대응하고, ◆는 도 30B의 공정에 대응한다.
도 31B를 참조하면, 도 30A의 공정에 의해 형성된 산질화막 중의 질소 농도는 도 30B의 공정에 의해 형성된 산질화막 중의 질소 농도보다 낮고, 도 31A에 보이는 도 30A의 공정에서 생기는 증막은 주로 잔류 산소에 의해 발생됨을 알 수 있다. 이러한 잔류 산소는 아마 상기 리모트 라디칼원(26) 중에 존재하여, 도 30A의 질화 처리 스텝 33에 있어서 질소 라디칼이 형성됨과 동시에 산소 라디칼을 형성함으로써 산질화막의 산화 반응을 촉진시키는 것으로 생각된다.
이러한 점에서, 도 25의 흐름도에 도시한 바와 같이 피처리 기판 표면에 매우 얇은 산화막을 형성하고, 이를 RF-N2 처리하여 산질화막을 형성하는 경우에는 도 3에서 설명한 기판 처리 장치(20)를 사용하고, 또한 최초의 산화막 형성을, 자외광 여기된 산소 라디칼을 사용한 UV-O2 처리에 의해 수행하는 것이 바람직함을 알 수 있다.
제 3 실시양태
도 32는 본 발명의 제 3 실시양태에 의한 산질화막의 형성 공정을 나타내는 흐름도이다. 단, 도 32 중, 앞서 설명한 부분에는 동일한 참조부호를 붙여 설명을 생략한다.
도 32를 참조하면, 본 실시양태에 의한 산질화막의 형성 공정은 앞서 도 28A에서 설명한 공정과 유사하지만, 스텝 12에 있어서의 처리 용기(21)의 퍼지 공정에서의 Ar 기체를 사용한 퍼지 횟수를 0 내지 4회 사이에서 다양하게 변화시키고 있다. 각각의 Ar 퍼지 처리는 상기 처리 용기(21) 중에 Ar 기체를 흘리는 공정 및 추가로 처리 용기(21)를 고진공 상태로 배기하는 공정에 의해 구성된다.
도 33A은 도 32의 스텝 12의 공정에서 수행한 Ar 퍼지 처리의 횟수와, 스텝 13의 공정에서 수득된 산질화막의 엘립소메트리에 의해 구해진 막 두께의 관계를 나타낸다.
도 33A를 참조하면, 산질화막의 막 두께는 Ar 퍼지의 횟수에 관계없이 약 1.4nm로 일정함을 알 수 있다.
이에 대해 도 33B는 도 30A의 공정에서 스텝 32의 Ar 퍼지 처리의 횟수를 다양하게 변화시킨 경우에 수득된 산질화막의 막 두께를 나타낸다.
도 33B를 참조하면, 산질화막의 막 두께는 퍼지 횟수와 함께 약간 감소하여, 스텝 32의 퍼지 처리가 산질화막의 산화에 의한 증막을 억제하는데 필요함을 나타내고 있다.
도 33A 및 33B의 결과는, 도 30A의 공정에 의한 산질화막의 형성을 수행하는 경우, 스텝 12의 퍼지 공정은 반드시 필요한 것은 아니고, 또한 이 공정을 생략해도 수득되는 산질화막에 증막이 실질적으로 발생하지 않음을 의미하고 있다.
실시양태 4
그런데 앞에서도 설명한 바와 같이, 도 24에서 UV-O2 산화막의 RF-N2 처리에 의한 질화를 수행한 경우에는, 질소 농도가 20%인 경우, 수득되는 산질화막의 막 두께는 0.8nm 정도가 되는 것을 피할 수 없다. 산질화막의 막 두께를 이보다 감소시키고자 하면, UV-O2 산화막으로부터 출발하는 한, 초기 막 두께를 0.2 또는 0.1nm 정도 또는 그 이하까지 감소시킬 필요가 있다.
본 실시양태에서는 초기 막으로서, 앞서 설명한 UV-O2 산화막 대신에 도 3의 기판 처리 장치(20)에서 상기 기체 노즐(21D)로부터 NO 기체를 도입하여 자외광 여기를 수행함으로써 원자상 산소와 원자상 질소를 여기시키고, 여기된 원자상 산소와 원자상 질소에 의해 산질화 처리(이하 UV-NO 처리라 한다)를 수행한다. 이렇게 하여 수득된 산질화막, 즉 UV-NO막은 초기 상태에서 이미 질소를 함유하고 있어, 이를 추가로 RF-N2 처리함으로써 막 두께를 최소한으로 억제하면서 높은 농도의 질소를 막 중에 도입할 수 있다.
도 34는 NO 분자의 다양한 여기 상태에서의 포텐셜 곡선을 나타낸다(예컨대, 장(J.S. Chang) 등의 문헌["전리 기체의 원자·분자 과정", 도쿄덴키다이가쿠 출판국, 1982년]을 참조).
NO 분자의 자외광에 의한 광전이에서는 기저 상태로부터 여기 상태 A2Σ+, B2Πr, C2Π, D2Σ+ 및 E2Σ+로의 전이에 따른 흡수띠의 존재가 알려져 있고, 각각 227nm, 218nm, 192nm, 188nm 및 165nm 이하의 광파장에서 전이가 가능하다.
한편, 원자상 산소(O3P)와 원자상 질소(N4S0)를 여기가능한 파장역은 도 34로부터 192nm와 145nm 사이임을 알 수 있다. 즉, 145nm 이상의 광파장에서 NO 분자를 여기시킴으로써 원자상 산소와 원자상 질소를 발생시키는 것이 가능하다. 한편, 광파장이 상기 145nm보다 짧아지면 라디칼 산소(O1D)가 여기되기 시작하기 때문에, 기판 처리시에 산화 반응이 주체가 되는 것으로 생각된다.
이러한 사정으로, 도 3의 기판 처리 장치(20)에서 상기 자외광원(25)으로서 파장이 192 내지 145nm 범위인 자외광원을 사용함으로써 목적하는 UV-NO막을 초기 막으로서 형성할 수 있다.
도 35는 이러한 UV-NO 처리에 계속해서 RF-N2 처리를 수행하는 본 실시양태에 따른 기판 처리 공정의 개요를 나타낸다.
도 35를 참조하면, 스텝 51에 있어서 실리콘 기판 표면이 상기 UV-NO 처리에 의해 질화되어 산질화막이 형성된다.
또한 스텝 52에 있어서 상기 산질화막이 RF-N2 처리되어, 앞서 형성된 산질화막이 더욱 질화되어서 높은 질소 농도의 산질화막이 수득된다.
이하의 표 3은 도 35의 스텝 51 및 52에 대해 처리 조건의 예를 나타낸다. 단, 표 3 중 스텝 51에 대해서는 앞서 설명한 UV-O2 처리(도 25의 스텝 1)의 조건도 함께 나타낸다.
Figure 112004002249904-pct00004
또한 이하의 표 4는 상기 스텝 S51 및 S52의 허용 처리 조건을 나타낸다. 표 3과 마찬가지로 표 4에서도 스텝 S51에 있어서는 상기 UV-O2 처리와 UV-NO 처리의 쌍방에 대해 허용 처리 조건을 나타내고 있다.
Figure 112004002249904-pct00005
표 3 및 표 4로부터, 상기 UV-O2 처리는 0.02 내지 5Torr(0.0266 내지 665Pa)의 압력 범위로 300 내지 750℃의 온도 범위에서, 또한 상기 UV-NO 처리는 0.01 내지 5Torr(0.0133 내지 665Pa)의 압력 범위로 300 내지 750℃의 온도 범위에서 수행할 수 있다.
한편, 상기 RF-N2 처리는 10-3 내지 10Torr(0.133Pa 내지 1.33kPa)의 압력 범위로 300 내지 700℃의 온도 범위에서 수행할 수 있다. 특히 0.67Pa 내지 13.3kPa의 범위가 바람직하다.
여기서 다시 앞서 설명한 도 24를 참조하면, 도면 중 ▲ 및 ▼는 도 35의 공정에 의해 형성된 산질화막 중의 질소 농도의 막 두께와의 관계를 나타낸다.
도 24를 참조하면, 산질화막은 상기 UV-NO 처리에 의해 형성된 직후에도 10% 정도의 질소를 함유하고, 따라서 이에 대해 도 35의 스텝 S52의 RF-N2 처리 공정을 수행함으로써 보다 높은 질소 농도의 산질화막을 형성할 수 있다. 그 때, 도면 중 ▲로 나타낸 데이터는 UV-NO 처리에 따른 성막 직후의 막 두께가 0.5nm인 경우를, 또한 ▼로 나타낸 데이터는 UV-NO 처리에 의한 성막 직후의 막 두께가 0.4nm인 경우를 나타내고 있지만, 특히 ▼로 나타낸 막의 경우에는, RF-N2 처리를 수행함으로써, XPS법으로 측정한 막 두께가 0.6nm 이하이더도 20%의 질소 농도를 실현할 수 있음을 알 수 있다.
도 36은 앞의 실시양태에서 UV-O2 처리에 계속해서 RF-N2 처리를 수행하여 수득된 산질화막에 대해, 막 중의 질소 원자의 1s 상태에서의 속박 에너지를 XPS법에 의해 구한 결과를, 앞서 설명한 UV-NO 처리를 수행하여 형성한 산질화막에 대한 결과 및 그 밖의 방법에 의해 형성된 산질화막에 대한 결과와 함께 나타낸다. 단, 도 36 중 종축은 XPS 스펙트럼의 반값폭(FWHM)을, 횡축은 N1s 원자의 속박 에너지를 나타낸다.
도 36을 참조하면, 특히 높은 속박 에너지를 갖는 산질화막은 도 37A에 나타낸 바와 같은, 질소 원자의 최인접 위치를 Si 원자가 점유하고 또한 질소 원자의 제 2 인접 위치를 산소가 점유하는 경우에 대응하고, 한편 낮은 속박 에너지를 갖는 산질화막은 도 37B에 나타낸 바와 같은, 질소 원자의 최인접 위치를 Si 원자가 점유하고 제 2 인접 위치도 Si 원자가 점유하는 경우에 대응하는 것으로 생각된다. 또한, 양자의 속박 에너지의 차이는 0.6eV 정도이고, 도 36의 횡축의 분포와 일치한다.
도 37A를 참조하면, 이 상태에서는 질소 원자는 산화막 내에 함유되어 있고, 예컨대 도 1에 도시한 바와 같이, 산화막의 내부 또는 표면 근방에 질소 원자가 존재하고 있는 상태에 대응한다. 이에 대해, 도 37B에서 점선으로 둘러싼 또는 복수의 자리인 Si가 도면 중에 화살표로 나타낸 바와 같이 산소에 의해 치환된 상태가, 산질화막 중에서 실리콘 기판과 산질화막의 계면 근방에 질소 원자가 농집한 상태에 대응한다.
도 36을 보면, UV-O2 산화막을 RF-N2 처리한 산질화막에서는 속박 에너지는 비교적 저에너지측에 있는 약 397.6eV로부터 고에너지측인 약 398.1eV까지 광범위하게 분산되어 있고 또한 피크 반값폭의 값도 크기 때문에, 막 중에서 도 37A의 상태와 도 37B의 상태가 혼합되어 있는 것으로 추정된다.
한편, UV-NO 처리만을 수행한 산질화막에서는 속박 에너지는 약 397.5eV로부 터 약 397.9eV의 저에너지측에 분산되어 있고 또한 피크 반값폭의 값도 보다 작게 된다는 점에서, 막 중에서 도 37A의 상태와 도 37B의 상태가 혼합되어 있는 것은 동일하지만 도 37B의 상태가 다소 우세하게 되는 것으로 추정된다. 즉, 이 경우에는 산질화막 중에서의 질소 원자의 분포는 실리콘 기판에 보다 가까운 측으로 시프트하고 있는 것으로 생각된다.
도 36 중에는 또한 열산화막을 RF-N2 처리하여 형성한 산질화막(RTO/RFN), 열산화막을 마이크로파 플라즈마 질화 처리하여 형성한 산질화막(SPA) 및 실리콘 기판을 열산질화 처리하여 형성한 산질화막(RTNO)에 대한 결과를 나타내고 있다.
산질화막 RTO/RFN 및 SPA에 대해서는 관측되는 N1s 상태의 속박 에너지가 비교적 커서 도 37A의 상태가 우세하게 되는 것으로 생각된다. 이에 대해, 산질화막 RTNO에서는 관측되는 N1s 상태의 속박 에너지가 397.4eV 근방에 집중해 있고, 이는 산질화막 중의 질소 원자가 실리콘 기판과의 계면 근방에 농집해 있음을 나타내는 것으로 생각된다.
이와 같이, 본 발명에 따른 UV-O2 산화막을 RF-N2 처리하는 산질화막의 형성 방법에 의하면, 막 표면측에 의해 농집된 그러나 비교적 균일한 질소 원자의 분포를 산질화막 중에 실현하는 것이 가능하다. 한편, 기존의 산화막을 RF 질화 처리한 경우, 도 36 중의 UVO2/RFN 또는 RTO/RFN, 또는 SPA의 결과로부터도 알 수 있는 바와 같이 질소 원자는 주로 막의 표면 부근에 분포되는 것으로 생각된다. 이러한 점에서, 도 36에 나타낸 UV-NO 처리를 수행하여 형성한 산질화막을 추가로 RF 질화 처리한 경우에는 질소 원자를 산질화막의 실리콘 기판과의 계면으로부터 표면까지의 사이에 거의 균일하게 분포시킬 수 있게 되는 것으로 생각된다.
제 5 실시양태
그런데, 도 1의 고유전체 게이트 절연막을 갖는 반도체 장치를 제조하는 경우에는 이러한 기판 처리 장치(20)로 형성된 베이스 산화막(2) 상에 고유전체막(3)을 형성해야 한다.
고유전체막(3)은 전형적으로는 CVD법에 의해 형성되고, 예컨대 ZrO2막을 형성하는 경우에는 ZrCl4 또는 그 밖의 Zr을 함유하는 기상 원료를 사용하여 이를 산화시킴으로써 ZrO2막을 퇴적시킨다.
이러한 고유전체막(3)의 형성은 도 16A 및 16B의 라디칼 산화막의 질화 공정에 이어서 피처리 기판을 외기에 노출되지 않게 수행하는 것이 바람직하고, 이 때문에 도 3의 기판 처리 장치(20)는 CVD실을 포함한 클러스터형 기판 처리 장치 중에 조립시키는 것이 바람직하다.
도 38은 본 발명의 제 5 실시양태에 따른 이러한 클러스터형 기판 처리 장치(305)의 개략적인 구성을 나타낸다.
도 38을 참조하면, 클러스터형 기판 처리 장치(30)는 피처리 기판(W)을 출입시키는 카세트 모듈(31A, 31B) 및 상기 카세트 모듈(31A, 31B)에 각각의 게이트 밸브를 통해 결합된 기판 반송실(32)을 포함하고, 상기 기판 반송실(32)에는 추가로 기판 세정실(33), 베이스막 형성실(34), CVD실(35) 및 열처리실(36)이 결합된다.
그래서 카세트 모듈(31A) 또는 (31B)로부터 기판 반송실(32)에 도입된 피처리 기판(W)은 우선 기판 세정실(33)에 보내져 자연 산화막 및 유기물 오염이 제거된다. 계속해서 피처리 기판(W)은 기판 반송실(32)을 통해 베이스 산화막 형성실(34)에 보내져 상기 베이스 산화막(12) 및 질화막(12A)이 형성된다.
그 후, 피처리 기판(W)은 기판 반송실(32)을 통해 CVD실(35)로 보내져 고유전체막(13)이 형성되고, 또한 열처리실(36)로 보내져 결정화 및 산소 결손 보상이 이루어진다. 열처리실(36)에서의 처리 후, 피처리 기판(W)은 기판 반송실(32)을 통해 카세트 모듈(31A) 또는 (31B)로 보내진다.
그런데, 각각의 처리실(33) 내지 (36)에는 협동하는 다양한 장치류가 설치되어 있고, 그 결과 처리실은 그 자체 이외에 도 38 중에 파선으로 나타낸 면적을 필요로 한다. 그 때, 처리실 중 기판 반송실(32)에 면하는 측의 부분은 다른 처리실과의 간격이 좁아, 이용가능한 공간이 한정됨을 알 수 있다.
그래서, 이러한 클러스터형 기판 처리 장치(30)에서 도 3의 기판 처리 장치(20)를 사용하고자 하면, 처리 용기(21)가 기판 반송 유닛(27) 대신에 기판 반송실(32)에 결합되게 되지만, 그 경우 도 4B 또는 도 16B에 나타내고 있는, 처리 용기(21)의 기판 반송실(32)에 가까운 측에서 측방으로 돌출하는 터보 분자 펌프(23B)가 인접하는 처리실과 간섭하여 버리는 문제가 생긴다.
터보 분자 펌프(23B)는 처리 용기(21)의 감압을 빠르게 수행하기 위해 배기구(21A) 근방에 설치할 필요가 있지만, 기판 반송실(32)의 아래에는 반송 로봇 등 다양한 장치가 설치되어 있어, 이것에 이용할 수 있는 공간은 존재하지 않는다. 또한, 처리 용기(21)의 아래에는 기판 회전 기구(22C)를 비롯한 다양한 장치가 설치되어 있어, 역시 터보 분자 펌프(23B)를 설치하는 공간은 얻어지지 않는다.
도 39A 및 39B는 각각 본 발명의 제 5 실시양태에 따른 기판 처리 장치(40)의 구성을 나타내는 측면도 및 평면도이다. 단, 도 39A 및 39B 중, 앞서 설명한 부분에는 동일한 참조부호를 붙이고 설명을 생략한다.
도 39A 및 39B를 참조하면, 기판 처리 장치(40)는 터보 분자 펌프(23B)를, 도 38과 같은 클러스터형 기판 처리 장치를 구성한 경우에 공간의 여유가 생기는 처리 용기(21)의 외측, 즉 상기 기판 반송 유닛(27)과 반대측에 배치한다. 이에 따라, 상기 처리 용기(21)에는 상기 터보 분자 펌프(23B)에 협동하는 배기구(21E)가 상기 기판 반송실과 반대측에 형성된다. 또한 산소 라디칼이 상기 피처리 기판(W)의 표면을 통해 상기 배기구(21E)에 흐르도록, 산소를 도입하는 처리 기체 노즐(21D) 및 자외광원(25)이 피처리 기판(W)보다 상기 기판 반송실(27)에 가까운 측에 설치된다.
상기 터보 분자 펌프(23B)는 상기 처리 용기(21)의 하부에 수직인 방향으로, 즉 흡기구와 배기구가 상하로 배열되는 방향으로 밸브(23A)를 통해 결합되어 있고, 상기 터보 분자 펌프(23B)의 배기구는 상기 처리 용기(21)의 배기구(21A)로부터 밸브(24A)를 지나서 상기 펌프(24)에 이르는 배기 라인에 밸브(24A)의 뒤에서 결합되어 있다.
기판 처리 장치(40)는 터보 분자 펌프(23B)가 외측, 즉 기판 반송 유닛(27) 과 반대측에 형성되어 배치되기 때문에, 도 38과 같은 클러스터형 기판 처리 장치를 구성하여도 터보 분자 펌프(23B)가 인접하는 처리실과 간섭하는 문제는 생기지 않는다.
도 40A 및 40B는 상기 기판 처리 장치(40)를 사용하여 베이스 산화막(12)을 형성하는 공정을 나타낸다.
도 40A 및 40B를 참조하면, 베이스 산화막 형성 공정에서는 밸브(23A) 및 (23C)가 개방되고 밸브(24A)가 폐쇄된다. 그 결과, 상기 처리 공간(23B)은 상기 배기구(21E)에서 터보 분자 펌프(23B)에 의해 1.33×10-1 내지 1.33×10-4Pa(10-3 내지 10-6Torr)의 고진공 상태로 감압되고, 이 상태에서 상기 처리 기체 노즐(21D)로부터 산소 기체가 처리 공간(21B)에 도입된다. 또한 상기 피처리 기판(W)을 기판 회전 기구(22C)에 의해 회전시키면서 자외광원(25)을 적당한 에너지로 구동시킴으로써, 형성된 산소 라디칼이 기판 표면을 따라 배기구(21E)로 흘러 기판 표면을 균일하게 산화시킨다. 이에 따라, 1nm 이하, 특히 2 내지 3원자층의 막 두께에 대응하는 약 0.4nm의 막 두께를 갖는 매우 얇은 실리콘 산화막을 실리콘 기판 표면에 균일하고도 재현성 좋게 안정적으로 형성할 수 있게 된다. 물론, 두께가 1nm를 초과하는 실리콘 산화막을 형성하는 것도 가능하다.
도 41A 및 41B는 본 실시양태의 기판 처리 장치(40)를 사용하여, 도 40A 및 40B의 공정의 후 형성된 베이스 산화막(2)의 표면을 질화시켜 산질화막(2A)을 형성하는 공정을 나타낸다.
도 41A 및 41B를 참조하면, 질화 공정에서는 상기 밸브(23A) 및 (23C)가 폐쇄되고 밸브(24A)가 개방된다. 이에 의해 터보 분자 펌프(23B)는 배기계로부터 차단되고, 상기 처리 공간(21B)은 상기 펌프(24)에 의해 직접 배기되어 1.33Pa 내지 13.3kPa(0.01 내지 10Torr)의 압력으로 감압된다.
이 상태에서 상기 리모트 플라즈마원(26)에 Ar 기체와 질소 기체를 공급하고, 또한 이를 고주파 여기시킴으로써 질소 라디칼이 형성된다. 형성된 질소 라디칼은 상기 피처리 기판(W)의 표면을 따라 배기구(21A)로 흐르고, 그 때 회전하고 있는 피처리 기판(W)의 표면을 균일하게 질화시킨다. 이러한 질화에 의해, 도 1에 나타낸 베이스 산화막(2)의 표면은 산질화막(2A)으로 변환된다.
본 실시양태의 기판 처리 장치(40)를, 도 38에 나타낸 클러스터형 기판 처리 장치에서 처리실(34)에 사용함으로써, 이렇게 하여 형성된 산질화막(2A)을 함유하는 베이스 산화막(2) 상에 계속해서 ZrO2, HfO2, Ta2O5, ZrSiO 4, HfSiO4, Al2O3 등의 고유전체막(13)을 형성할 수 있게 된다.
또한 이상의 설명에서는 기판 처리 장치(40)를 사용하여 매우 얇은 베이스 산화막을 형성하는 예를 설명하였지만, 본 발명은 이러한 특정한 실시양태에 한정되는 것이 아니라 실리콘 기판 또는 실리콘 층 상에 고품질의 산화막, 질화막 또는 산질화막을 목적하는 막 두께로 형성하는데 적용하는 것이 가능하다.
제 6 실시양태
이상의 실시양태에서는 도 3의 기판 처리 장치(20)를 사용하여 도 1에 나타 낸 반도체 장치(100)에서의 베이스 산화막(2)을 0.4nm 전후의 막 두께로 형성하고, 또한 그 표면에 산질화막(2A)을 형성하는 기술을 설명하였지만, 상기 기판 처리 장치(20)에 의해 두꺼운 산질화막을 형성하고, 이에 의해 도 42에 나타낸 반도체 장치(200)와 같이 게이트 절연막(3A)을 형성하는 것도 가능하다.
도 42를 참조하면, 반도체 장치(200)에서는 도 1의 고유전체막 게이트 절연막(3)은 사용되지 않고, 게이트 절연막(3A) 상에 직접 게이트 전극(4)이 형성된다. 도 42 중, 앞서 설명한 부분에는 동일한 참조부호를 붙이고 설명을 생략한다.
도 42의 반도체 장치(200)에서는 고유전체막(3)을 사용할 필요 없이 종래의 반도체 프로세스 기술에서 다루어지고 있는 산질화막에 의해 게이트 절연막을 형성할 수 있기 때문에 반도체 장치의 제조가 용이해진다. 한편, 도 42의 반도체 장치(200)에서는 앞서 도 3에서 설명한 기판 처리 장치(20)를 사용하여 산질화막으로 이루어진 상기 게이트 절연막(3A)을 산화막 환산 막 두께로서 1.0nm 정도 또는 그 이상의 막 두께, 즉 1.6nm 정도의 물리막 두께로 형성해야 한다.
도 43은 본 실시양태에 따른 두꺼운 산질화막의 형성 공정을 나타내는 도면이다.
도 43을 참조하면, 스텝 61에 있어서 자외광 여기 산소 라디칼에 의한 실리콘 기판 표면의 UV-O2 처리를 실시한 후, 형성된 산화막이 스텝 62에 있어서 RF-N2 처리에 의해 질화 처리되어 산질화막이 형성되지만, 본 실시양태에서는 스텝 61 및 62의 공정을 750℃의 비교적 높은 온도에서 실행하여 목적하는 막 두께를 실현하고 있다. 또한 스텝 61과 스텝 62 사이의 퍼지 공정은 앞의 도 32의 실험 결과에 비추어 생략하고 있다.
도 44는 도 43의 스텝 61에 있어서의 UV-O2 처리 공정에서 수득된 실리콘 산화막의 실리콘 기판 표면에서의 막 두께 분포를 나타낸다. 단, 도 44의 막 두께는 분광 엘립소메트리에 의해 측정하고 있다.
도 44를 참조하면, 실리콘 산화막은 400Pa(3Torr)의 압력 하에 750℃의 기판 온도에서 기판을 회전시키면서 형성되어 있고, 막 두께의 분산값 σ가 0.72%인 매우 균일한 산화막이 형성되어 있음을 알 수 있다.
도 45의 (A) 내지 (C)는 도 44의 산화막을 형성하는 도 43의 스텝 62에 있어서의 리모트 플라즈마 질화 처리 공정의 처리 조건과, 수득된 산질화막의 막 두께 분포를 나타낸다.
도 45의 (A)를 참조하면, 플라즈마 질화 처리는 26.6kPa(200mTorr)의 압력 하에 750℃의 기판 온도에서 질소 기체 유량과 Ar 기체 유량을 도시된 범위로 변화시킴으로써 실행되었다. 도 45의 (A) 중 선 A는 플라즈마가 착화시키는 질소 기체 유량의 상한을, 또한 선 B 및 C는 도 3의 기판 처리 장치(20)의 압력 제어가능 범위를 나타낸다.
도 45의 (B)의 중앙에 도시한 바와 같이, Ar 기체 유량과 질소 기체 유량을 선 D 상에 오도록 선택한 경우, 막 중의 질소 농도 분포, 즉 산질화막의 막 두께 분포는 마찬가지로 막 두께의 분산값 σ로서 매우 작은 0.7% 정도의 값을 달성할 수 있음을 알 수 있다.
이에 대해, Ar 기체 유량과 질소 기체 유량을 상기 선 D로부터 벗어난 위치에 설정한 경우, 도 45의 (B)의 좌측에 도시한 바와 같이 기판의 주변부에서 질소 농도가 증대하거나, 또는 도 45의 (B)의 우측에 도시한 바와 같이 기판의 중앙부에서 질소 농도가 증대하는 분포가 생겨 막 두께 분포의 분산값 σ가 증대함을 알 수 있다.
즉, 도 45의 (A)의 선 D의 우측과 좌측에서 산질화막 중의 질소 농도 분포, 따라서 막 두께 분포가 각각 볼록부와 오목부가 되는데 대해, 질소 기체 유량과 Ar 기체 유량을 상기 선 D 상에 오도록 선택한 경우, 평탄한 산질화막의 막 두께 분포가 수득된다.
도 73은 기판 온도(750℃)에서의 상기 RF-N2 처리의 균일성과 처리압의 관계를 나타낸다. 단, 도 73 중 횡축은 RF-N2 처리시의 처리압을 나타내고, 종축은 질화 처리된 산질화막의 기판 중심부에서의 막 두께를 기판 주변부에서의 막 두께로 나눈 값을 나타낸다. 따라서 도 73 중의 종축이 1인 경우에 뛰어난 면내 균일성이 달성되고 있다. 또한 도 73 중의 종축이 1보다 큰 경우에는 수득된 산질화막은 볼록부의 막 두께 분포를 갖고 있고, 1보다 작은 경우에는 오목부의 막 두께 분포를 갖고 있다.
도 73 중 ▲는 Ar 기체 유량을 800SCCM으로 설정하고 질소 기체 유량을 1150SCCM으로 설정한 경우를, ■는 Ar 기체 유량을 1150SCCM으로 설정하고 질소 기 체 유량을 1150SCCM으로 설정한 경우를, ◆는 Ar 기체 유량을 1600SCCM으로 설정하고 질소 기체 유량을 1400SCCM으로 설정한 경우를 나타낸다. 따라서, Ar 기체 유량과 질소 기체 유량을 합계한 처리 기체의 총 유량은 ▲, ■ 및 ◆의 순으로 증대한다.
도 73을 참조하면, 총 유량을 고정시킨 조건 하에서 압력을 변화시키면, 저압측에서 막 두께 분포가 오목부로부터 볼록부로 변화되고, 또한 오목부로 되돌아감을 알 수 있다. 또한, 어느 총 유량에 있어서도, 균일한 막 두께의 산질화막이 수득되는 처리압이 2군데 존재함을 알 수 있다. 또한 총 유량이 증대함에 따라 도 73의 곡선은 고압력쪽으로 이동함을 알 수 있다. 이와 같이, 본 발명의 RF-N2 처리에서 처리의 균일성을 실현하기 위해서는 Ar 기체와 질소 기체의 총 유량을 조절하는 방법 이외에 처리압을 조정하는 방법도 가능하다.
앞의 도 45A에서의 선 D는 도 73에서의 ▲의 점에 대응하지만, 도 73의 관계로부터 존재가 추측되는 또 하나의 최적 점은 압력이 지나치게 낮기 때문에 실제로는 존재하지 않는다. 이 최적 점을 사용하는 경우에는 큰 배기 부하에 대응한 능력이 큰 펌프를 사용해야 한다.
도 45C는 도 45A의 상기 선 D 상에 Ar 기체 유량 및 질소 기체 유량을 제어한 경우의, 다양한 Ar 기체 유량과 수득되는 산질화막의 막 두께의 관계를 나타낸다. 도 45C에서도 분광 엘립소메트리에 의해 측정한 막 두께를 나타내고 있다.
도 45C를 참조하면, Ar 기체 유량, 따라서 질소 기체 유량이 증대함에 따라 산질화막의 막 두께가 증대하고 있고, 이는 도 45A 또는 도 45B에 나타낸 산질화막의 막 두께가 막 중의 질소 농도를 반영한 것임을 나타내고 있다.
도 46A 내지 46D는 온도 750℃ 및 압력 200mTorr의 조건 하에서 수행한, 도 44의 산화막의 RF-N2 처리에서의 질화 처리의 키네틱스를 나타낸다. 단, 도 46A는 XPS법으로 구한 산질화막의 막 두께와 질화 처리 시간의 관계를, 도 46B는 산질화막 중에 받아들여지는 질소 원자의 농도와 질화 처리 시간의 관계를, 도 46C는 XPS법으로 구한 산질화막에 있어서의 O1s 신호 피크의 면적과 질화 처리 시간의 관계를, 또한 도 46D는 XPS법으로 구한 산질화막 유래의 Si2p 신호의 피크 면적과 질화 처리 시간의 관계를, 초기 산화막의 막 두께를 단색광 엘립소메트리로 측정한 값으로 1.0nm로 설정한 경우, 1.2nm로 설정한 경우 및 1.3nm로 설정한 경우에 대해 나타낸다. 단, XPS에 의한 측정값에서는 각각 0.8nm, 1.0nm 및 1.3nm 정도의 값이 된다.
도 46A 내지 46D를 참조하면, 산질화막의 막 두께나 질소 농도는 초기 막 두께가 상기 중 어떤 것이더라도 질화 시간과 함께 증대하는 경향을 나타내지만, O1s 신호 및 Si2p 신호의 질화 처리 시간에 대한 변화는 산화막의 초기 막 두께에 따라 다름을 알 수 있다.
보다 구체적으로는, 초기 막 두께가 1.3nm인 산화막의 RF-N2 처리에서는 O1s 신호가 질화 처리 시간과 함께 감소하고 질화 과정에서 산소가 이탈함을 나타내고 있다. 한편, 초기 막 두께가 1.0nm인 산화막의 RF-N2 처리에서는 관측되는 O1s 신호의 강도가 그다지 변화되지 않는다. 이는 질소 원자의 도입에 의해 이탈한 산소 원자가, 막 두께가 1.0nm 정도인 얇은 산화막에서는 막내를 확산하고 실리콘 기판과의 계면에 석출되어, 이러한 계면에서 산화막의 재성장이 발생되고 있음을 시사하고 있다.
또한 초기 막 두께가 1.3nm인 산화막의 RF-N2 처리에서는 산화막의 초기 막 두께가 크기 때문에, 이탈한 산소 원자가 실리콘 기판과 산화막의 계면에 도달할 수 없어 산질화막 밖으로 빠져나가는 것으로 생각된다.
도 46D의 Si2p 신호에 대해 보면, Si2p 신호는 초기 막 두께가 1.3nm인 산화막에서는 질화 처리 개시 후 30초까지 별로 변화되지 않음을 알 수 있다. 이는 산화막 중에 도입된 질소 원자가 막내에서 산소 원자와 치환되고 있어 산화막과 실리콘 기판의 계면까지는 도달하지 않음을 나타내고 있는 것으로 생각된다. 한편, 초기 막 두께가 1.0nm인 산화막에서는 질화 처리의 개시와 함께 Si2p 신호가 증가하고 있고, 앞서 설명한 실리콘 기판과 산화막의 계면에서의 산소의 석출 및 이에 따른 산화막의 재성장이 발생하고 있는 것으로 생각된다.
도 47A 내지 47D는 도 43의 공정에 있어서 균일성을 희생하여 질화 반응이 보다 촉진되는 조건으로 산화막의 RF-N2 처리를 실시한 경우의 결과를 나타낸다. 보다 구체적으로는, 도 47A 내지 47D의 실험에서는 처리압을 400mTorr로 설정하였 다. 질화 반응의 촉진과 균일성을 양립시키기 위해서는 보다 큰 배기 부하가 수득되는 큰 펌프를 펌프(24)로서 사용하고, 또한 보다 출력이 큰 라디칼원을 리모트 라디칼원(26)으로서 사용해야 한다. 이는 앞서 설명한 처리압을 제어하는 경우와 본질적으로 동일한 것이다.
도 47A 내지 47D를 참조하면, 이와 같이 처리압을 증대시켜 질화 처리를 촉진시키도록 설정된 조건 하에서는 초기 막 두께가 1.0nm인 얇은 산화막이더라도 RF-N2 처리의 개시와 함께 도 47C로부터 알 수 있는 바와 같이 O1s 신호의 강도가 감소하고, 또한 도 47D로부터 알 수 있는 바와 같이 초기 막 두께가 1.3nm인 산화막이더라도 Si2p 신호의 강도가 질화 처리의 처음부터 단조롭게 증가함을 알 수 있다. 이는 도 47A 내지 47D의 실험에서는 질화 처리가 촉진되기 때문에, 단시간에 도 44A 내지 44D의 경우의 질화 농도에 상당하는 양의 질소가 도입되어 질소 원자가 산화막과 실리콘 기판의 계면에 도달함을 나타내고 있다. 이와 같이 질화 처리가 촉진되는 조건으로 질화 처리를 수행하면 질화 처리 시간을 단축할 수 있는 반면, 질화 시간을 최적으로 제어하지 않으면 도입된 질소 원자가 계면에 닿아 버릴 가능성이 있다.
도 48A는 도 46A 내지 46D 및 도 47A 내지 47D의 결과로부터 추측되는, 산화막의 RF-N2 처리에 의한 질화 공정의 메커니즘을 개략적으로 나타낸 도면이다. 단, 도 48A 중 도 42에 대응한 부분에는 동일한 참조부호를 붙이고 설명을 생략한다.
도 48A를 참조하면, RF-N2 처리의 조건이 적당하면, 도입되는 질소 원자는 산화막의 표면 근방에 농집되어, 질소 원자가 실리콘 기판(1)과 산질화막(3A)의 계면 근방에 침입하여 계면 준위를 형성하는 문제를 억제할 수 있다(문헌[Watanabe, K., et al., J. App1. Phys. 90, p.4701(2001)]을 참조).
한편, 이러한 RF-N2 처리의 조건이 부적당하고 질화 반응이 지나치게 진행되면, 도 48B에 도시한 바와 같이 질소 원자가 실리콘 기판(1)과 산질화막(3A)의 계면에까지 도달해 버려 계면 준위가 발생할 우려가 있다. 이 때문에, 도 43의 스텝 62의 공정은 30초 이내에 종료시키는 것이 바람직하다.
그래서, 본 실시양태에서는 도 3의 기판 처리 장치(20)에 있어서 피처리 기판(W)의 매 분당의 회전수를 20회로 설정하여 기판(W)을 30초간의 RF-N2 처리 사이에 10회전시키고 있다.
도 49A 및 49B는 이렇게 하여 초기 막 두께가 1.0nm인 UV-O2 산화막을 회전시키면서 30초간 질화 처리한 경우의 기판의 중심부(C), 중간부(M) 및 주변부(E)에서의 Si, N 및 O 원자의 깊이 방향으로의 농도 프로파일을 SIMS 분석에 의해 구한 결과를 나타낸다. 단, 도 49B는 도 49A의 산질화막 표면 근방을 확대하여 나타낸 도면이다.
도 49A 및 49B를 참조하면, 질소 농도의 피크는 산질화막(13A)의 표면에서 0.5nm 정도의 깊이에 위치하고 있고, 또한 뛰어난 면내 균일성이 달성되고 있음을 알 수 있다. 실리콘 기판(1)과 산질화막(3A)의 계면 근방에서의 질소 원자의 농집은 확인되지 않았다.
도 50A 및 50B는 초기 막 두께가 1.3nm인 UV-O2 산화막을 동일하게 하여 RF-N2 처리에 의해 질화시킨 경우의 막 중에서의 Si, N 및 O 원자의 깊이 방향으로의 농도 프로파일을 나타낸다.
이 경우에도 도 49A 및 49B와 동일한 결과가 수득되고 있음을 알 수 있다.
제 7 실시양태
도 51은 본 발명의 제 7 실시양태에 따른 기판 처리 장치(320)의 구성을 나타낸다.
도 51을 참조하면, 기판 처리 장치(320)는 피처리 기판(322)을 유지하는 유지대(321A)를 갖는 처리 용기(321)를 포함하고, 상기 처리 용기(321) 중에는 상기 유지대(321A) 상의 피처리 기판(322)에 대향하여 석영 등의 자외광을 투과시키는 재료로 이루어진 샤워 헤드(321B)가 설치된다. 상기 처리 용기(321)는 배기구(321C)를 통해 배기되고, 한편 상기 샤워 헤드(321B)에 외부의 기체원으로부터 산소 등의 산화성 기체가 공급된다.
상기 처리 용기(321)에는 추가로 상기 샤워 헤드(321B)의 상방에 상기 샤워 헤드(321B) 및 그 아래의 피처리 기판(322)을 노출시키도록, 석영 등의 자외선을 투과시키는 재료로 이루어진 광학 창(321D)이 형성되어 있다. 상기 유지대(321A) 중에는 상기 피처리 기판(322)을 가열하는 히터(321a)가 설치되어 있다.
또한 상기 처리 용기(321) 상에는 상기 광학 창(321D)에 대응하여 설치된 결합부(323)를 통해 자외광 노광 장치(324)가 설치되어 있다.
상기 자외광 노광 장치(324)는 상기 광학 창(321D)에 대응하는 석영 광학 창(324A)과, 상기 석영 광학 창(324A) 및 광학 창(321D)을 통해 자외광을 상기 피처리 기판(322) 상에 조사하는 자외광원(324B)을 포함하고, 상기 자외광원(324B)은 로보트(324C)에 의해, 도 51 중에 화살표로 나타낸 바와 같이, 상기 광학 창(324A)에 평행한 방향으로 이동가능하게 유지되어 있다. 도시된 예에서는 상기 자외광원(324B)은 상기 이동 방향에 대해 대략 직각으로 연장되도록 설치된 선상의 광원으로 이루어진다. 이러한 선상의 광원으로서는 예컨대 파장이 172nm인 엑시머 램프를 사용할 수 있다.
또한 도 51의 구성에서는 상기 자외광원(324B)에 의해 형성된 자외선이 상기 광학 창(321D)을 통해 상기 처리 용기(321) 중에 도입되기에 앞서 공기 중의 산소에 의해 흡수되어 버리는 것을 회피하기 위해, 상기 결합부(323)에는 외부의 기체원(도시하지 않음)에 의해 N2 등의 불활성 기체가 라인(323A)을 통해 공급되고, 상기 불활성 기체는 상기 자외광 노광 장치(324)의 광학 창(324A)의 장착부에 형성된 틈을 통해 상기 자외광 노광 장치(324) 중의 공간(324D)에 유입된다.
또한 상기 자외광원의 구동에 따라, 상기 자외광원(324B)의 바로 아래에 대기 중의 산소가 말려들어 유입되는 것을 억제하기 위해, 자외광원(324B)의 양 측면에 차폐판(324F)을 설치하고, 또한 상기 차폐판(324F)의 아래에서 상기 자외광원(324B)에 대향하는 광학 창(324A)과 차폐판(324F) 사이에 형성되는 높이가 거의 1mm 정도인 좁은 영역에 라인(324b)을 통해 N2 등의 불활성 기체가 공급된다. 이 영역에는 상기 라인(323A)으로부터의 불활성 기체도 공급되고, 그 결과 이 영역에서 자외광을 흡수하는 산소가 효과적으로 배제된다.
상기 차폐판(324F) 아래의 영역을 통과한 불활성 기체는 상기 공간(324D)으로 흘러나가고, 또한 상기 자외광 노광 장치(324) 중에 형성된 배기구(324E)를 통해 외부로 배출된다.
도 51의 기판 처리 장치에서는 상기 자외광 노광 장치(324)에 있어서 상기 로보트(324C)에 의해 상기 자외광원(324B)의 이동·주사를 제어할 수 있고, 그 결과 상기 피처리 기판(322)의 표면에 UV-O2 처리에 의해 산화막을 형성할 때 자외선 노광 조사량을 제어함으로써 막 두께의 분포를 제어할 수 있게 된다. 상기 로보트(324C)는 컴퓨터 등의 제어장치(325)에 의해 제어된다. 또한, 상기 제어장치(325)는 상기 자외광원(324B)의 구동도 제어한다.
도 52A 내지 52C는 도 51의 기판 처리 장치(320)를 사용하여 다양한 조건 하에서 산화막을 실리콘 기판 상에 형성한 경우의, 수득된 산화막의 엘립소메트리에 의해 구한 막 두께 분포를 Å 단위로 나타낸다. 단, 도 52A 내지 52C에서 피처리 기판(322)으로서는 8인치의 실리콘 기판이, 표면 자연 산화막을, 후에 설명하는 표면 전처리 공정에 의해 제거한 상태로 사용되고 있다. 또한, 도 52A 내지 52C의 각각에 있어서 상기 처리 용기(331) 중의 내압은 약 0.7kPa(5Torr)로 설정되고, 기판 온도는 300℃로 설정된다.
도시된 결과는 상기 처리 용기(321) 중에 산소 기체를 1SLM의 유량으로 5분 간 공급한 경우의 것으로, 도 52A는 자외광의 조사를 수행하지 않은 경우를 나타내고, 또한 도 52B 및 52C는 상기 자외광원(324B)에 의해 광원 바로 아래에 조도 30mW/cm2의 자외광을 조사한 경우를 나타낸다. 도 52B는 상기 자외광원(324B)을 410mm의 범위에서, 즉 상기 피처리 기판(322)의 전면이 균일하게 노광되도록 균일하게 주사한 경우를 나타낸다.
도 52A를 참조하면, 자외광 조사를 수행하지 않은 경우에는 실리콘 기판 표면에 형성되는 산화막의 두께는 0.2 내지 0.3nm 정도이고 실질적인 막 형성은 생기고 있지 않은데 대해, 도 52B의 경우에는 상기 실리콘 기판 표면에 약 0.8nm의 산화막이 형성되고 있음을 알 수 있다. 또한 도 52B의 경우에는 상기 자외광원(24B)을 400mm의 범위로 균일하게 주사하였음에도 불구하고, 상기 8인치 실리콘 기판(322)의 중앙부에서 형성된 산화막의 막 두께가 감소하고 있음을 알 수 있다. 그 결과, 상기 실리콘 기판 상에 형성된 산화막의 막 두께 변동은 분산값으로 2.72%로 비교적 큰 값이 되었지만, 이는 사용한 기판 처리 장치(320)에 고유의 특성을 반영하고 있는 것으로 생각된다.
이에 대해 도 52C는 상기 실리콘 기판(322)의 중앙부 부근에서 100mm의 한정된 범위로 상기 자외광원(324B)을 주사한 경우의 산화막의 막 두께 분포를 나타낸다.
도 52C를 참조하면, 이렇게 하여 형성된 산화막의 막 두께는 0.92 내지 0.93nm의 범위에 들게 되고, 막 두께 변동은 분산값으로 1.35%까지 감소하고 있음 을 알 수 있다.
도 53은 도 52A 내지 52C의 실험에서 상기 처리 용기(321) 중에 도입되는 산소 기체의 유량을 다양하게 변화시킨 경우에 대해, 자외선 노광 시간과 형성되는 산화막 두께의 관계를 구한 결과를 나타낸다.
도 53으로부터 알 수 있는 바와 같이, 형성되는 산화막의 막 두께는 산소 기체 유량에는 거의 의존하지 않고, 1분간을 경과하면 약 1nm의 값으로 포화됨을 알 수 있다. 한편, 노광 시간이 1분간보다 짧은 경우에는 막 두께는 노광 시간과 함께 증대된다. 도 53은 도 51의 기판 처리 장치(320)를 사용하여 실리콘 기판 표면에 베이스 산화막이 되는 얇은 산화막을 형성하는 공정은 극히 단시간으로 충분함을 나타내고 있다.
도 54A 내지 54E는 도 51의 기판 처리 장치(320) 중에서 상기 처리 용기 내압을 약 0.7kPa(5Torr), 기판 온도를 450℃로 설정하고, 산소 기체를 1SLM의 유량으로 공급하면서 상기 자외광원(24B)을 100mm의 범위로 주사한 경우에 수득되는 산화막의 막 두께 분포를 Å 단위로 나타낸다. 간단하게 하기 위해 실리콘 기판은 사각형 모양으로 나타내고 있다.
이 중 도 54A는 상기 주사를, 기판 중심을 기점으로 ±50mm의 범위로 수행한 경우를 나타내지만, 도 54A의 예에서는 기판 중심으로부터 y축 방향으로 상방을 향하고, 또한 x축 방향으로 오른쪽을 향하여 상기 산화막의 막 두께가 증대하는 경향이 존재함을 알 수 있다. 이 경우의 산화막의 막 두께 변동은 분산값으로 3.73%가 되고 있다.
이에 대해 도 54B는 상기 주사의 기점을 기판 중심으로부터 y축 방향으로 하방을 향하여 12.5mm 어긋나게 한 경우의 산화막의 막 두께 분포를 마찬가지로 Å 단위로 나타낸다. 도 54B로부터 알 수 있는 바와 같이, 산화막의 막 두께 변동은 분산값으로 3.07%까지 감소하고 있다.
또한 도 54C는 상기 주사의 기점을 기판 중심으로부터 y축 방향 하방으로 25.0mm 어긋나게 한 경우의 산화막의 막 두께 분포를 Å 단위로 나타낸다. 도 54C로부터 알 수 있는 바와 같이, 산화막의 막 두께 변동은 도 54B의 경우와 마찬가지로 3.07%가 되고 있다.
이에 대해, 도 54D는 상기 주사의 기점을 기판 중심으로부터 y축 방향 하방으로 37.5mm 어긋나게 한 경우의 산화막의 막 두께 분포를 Å 단위로 나타낸다. 도 54D로부터 알 수 있는 바와 같이, 이 경우 산화막의 막 두께 변동은 2.70%까지 감소하고 있다.
한편, 도 54E에 도시한 바와 같이 상기 주사의 기점을 기판 중심으로부터 y축 방향 하방으로 50.0mm 어긋나게 한 경우에는 상기 산화막의 막 두께 변동은 5.08%까지 증대하고 있다.
이러한 점에서, 도 51의 기판 처리 장치(320)에 있어서는 상기 자외선원(324B)의 주사의 기점을 기판에 대해 최적화하는 것이라도, 피처리 기판(322) 상에 형성되는 산화막의 막 두께 변동을 최소화할 수 있는 것으로 결론지어진다.
다음으로 도 55A 내지 55E는 도 51의 기판 처리 장치(320)에 있어서 상기 자 외선원(324B)의 주사 거리를 100mm로 하고, 주사의 기점을 피처리 기판(322)의 중심으로부터 y축 방향 하방으로 37.5mm 어긋나게 한 위치로 설정하고, 조도를 각각 3mW/cm2, 6mW/cm2, 12mW/cm2, 18mW/cm2 및 24mW/cm2로 설정하여 산화막을 형성한 경우의 막 두께 분포를 Å 단위로 나타내고 있다.
도 55A 내지 55E를 참조하면, 막 두께의 격차는 도 55A의 조사량을 3mW/cm2로 설정한 경우가 가장 작고, 조사량이 증대함에 따라 막 두께의 격차도 증대하고 있음을 알 수 있다.
도 55A 내지 55E의 결과는 도 51의 기판 처리 장치(320)에 있어서, 자외선원(324B)의 조도를 최적화함으로써, 수득되는 산화막의 막 두께의 격차를 최소화할 수 있음을 나타내고 있다.
도 56A 및 56B는 비교 대조예를 나타내고, 도 56A는 도 55A 내지 55E와 동일한 조건 하에서, 자외광 조사를 실시하지 않고 산화막을 형성한 경우를, 또한 도 56B는 종래의 급속 열산화(RTO) 처리에 의해 산화막을 형성한 경우를 나타내지만, 어느 경우에 있어서도 4%를 초과하는 막 두께 변동이 관측됨을 알 수 있다.
도 57 및 58은 상기의 결과를 근거로 한, 도 51의 기판 처리 장치(320)에 있어서의 기판 처리 방법의 최적 조건을 탐색하는 흐름도이다. 이 중, 도 57은 최적 주사 영역을 탐색하는 흐름도이고, 도 58은 최적 조도를 탐색하는 흐름도이다.
도 57을 참조하면, 최초로 스텝 71에 있어서 피처리 기판 상의 임의의 영역이 지정되고, 다음으로 스텝 72에 있어서 상기 기판 처리 장치(320) 중에 피처리 기판(322)을 도입하여, 상기 자외광원(324B)을 상기 피처리 기판(322) 상의 지정된 영역에서 주사시켜 산화막을 형성한다. 또한, 상기 스텝 71 및 스텝 72를 반복함으로써 각 반복마다 새로운 피처리 기판(322) 상에 상기 영역이 어긋난 상태로 산화막을 형성한다.
또한 스텝 73에 있어서 각 실험에서 수득된 산화막의 막 두께 분포를 평가하고, 스텝 74에 있어서 막 두께 변동이 최소가 되는 최적 주사 영역을 찾아낸다.
도 57의 최적 주사 조건을 탐색한 후, 도 58에 나타낸 최적 조사 조건의 탐색이 수행된다.
도 58을 참조하면, 최초로 스텝 81에 있어서 도 57의 순서에 의해 탐색된 최적 주사 영역이 지정되고, 다음으로 스텝 82에 있어서 자외광원(224B)의 구동 에너지가 지정된다. 또한 스텝 83에 있어서 상기 기판 처리 장치(320) 중에 피처리 기판(322)을 도입하여, 상기 자외광원(324B)을 상기 피처리 기판(322) 상의 지정된 최적 영역에서 스텝 312에 의해 지정된 구동 에너지로 주사시켜 산화막을 형성한다. 또한, 상기 스텝 312 및 스텝 313을 반복함으로써 각 반복마다 새로운 피처리 기판(322) 상에 상기 구동 에너지가 어긋난 상태로 산화막을 형성한다.
또한 스텝 314에 있어서 각 실험에서 수득된 산화막의 막 두께 분포를 평가하여, 막 두께 변동이 최소가 되는 자외광원(324B)의 최적 구동 에너지를 찾아낸다. 또한 스텝 315에 있어서 이러한 최적 구동 에너지에서 막 형성이 이루어지도록, 상기 기판 처리 장치(320)의 자외광원(324B)을 제어하는 프로그램을 결정한다.
이렇게 하여 결정된 프로그램에 따라 상기 제어장치(325)는 상기 로보트(324C) 및 자외광원(324B)을 동작시키고, 그 결과 상기 자외광원(324B)은 최적의 기판 영역을 최적의 구동 에너지로 주사하고, 그 결과 상기 피처리 기판(324) 상에 0.3 내지 1.5nm, 바람직하게는 1nm 이하, 보다 바람직하게는 0.8nm 이하, 예컨대 0.4nm 두께의 매우 얇으면서도 막 두께가 균일한 라디칼 산화막이 앞의 실시양태와 동일하게 하여 형성된다.
앞서 설명한 바와 마찬가지로, 이러한 도 51의 기판 처리 장치(320)를 사용한 UV-O2 처리에 의한 실리콘 기판 표면 상으로의 산화막 형성시에도, 형성된 산화막의 막 두께가 0.4nm 또는 2 내지 3원자층인 범위에서 막 성장의 정류 감소가 생기고, 이 때문에 이 두께의 실리콘 산화막은 안정적으로 재현성 좋게 형성할 수 있다. 그래서, 이렇게 하여 형성된 산화막을 고유전체막과 조합시킴으로써, 게이트 절연막의 실효적인 막 두께가 얇고 매우 미세화된 고속 MOS 트랜지스터를 실현할 수 있게 된다.
또한, 본 실시양태에서는 산화막은 UV-O2 처리에 의해 형성된 산화막으로 하였지만, 산화막은 이러한 산화막으로 한정되는 것이 아니라, 낮은 라디칼 밀도로 정밀하게 산화를 수행할 수 있는 산화 방법으로 형성된 산화막이면 어떤 것이어도 무방하다.
제 8 실시양태
도 59는 본 발명의 제 8 실시양태에 따른 MOS 트랜지스터(340)의 구성을 나타낸다.
도 59를 참조하면, 실리콘 기판(341) 상에는 2 내지 3원자층 분량 두께의 실리콘 산화막으로 이루어진 베이스 산화막(342)이 형성되어 있고, 상기 베이스 산화막(342) 상에는 ZrO2, HfO2, Ta2O5, Al2O3 , ZrSiO4, HfSiO4 등의, 이른바 고유전체막(343)이 형성되어 있다. 또한 상기 고유전체막(343) 상에는 폴리실리콘 또는 그 밖의 금속으로 이루어진 게이트 전극(344)이 형성되어 있다. 또한, 도시는 생략하지만 상기 실리콘 기판(341) 중에는 상기 게이트 전극(344)의 양측에 확산 영역이 형성되어 있다.
도 60은 도 59의 MOS 트랜지스터를 제조하는데 사용되는 클러스터형 기판 처리 시스템(350)의 구성을 나타낸다.
도 60을 참조하면, 상기 기판 처리 시스템(350)은 클러스터형의 처리 장치이고, 기판 반입/반출을 위한 로드록실(351), 기판 표면의 자연 산화막 및 탄소 오염을 제거하는 전처리실(352), 도 51의 기판 처리 장치(320)로 이루어진 UV-O2 처리실(353), 기판 상에 Ta2O5, Al2O3, ZrO2, HfO 2, ZrSiO4, HfSiO4 등의 고유전체막을 퇴적시키는 CVD 처리실(354), 및 기판을 냉각하는 냉각실(355)을 진공 반송실(356)로 연결한 구성을 갖고, 상기 진공 반송실(356) 중에는 반송 아암(도시하지 않음)이 설치되어 있다.
동작시에는 상기 로드록실(351)에 도입된 피처리 기판은 경로(1)를 따라 상기 전처리실(352)에 도입되어 자연 산화막 및 탄소 오염이 제거된다. 상기 전처리실(352)에서 자연 산화막이 제거된 피처리 기판(352)은 경로(2)를 따라 상기 UV-O2 처리실(353)에 도입되고, 도 51의 기판 처리 장치(320)에 의해, 도 59에 나타낸 베이스 산화막(342)이 2 내지 3원자층의 균일한 막 두께로 형성된다.
또한, 상기 UV-O2 처리실(353)에서 베이스 산화막(342)이 형성된 피처리 기판은 경로(3)를 따라 CVD 처리실(354)에 도입되고, 상기 베이스 산화막 상에 도 59에 나타낸 고유전체 게이트 절연막(344)이 형성된다.
또한 상기 피처리 기판은 상기 CVD 처리실(354)로부터 경로(4)를 따라 냉각실(355)로 이송되고, 상기 냉각실(355)에서 냉각된 후, 경로(5)를 따라 로드록실(351)로 되돌려져 외부로 반출된다.
또한, 도 60의 기판 처리 시스템(350)에 있어서, 추가로 실리콘 기판의 평탄화 처리를 Ar 분위기 중 고온 열처리에 의해 수행하는 전처리실을 별도로 설치할 수도 있다.
도 61은 UV-O2 처리실(53)에서 수행되는 라디칼 산화 처리의 조건을 설명하는 도면이다.
도 61을 참조하면, 횡축은 도 51의 처리 용기(321) 중에 자외광원(324B)에 의해 여기되는 산소 라디칼의 Torr 단위로 나타낸 분압을 대수 스케일로 나타내고, 한편 종축은 공정 개시 후, 도 8에 나타낸 정류 현상이 생기게 되기까지의 처리 시간 및 정류 현상이 소멸하기까지의 처리 시간을 마찬가지로 대수 스케일로 나타낸다. 횡축의 산소 라디칼 분압은 산소 라디칼 밀도에 대응하고, 상기 자외광원(324B)의 구동 파워 내지 자외광 조사 강도와 자외광 파장에 의해 결정된 다.
이하, 자외광 조사 강도와 라디칼 밀도의 관계를 172nm의 자외광 파장을 사용한 경우의 예에 대해 설명한다.
도 51의 기판 처리 장치(320), 즉 도 60의 기판 처리 시스템(350)의 처리실(353)에 있어서, 100% 구동 상태로 창면 바로 아래의 자외광 조도가 50mW/cm2가 되는 자외광원을 상기 자외광원(324B)으로서 사용하고, 처리압을 0.02Torr(2.66Pa)로 유지한 채로 150SCCM 유량의 산소 기체를 처리 용기(321) 중에 흘린 경우, 자외광원(324B)은 4.34×1016/cm2·초의 포톤 플럭스(photon flux)를 형성한다. 상기 광원(23)이 2cm 폭의 관형상 램프이고, 이 램프에 의해 20cm 직경의 실리콘 웨이퍼를 조사한 경우를 생각하면, 실리콘 웨이퍼 표면에서의 평균적인 포톤 플럭스값은 상기 포톤 플럭스값의 약 1/10인 4.34×1015cm-2가 된다.
한편, 파장이 172nm인 자외광에 대한 산소 분자의 흡수 단면적은 6×10-19cm2인 것이 알려져 있기 때문에, 식 I/I0=exp(-σnx)로 주어지는 처리 분위기 중에서의 자외광 투과율은 0.9916으로 구해진다. 단, 여기서 처리 압력은 0.02Torr(2.66Pa)로 하고, 처리 분위기 중에서의 기체 분자 밀도 n은 7.05×1014cm-3로 하고, 자외광은 처리 용기(23) 중 20cm의 거리를 진행하는 것으로 하였다.
그래서, 자외광이 처리 용기(321) 중에서 20cm의 거리를 진행하는 동안에 처리 분위기에 의해 흡수되는 양에 대응하는 라디칼량은 단위 면적 단위 시간당, 상 기 포톤 플럭스값 4.34×1015/cm2에 비율 0.0084를 곱하여 3.65×1013/cm 2·초가 되고, 이와 동일한 비율로 산소 라디칼이 처리 용기(23) 중에 형성된다.
한편, 처리 용기(321) 중에서의 산소 기체의 플럭스는 샤워 헤드(21B)의 면적을 314cm2라고 하면, 표준 상태 부피 환산으로 7.98×10-3cc/cm2·초가 된다. 이는 분자수로 환산하면 2.138×1017/cm2·초가 된다. 그래서, 플럭스비의 값 3.65×1013/2.138×1017=1.71×10-4로부터 0.02Torr(2.66Pa)의 처리압 하에서 발생하는 산소 라디칼의 분압은 3.42×10-6Torr(=1.71×10-4×0.02)가 된다.
이와 같이, 광 강도 100%, 산소 기체 유량 150SCCM 및 처리압(=처리 용기 내압) 0.02Torr(2.66Pa)인 경우에 상기 처리 용기(321) 중에 형성되는 산소 라디칼 농도는 약 3.42×10-6Torr(4.54×10-4Pa)가 됨을 알 수 있다. 동일한 수속에 의해, 다른 다양한 조건에 대해 라디칼 밀도를 계산하는 것이 가능하다.
도 61은 라디칼 밀도, 즉 라디칼 분압과, 기판 처리 개시 후, 앞서 설명한 도 5의 정류 현상이 생기는 기간의 관계를 나타낸다.
도 61을 참조하면, 처리 용기(321) 중의 라디칼 밀도가 높은 경우, 도 5의 경우와 동일하게 정류 현상은 공정 개시 후 곧 발생하는데 대해, 라디칼 밀도가 낮은 경우에는 공정 개시 후 긴 시간이 경과한 후에 생긴다. 이는 라디칼 밀도가 높은 경우 산화막의 성막 속도가 커서 단시간에 0.4nm의 정류막 두께에 이르는데 대 해, 라디칼 밀도가 낮은 경우 산화막의 성막 속도가 작아 0.4nm의 정류막 두께에 이르는데 긴 시간이 필요한 사정에 대응하고 있다.
마찬가지로, 정류 현상이 발생하고 난 후 소멸하기까지의 정류 시간도 라디칼 밀도에 따라 변화되어, 라디칼 밀도가 높은 경우에는 정류 시간이 감소하고, 한편 라디칼 밀도가 낮은 경우에는 정류 시간은 증대한다.
실제의 반도체 장치의 제조 공정을 생각하면, 정류 현상이 발생하기까지의 처리 시간이 지나치게 길면 반도체 장치의 제조 생산량이 저하되기 때문에 라디칼 밀도에는 저절로 하한이 존재한다. 또한 정류 현상이 계속되는 시간이 지나치게 짧으면, 2 내지 3 또는 2 내지 4원자층의 바람직한 막 두께의 산화막을 안정적으로 형성할 수 없게 되기 때문에 라디칼 밀도에는 저절로 상한이 존재한다.
도 61은 라디칼 산화 처리를 172nm 파장의 자외광을 사용하여 기판 산화를 450℃에서 수행하는 경우에 대한 예를 나타내고 있지만, 이 관계로부터 라디칼 분압의 하한은 허용 처리 시간을 5분간(300초) 이하로 하여 1×10-4mTorr(133×10-7Pa)가 되고, 라디칼 분압의 상한은 필요 정류 시간을 약 100초간 이상으로 하여 1mTorr(133×10-3Pa)가 됨을 알 수 있다. 또한, 이에 대응한 자외광 조사 파워는 광원(23)의 창 바로 아래에서 5 내지 50mW/cm2가 된다.
도 61에서는 정류 현상의 발생과 소멸을 나타내는 두개 직선의 간격은 라디칼 분압이 증대함에 따라 증대하고 있는 것처럼 보이지만, 도 61의 종축 및 횡축은 대수로 플롯되어 있기 때문에, 상기 간격에 대응한 정류 시간의 값은 라디칼 분압 과 함께 실제로는 감소하고 있다.
상기 UV-O2 처리시, 산소 기체 분압은 6.65×10-3Pa 내지 133Pa(0.05 내지 1000mTorr), 보다 바람직하게는 1.33 내지 13.3Pa(10 내지 100mTorr)의 범위로 설정하는 것이 바람직하다.
또한 도 51의 기판 처리 장치(320)를 사용한 라디칼 산화를 다른 파장의 자외광을 사용하여 수행하는 것도 가능하다. 이 경우, 분위기 기체에 의한 자외광의 흡수를 생각하면, 기판 처리 장치(320)의 처리 용기(321) 내에서 상기 1×10-4mTorr(1.33×10-2mPa) 이상 1mTorr(133mPa) 이하의 라디칼 밀도를 실현하고자 하면 자외광원(324B)의 구동 에너지 또는 분위기 기체 조성을 변화시킬 필요가 있다.
예컨대 파장이 146nm인 자외광원을 상기 자외광원(324B)으로서 사용하는 경우에는, 파장이 172nm인 경우보다 25배 큰 광흡수를 고려하여 분위기 중의 산소 분압을 0.05 내지 50mTorr(6.7mPa 내지 6.7Pa)의 범위로 설정한다.
또한, 이렇게 하여 형성된 2 내지 3원자층 분량 두께의 산화막을 질소 라디칼에 의해 질화시켜 산질화막으로 변환시키는 것도 가능하다. 이렇게 하여 형성된 산질화막은 비유전율이 실리콘 산화막보다 크기 때문에, MOS 트랜지스터의 게이트 절연막의 열산화막 환산 막 두께를 더욱 감소시킬 수 있게 된다.
제 9 실시양태
이하, 본 발명의 제 9 실시양태에 대해 설명한다.
본 실시양태에서는 도 51의 기판 처리 장치(320)를 사용하여, 실리콘 기판 표면에, 앞서 설명한 것과 동일한 UV-NO 라디칼 처리에 의해 직접 산질화막을 형성한다. 또한, 동일한 결과는 앞서 설명한 도 3의 기판 처리 장치(20)에서도 수득된다.
도 62A는 도 51의 기판 처리 장치(320)를 사용하여 실리콘 기판 상에 0.4nm의 두께로 형성한 산화막을, 계속해서 도 51의 기판 처리 장치(320)에 있어서 상기 샤워 헤드(321B)에 NO 기체를 공급함으로써 산질화시킨 경우의, 엘립소메트리에 의해 구한 막 두께 분포를 나타낸다. 또한 이하의 표 5는 도 62A의 기판에 있어서 중심부 및 주변부의 실제 막 두께를, 앞서 설명한 XPS법에서 검출각을 90°로 설정하여 분해능을 낮춘 측정으로 SiO+와 Si4+에 상당하는 피크의 비로부터 간편하게 구한 결과를 나타낸다. 단, 산질화 처리는 NO 기체를 상기 샤워 헤드(321B)에 200SCCM의 유량으로 공급하고, 상기 처리 용기(321)의 내압을 3.99Pa(0.03Torr)로 유지하면서 자외광원(24B)을 상기 기준 강도로 3분간 구동시킴으로써 수행하고 있다. 기판 온도는 450℃로 설정하고 있다.
Figure 112004002249904-pct00006
도 62A 및 표 5를 참조하면, 산질화 처리 후에 있어서의 막 두께는 기판 중 심부 및 주변부 중 어느 것에서도 0.43 내지 0.49nm이고, 당초의 막 두께인 약 0.4nm로부터 거의 변화되지 않음을 알 수 있다. 또한, 이렇게 하여 처리된 산화막에 대해 XPS 분석에 의해 질소의 검출을 시도하였지만, 질소 원자로부터의 시그널은 검출되지 않았다. 이는 상기 산질화 처리에서는 상기 산화막의 질화는 전혀 진행되지 않음을 의미하고 있다.
도 62B는 동일한 조건으로 실리콘 기판 표면에 산화막을 0.7nm의 두께로 형성한 경우의, 엘립소메트리로 구한 산질화 처리 후의 막 두께 분포를 나타내고, 또한 이하의 표 6은 XPS법으로 검출각을 90°로 설정하여 구한 실제 막 두께를 기판 중심부 및 주변부에 대해 나타낸다.
Figure 112004002249904-pct00007
도 62B 및 표 6을 참조하면, 이 경우에도 산질화 처리 후에 있어서의 막 두께는 기판 중심부 및 주변부 중 어느 것에서도 0.69 내지 0.68nm이고, 당초의 막 두께인 약 0.7nm로부터 거의 변화되지 않음을 알 수 있다. 이렇게 하여 처리된 산화막에 대해 XPS 분석에 의해 질소의 검출을 시도하였지만, 질소 원자로부터의 시그널은 검출되지 않았다.
표 6의 결과 및 앞의 표 5의 결과로부터, 실리콘 기판 표면에 이미 형성되어 있는 산화막의 UV 라디칼 NO 처리에 의한 산질화 처리에서는 산화막의 막 두께가 아무리 작아도 막 중에 질소를 도입하는 것은 불가능함을 알 수 있다.
이에 대해, 도 63A는 도 51의 기판 처리 장치(320)에 있어서, 자연 산화막을 제거한 실리콘 기판을 직접 UV 라디칼 NO 처리한 경우에 실리콘 기판 표면에 형성된 막에 대해 엘립소메트리에 의해 구한 막 두께 분포를 나타내고, 또한 표 3은 이렇게 하여 수득된 막의 기판 중심부 및 주변부에서의 막 두께를 XPS법에 의해 검출각을 90°로 설정하여 구한 결과를 나타낸다. 단, 도 63A의 실험에서는 도 51의 기판 처리 장치(320)에 있어서 샤워 헤드(321B)에 NO 기체를 200SCCM의 유량으로 공급하고 처리 용기(321)의 내압을 앞의 경우와 마찬가지로 3.99Pa(0.03Torr)로 유지하면서, 자외광원(324B)을 상기 기준 강도로 3분간 구동시킴으로써 수행하고 있다. 기판 온도는 450℃로 설정하고 있다.
도 63A를 참조하면, 실리콘 기판 표면에는 거의 균일한 막 두께의 막이 형성되고 있고, 표 7로부터 그 막 두께는 기판 중심부에서도 주변부에서도 약 0.5nm 정도임을 알 수 있다.
Figure 112004002249904-pct00008
또한 도 63B는 상기 산질화 처리를, NO 기체의 유량을 1SLM으로 설정하고, 665Pa(5Torr)의 압력 하에 자외광원(24B)을 상기 기준 강도로 1분간 구동시켜 수행한 경우의, 엘립소메트리에 의한 막 두께 분포를 나타낸다. 또한 이하의 표 8은 이렇게 하여 수득된 막에 대해, 기판 중심부 및 주변부에서 검출각을 90°로 설정하여 수행한 XPS법에 의한 막 두께 측정의 결과를 나타낸다.
Figure 112004002249904-pct00009
도 63B를 참조하면, 이 경우에도 기판 표면에서의 형성된 막의 막 두께 분포는 거의 균일함을 알 수 있고, 표 8로부터 그 막 두께는 기판 중심부에서도 주변부에서도 약 0.5nm임을 알 수 있다.
이하의 표 9는 도 63A의 실험에 의해 수득된 막에 대해 XPS법에 의해 원소 분석을 수행한 결과를 나타낸다.
Figure 112004002249904-pct00010
표 9를 참조하면, 이렇게 하여 형성된 막에서는 O1s 궤도에 대응하는 시그널, N1s 궤도에 대응하는 시그널 및 Si2p 궤도에 대응하는 시그널이 관측되고, 검출각을 90°로 설정한 측정에서는 기판 중심부에서 산소 원자 농도가 67.23%, 질소 원자 농도가 11.18%, 실리콘 원자 농도가 21.59%임이 확인되었다. 또한 기판 주변 부에서도 산소 원자 농도가 66.88%, 질소 원자 농도가 9.13%, 실리콘 원자 농도가 24.23%임이 확인되었다. 즉, 이렇게 하여 형성된 막은 질소를 함유한 산질화막임이 확인되었다.
마찬가지로, 이하의 표 10은 도 63B의 실험에 의해 수득된 막에 대해 XPS법에 의해 원소 분석을 수행한 결과를 나타낸다.
Figure 112004002249904-pct00011
표 10을 참조하면, 이렇게 하여 형성된 막에 있어서도 O1s 궤도에 대응하는 시그널, N1s 기동(起動)에 대응하는 시그널 및 Si2p 궤도에 대응하는 시그널이 관측되고, 검출각을 90°로 설정한 측정에서는 기판 중심부에서 산소 원자 농도가 67.3%, 질소 원자 농도가 11.66%, 실리콘 원자 농도가 21.24%임이 확인되었다. 또한 기판 주변부에서도 산소 원자 농도가 67.2%, 질소 원자 농도가 11.44%, 실리콘 원자 농도가 21.37%이고, 막 중의 조성이 앞의 표 5의 경우보다 균일하게 되어 있음이 확인되었다. 즉, 이 경우에도 조성이 동일한 산질화막이 실리콘 기판 표면에 형성되어 있다.
그런데 상기 표 10에 있어서 XPS 스펙트럼의 검출각을 30°로 설정하여 수행한 측정에서는 기판 중심부 및 주변부도 질소 농도가 90°의 검출각에서 측정한 경 우보다 약간 감소하고 있는 것이 보인다. 검출각을 얕게 설정한 측정에서는 산질화막의 하부에서 방출된 광전자에 의한 시그널은 막 중을 비스듬히 통과할 때에 감쇠되기 때문에, 주로 막 상부의 조성이 검출되는 것으로 생각된다. 따라서 이 표 10의 결과는 이렇게 하여 형성된 산질화막 중에서 질소 원자는 실리콘 기판과의 계면 근방에서 비교적 농집되어 있음을 나타내고 있다. 동일한 경향은 표 9의 기판 중심부에서의 분석 결과에서도 나타나고 있다.
다음으로, 이러한 실리콘 기판 표면의 UV-NO 처리에 의한 산질화막 형성의 키네틱스에 대해 설명한다.
도 64A 및 64B는 도 3의 기판 처리 장치(320)에 있어서, 상기 샤워 헤드(321B)에 NO 기체를 200SCCM의 유량으로 공급하고, 처리 압력을 3.99Pa(20mTorr)로 유지하면서 450℃에서 상기 자외광원(324B)을 상기 기준 파워로 구동시키고, 구동 시간을 다양하게 변화시킨 경우에 있어서의 산질화막의 막 두께 및 막 중의 질소 농도를 각각 나타낸다.
도 64A를 참조하면, 상기 산질화막의 막 두께는 시간과 함께 증대하지만, 약 0.5nm의 막 두께에 이른 시점에서, 앞의 도 5 및 8에서 설명한 것과 동일한 막 성장의 정류 현상이 발생하고 있음을 알 수 있다. 또한 도 64A 중에는 이러한 질화 처리시에 상기 자외광원(324B)을 구동시키지 않은 경우를 기호 ○로 나타내고 있다. 이 경우에는 도 64A로부터 알 수 있는 바와 같이 산질화막의 성장은 전혀 생기지 않는다.
한편, 도 64B로부터는, 산질화 처리를 시작한 직후에는 XPS 분석의 검출각을 30°로 설정한 경우의 질소 농도가 검출각을 90°로 설정한 경우보다 작게 나타나고, 질소 원자는 산질화막과 실리콘 기판의 계면 근방에 농집되어 있음을 알 수 있다. 또한 도 64B로부터는, 산질화 처리를 계속함으로써 이 막 두께 방향에서의 질소 분포의 불균일은 서서히 해소됨을 알 수 있다.
도 64B의 결과는 질화 처리 개시 직후에는 질소 농도가 높은 산질화막이 형성되지만, 시간과 함께 막 중의 질소 농도가 감소하고, 막 성장 기구가 시간과 함께 서서히 산화 반응 주체로 이행함을 알 수 있다. 처리 개시로부터 약 200초 후에는 질소 농도의 막 두께 방향으로의 불균일은 해소되고 있다.
도 65A 및 65B는 각각 도 64A 및 64B에 대응하는 도면이고, 상기 산질화 처리를 상기 자외광원(324B)의 구동 파워를 상기 기준 파워의 20%로 설정하여 수행한 경우를 나타내지만, 앞의 도 64A 및 64B와 동일한 결과가 수득되고 있다. 즉, 막 성장의 정류 현상이, 산질화막의 막 두께가 약 0.5nm에 이른 시점에서 생기고 있고, 또한 막 성장의 초기에는 높은 질소 농도의 산질화막이 형성되어 질소 원자가 산질화막과 실리콘 기판의 계면 근방에 농집되어 있음을 알 수 있다.
이에 대해 도 66A 및 66B는 실리콘 기판 표면의 동일한 산질화 처리를, 기판 온도를 550℃로 설정하여 실행한 경우의 막 두께와 처리 시간의 관계, 및 막 중에서의 질소 농도의 분포와 처리 시간의 관계를 각각 나타낸다.
우선 도 66B를 참조하면, XPS 분석시의 검출각을 90°로 설정한 경우에도 30°로 설정한 경우에도, 막 중에 받아들여진 질소 원자의 농도는 도 64B 또는 도 65B의 경우보다 실질적으로 적고, 따라서 형성되어 있는 산질화막은 산화막에 보다 가까운 조성을 갖고 있음을 알 수 있다. 이는 아마 산질화 처리시의 기판 온도를 550℃로 설정하였기 때문에, 처리 용기(321) 중에 잔존하는 산소에 의한 산화 작용이 촉진된 것에 기인하는 것으로 생각된다.
또한 도 66A에서는 형성된 산질화막이 산화막에 보다 가까운 조성을 갖고 있기 때문에, 막 성장의 정류가, 도 5 및 도 8에서 설명한 산화막의 막 성장 정류 현상이 생기는 0.4nm에 보다 가까운 0.46nm 전후의 막 두께에서 발생하고 있는 것으로 생각된다.
또한, 본 실시양태에서는 산질화막의 막 두께를 앞서 설명한 수학식 1 및 이에 부수되는 파라미터를 사용하여 구하고 있지만, 이는 산화막에 대해 도출된 식으로, 산질화막의 경우 광전자의 탈출 깊이의 효과에 의해 막 두께값이 다소 크게 산출되어 있을 가능성이 있다. 어쨋든 본 발명에서 형성되는 산질화막은 2원자층 정도로 제어된 막 두께를 갖는 것으로 생각된다.
도 51의 기판 처리 장치(320)를 실리콘 기판의 산질화 처리에 적용하는 경우에는 자외광원(324B)으로서는 앞서 도 34에서 수행한 것과 동일한 고찰로부터, 192 내지 145nm의 파장 범위를 갖는 자외광을 형성할 수 있는 광원을 사용하는 것이 바람직하다.
도 51의 기판 처리 장치(320)를 매엽식의 반도체 제조 공정에 적용하는 것을 생각하면, 이러한 광원(324B)은 수시 점등 및 소등이 가능한 것이 바람직하다. 현재, 이러한 수시 점등 및 소등이 가능하고 게다가 예리한 스펙트럼을 갖는 자외광원으로서, 파장이 308nm, 222nm, 172nm, 146nm 및 126nm인 엑시머 램프가 상업적으 로 입수가능하다. 이 중, 상기 조건을 만족시키는 램프는 파장이 172nm인 것과 146nm인 것으로 한정된다. 이 중, 파장이 146nm인 엑시머 램프는 13nm 정도의 반값폭을 갖고, 이 때문에 스펙트럼의 일부가 145nm 이하가 되어, 램프의 상태나 개체 차이 여하에 따라서는 산소 라디칼의 여기가 생기지 않는다고도 한정할 수 없다. 이러한 점에서, 도 51의 기판 처리 장치(320)에서 자외광원(324B)으로서 시판의 엑시머 램프를 사용하는 경우에는 파장 172nm의 것을 사용하는 것이 바람직하다.
도 67은 이러한 172nm의 자외광을 발생시키는 엑시머 램프(유전체 배리어 방전관)(341)의 개략적 구성을 나타낸다(일본 특허 공개공보 제 1995-196303 호 또는 일본 특허 공개공보 제 1996-85861 호를 참조).
도 67을 참조하면 엑시머 램프(341)는 내측 석영관(342)과 외측 석영관(343)을 포함하는 2중 원통형 용기를 갖고, 상기 내측 석영관(342)과 외측 석영관(343) 사이의 공간(347)에는 Xe 기체가 33.25kPa(250Torr)의 압력으로 봉입되어 있다. 또한 상기 내측 석영관(342)의 내측면에는 알루미늄 박막 전극(345)이 형성되어 있고, 또한 상기 외측 석영관(345)의 외측에는 메쉬상의 전극(344)이 형성되어 있다. 또한 상기 공간(347)의 축방향 단부에는 게터실(348)이 형성되어 있고, 상기 게터실(348)에는 게터(346)가 설치되어 있다. 상기 엑시머 램프(341)는 상기 전극(344)과 전극(345) 사이에 전원(350)에 의해 교류 전압을 인가함으로써 자유롭게 점등·소등을 제어할 수 있다.
이러한 엑시머 램프로서는 예컨대 우시오 덴키로부터 시판되고 있는 형식 UER20-172의 것 또는 호야 쇼트로부터 시판되고 있는 형식 HES1703S의 것을 사용할 수 있다.
물론, 상기 자외광원은 상기 엑시머 램프에 한정되는 것이 아니라, 그 외에 저압 수은 램프나, 경우에 따라서는 엑시머 레이저를 사용할 수도 있다.
제 10 실시양태
다음으로, 본 발명의 제 10 실시양태가 되는 UV-NO 처리에 의한 산질화막의 웨이퍼 면내 균일 성막 처리에 대해 설명한다.
앞의 표 7을 다시 참조하면, 처리압 3.99Pa(0.03Torr) 및 UV광 파워 100%의 조건 하에서 성막된 산질화막에서는 막 두께는 웨이퍼 중심과 주변에서 거의 동일한 값을 나타내지만, 표 9에 나타낸 질소 농도에는 2원자% 정도의 차이가 존재하여 질소 농도 분포가 불균일함을 나타내고 있다. 표 9의 결과는 UV-NO 처리에 의한 산질화막 형성에서는 막 두께와 질소 농도의 균일성을 양립시키는 것이 중요한 과제임을 나타내고 있다.
도 68A는 위에서 말한 조건과 동일한 조건으로, 단 산질화 시간을 1분 30초로 설정하여 형성한 산질화막에 대해, 엘립소메트리에 의한 측정으로 수득한 막 두께 분포를 나타낸다. 한편 도 68B는 산질화막을 완전히 동일한 조건으로, 단 자외선 램프를 왕복 운동시키면서 형성한 경우의 막 두께 분포를 나타낸다. 도 68A 및 68B에서는 웨이퍼 면내의 측정 점수가 17점으로 증가되고 있다. 또한, 도 68B의 실험에서의 자외선 램프의 왕복 운동은 자외선 램프를 한쪽 웨이퍼 끝으로부터 200mm 떨어진 다른 쪽 웨이퍼 끝까지 60mm/초의 속도로 이동시켜 반환 점에서 0.1 초간 정지시키고, 또한 웨이퍼 중앙에서도 1초간 정지시키는 순서로 수행되고 있다.
도 68A와 도 68B를 비교하면, 도 68B의 실험에서는 상술한 자외선 램프의 왕복 운동 순서를 채용함으로써 도 68A의 실험보다 막 두께 분포가 더 균일해지고 있음이 관찰되었다.
정확을 기하기 위해, 도 68A 및 68B의 시료에 대해 XPS법에 의해 막 두께를 측정한 결과를 각각 표 11 및 표 12에 나타낸다. 단, 표 11 및 표 12에서 주변부의 측정은 2점에서 수행되고 있다. 또한 질소 농도에 대해서도 도 68A의 시료에 대응하는 측정 결과를 표 13에, 또한 도 68B의 시료에 대응하는 측정 결과를 표 14에 나타내고 있다.
Figure 112004002249904-pct00012
Figure 112004002249904-pct00013
Figure 112004002249904-pct00014
Figure 112004002249904-pct00015
이들 결과를 비교하면, 자외선 램프를 왕복 운동시킴으로써 웨이퍼 중심부와 주변부에서 균일한 막 두께로의 성막이 가능하게 되고, 또한 질소 농도에 대해서도 균일한 분포가 실현되고 있음을 알 수 있다. 이상의 결과는 자외선 램프를 왕복 운동시킴으로써 웨이퍼 면내에서의 자외선 조사량이 균일화됨을 나타낸다.
제 11 실시양태
도 69A는 본 발명의 제 9 실시양태 및 제 10 실시양태에서 사용되는 NO 기체의 도입 순서를 온도 프로파일(T)과 함께 나타낸다.
도 69A를 참조하면, 피처리 기판(322)의 처리 용기(321)로의 반입과 동시에 기판(322)의 승온이 개시되지만, 이 순서에서는 승온의 초기 단계에서는 처리 용기(321) 중에 질소 기체가 도입되고 있고, 소정의 유지 온도에 이른 단계에서 NO 기체로 교체된다. 또한 상기 처리 용기(321) 중에서 상기 NO 기체의 농도가 소정 값에 이른 상태에서 자외광원(324B)이 소정 시간 구동되어, 앞서 설명한 UV-NO 처리가 수행된다.
그 후, 상기 자외광원(324B)은 오프되고, 또한 NO 기체의 공급이 차단되며, 기판 온도(T)가 실온까지 강하한 단계에서 기판(322)이 상기 처리 용기(321)로부터 반출된다.
이에 대해 도 69B는 도 69A의 순서 대신에 도 51의 기판 처리 장치(320)에서 사용되는 본 발명의 제 11 실시양태에 의한 NO 기체 도입 순서를 나타낸다.
도 69B를 참조하면, 본 실시양태에서도 피처리 기판(322)의 처리 용기(321)로의 반입과 동시에 기판(322)의 승온이 개시되지만, 본 실시양태에서는 상기 기판(322)의 반입과 동시에 NO 기체의 도입이 개시되어, 기판 온도(T)가 소정값에 이른 시점에서 상기 자외광원(324B)이 소정 시간 구동되어 목적하는 UV-NO 처리가 수행된다.
그 후, 상기 자외광원(324B)은 오프되고, 또한 NO 기체의 공급이 차단되며, 기판 온도(T)가 실온까지 강하한 단계에서 기판(322)이 상기 처리 용기(321)로부터 반출된다.
도 70A 및 70B는 도 69A 및 69B의 NO 기체 도입 순서를 사용한 경우의, 처리 온도와 산질화막 두께 및 처리 온도와 산질화막 중의 질소 농도의 관계를 각각 나타낸다. 단, 도 70A 및 70B 중 ●는 도 69A의 NO 도입 순서를 사용한 경우를, ○는 도 69B의 NO 도입 순서를 사용한 경우를 나타낸다.
도 70A를 참조하면, 기판 처리 온도가 500℃ 이하인 경우에는 도 69B의 NO 도입 순서를 사용하거나 도 69B의 NO 도입 순서를 사용하여도, 형성되는 산질화막의 막 두께에 실질적인 차이는 생기지 않지만, 기판 처리 온도가 500℃를 초과하면, 도 69B의 순서를 사용한 경우에 수득되는 산질화막의 막 두께가 증대함을 알 수 있다.
이 결과를 도 70B의 막 중 질소 농도의 값과 비교하면, 기판 처리 온도가 500℃를 초과한 경우, 도 69B의 NO 도입 순서를 사용함으로써 막 중의 질소 원자 농도가 대폭 증대하고, 도 70A에 보이는 산질화막의 막 두께 증대는 주로 산질화막 중에 도입된 질소 원자에 의해 발생함을 알 수 있다.
이와 같이, 처리에 앞서 처리 용기 중에 NO 기체를 도입함으로써 UV-NO 처리에 의해 다량의 질소 원자를 산질화막 중에 도입할 수 있게 된다.
또한, 도 69A 및 69B의 NO 기체 도입 순서는 도 3의 기판 처리 장치(20)에서도 사용할 수 있고, 동일한 효과가 수득된다.
제 12 실시양태
도 71A 내지 71E는 본 발명의 제 12 실시양태에 따른 반도체 장치의 제조 공정을 나타낸다.
도 71A를 참조하면, 불순물 원소를 이온 주입하여 형성된 확산 영역(331a) 및 (331b)를 갖는 실리콘 기판(331)의 표면(331c)이, 절연막(335) 및 (336)에 형성된 개구부(337)에서 노출된다.
상기 노출 표면(331c)은 자연 산화막이 제거되고, 또한 도 51의 기판 처리 장치(320) 중에서, 앞서 설명한 조건 하에서 파장이 172nm인 자외광에 의해 UV-NO 처리가 실시된다. 그 결과, 도 71B에 도시한 바와 같이 상기 실리콘 기판(331)의 표면에는 앞서 설명한 성막 정류 현상에 의해 막 두께 약 0.5nm의 SiON막(332)이 균일하게 형성된다.
다음으로, 도 71C의 공정에서 상기 SiON막(332) 상에는 CVD법에 의해 ZrSiOx 및 HfSiOx, 또는 ZrO2 및 HfO2, Ta2O5, Al 2O3 등의 고유전체막(333)이 퇴적된다.
또한 도 71D의 공정에서 이렇게 하여 형성된 고유전체막(333) 상에 금속 전극층(334)을 퇴적시키고, 이를 도 71E의 공정에서 에칭함으로써 금속 게이트 전극(334G)을 형성한다.
본 실시양태에 있어서, 도 71A의 UV-NO 산질화 공정은 550℃를 초과하지 않는 온도로 수행하는 것이 바람직하고, 그 때의 처리 압력은 1.33 내지 1.33×103Pa의 범위로 설정하는 것이 바람직하다.
제 13 실시양태
그런데, 엘립소메트리에 의해 웨이퍼 표면에 형성된 매우 얇은 막 두께를 측정하는 경우에는 측정 중에 웨이퍼 표면에 흡착되는 유기 분자 등에 의해 외관상 큰 막 두께값이 수득되어 버리는 경우가 있다. 특히 다점 측정에 있어서는 측정이 종료될 때까지 이러한 사정으로 측정값이 변화되기 쉬워 정확한 균일성을 내는 것이 곤란하다.
이러한 사정에 비추어, 본 발명의 발명자는 막 두께의 균일성에 대해 정확을 기하기 위해 막 두께 측정 방법의 개선을 수행하였다.
보다 구체적으로 설명하면, 본 발명자에 의한 개선에서는 성막 장치의 기판 반입구로부터 엘립소메트리의 웨이퍼 재치부까지의 경로 전체를 유기 분자를 제거하는 케미컬 필터의 다운 플로우 아래에 배치하였다. 이러한 구성을 사용하면, 측정에 사용되는 분위기 하에 웨이퍼를 3시간 방치하여도 막 두께의 외관상의 증가는 0.02nm(0.2Å) 정도로 억제하는 것이 가능하다.
이러한 엘립소메트리를 사용한 경우, 웨이퍼면 상의 49점에서 막 두께 측정을 수행하는데 필요한 측정 시간은 약 10분이고, 그 사이의 외관상의 막 두께 증가는 0.001nm(0.01Å) 정도로 추정된다. 또한 측정 장치의 능력은 정점에서의 반복 측정시의 재현성으로 보면, 분산값 σ로 하여 0.006nm(0.06Å)임이 확인되고 있다.
도 75는 이러한 측정 환경 하에서, 상기 성막 조건으로 직경이 200mm인 웨이퍼 상에, 앞서 설명한 UV-NO 처리에 의해 성막한, 막 두께가 0.5nm인 산질화막의 막 두께를 49점 측정한 결과를 나타낸다.
도 75를 참조하면, 면내 막 두께의 분산값 σ는 0.65%, 즉 막 두께로 환산하면 0.0065nm(0.065Å) 정도이고, 막 두께의 격차는 측정 한계에 필적하는 정도까지 감소함을 알 수 있다. 이는 직경이 200mm인 웨이퍼의 면내에서 실질적으로 완전히 균일한 산질화막이 수득됨을 나타내고 있다.
또한, 앞서 설명한 UV-O2 처리에 의한 산화막으로 동일한 측정을 수행하면, 막 두께가 0.4nm인 산화막의 경우에 막 두께의 분산값 σ가 0.7% 정도인, 매우 뛰어난 균일성이 수득됨이 확인되었다.
이상, 본 발명의 바람직한 실시양태에 관해 설명하였지만, 본 발명은 상기 특정한 실시양태로 한정되는 것이 아니라, 특허청구의 범위에 기재된 요지 내에서 다양하게 변형·변경이 가능하다.
본 발명에 의하면, 고주파 플라즈마 여기된 질소 라디칼을 사용하여 매우 얇은 산화막 표면을 안정적으로 재현성 좋게 질화시켜 산질화막을 형성할 수 있게 된다. 또한 본 발명에 의하면, 고주파 플라즈마 여기된 질소 라디칼을 사용하여 매우 얇은 산 질화막 표면을 안정적으로 재현성 좋게 질화시켜 질소 농도가 높은 산질화막을 목적하는 막 두께로 형성할 수 있게 된다. 특히 고주파 플라즈마를 사용함으로써 질화 처리가 비교적 높은 처리 압력에서 이루어지기 때문에, 처음에 처리 용기 내를 충분히 감압해 둠으로써, 처리 용기 내의 잔존 산소 또는 기타 불순물 기체 성분은 질화 처리시에는 플라즈마 기체에 의해 희석되어, 잔존 산소에 의한 불필요한 산화나, 이에 따른 산질화막의 증막 문제를 효과적으로 억제할 수 있다. 특히 본 발명에 의하면, 고유전율 게이트 절연막 아래에 형성되는 매우 얇은 베이스 산화막을 질화시키는 것이 가능하고, 그 결과, 이러한 베이스 산화막 상에 고유전체 게이트 절연막을 형성한 경우에, 실리콘 기판과 고유전체 게이트 절연막 사이에서의 금속 원소 및 실리콘의 상호 확산, 및 이에 따른 전이층의 형성을 억제할 수 있다.
또한 이러한 막 두께가 매우 얇을 것이 요구되는 산화막 또는 산질화막 등의 절연막의 질화 처리에서는 질소 원자의 도입에 따른 절연막의 증막을 피할 수 없지만, 본 발명에서는 질화 처리 전의 절연막의 막 두께를 2 내지 4원자층 분량으로 하거나 그보다 얇게 설정함으로써 질화 처리 후의 절연막, 즉 산질화막의 막 두께를 매우 얇게 하는 것이 가능하다.
또한 본 발명에 의하면, 동일한 기판 처리 장치에서 실리콘 기판의 자외광 여기 라디칼 산화 처리와, 이러한 자외광 여기 라디칼 산화 처리에 의해 형성된 산화막의 고주파 리모트 플라즈마를 사용한 라디칼 질화 처리를 연속해서 수행할 수 있게 된다. 자외광 여기 라디칼 산화 처리와 고주파 리모트 플라즈마 라디칼 질화 처리는 처리 압력이 크게 다르지만, 본 발명의 기판 처리 장치에서는 처리 용기에 2개의 배기 경로를 설치함으로써 이들 처리를 동일한 처리 용기로 실시하는 것을 가능하게 하고 있다. 특히 본 발명에 의하면, 고유전율 게이트 절연막 아래에 형성되는 매우 얇은 베이스 산화막을 질화시키는 것이 가능하고, 그 결과 이러한 베이스 산화막 상에 고유전체 게이트 절연막을 형성한 경우에 실리콘 기판과 고유전체 게이트 절연막 사이에서의 금속 원소 및 실리콘 상호 확산, 및 이에 따른 전이층의 형성을 억제할 수 있다. 또한 본 발명에 의하면, 처리 용기 중에서의 제 1 및 제 2 배기 경로의 위치를, 처리 용기 내에 고진공을 실현하는데 필요한 터보 분자 펌프가 처리 용기의 외단부에 위치하도록 설정함으로써, 상기 기판 처리 장치를 그 내단부에서 기판 반송로에 결합시켜 클러스터형 기판 처리 장치를 용이하게 구축할 수 있게 된다.
또한 본 발명에 의하면, 실리콘 기판과 고유전체 게이트 절연막 사이에 설치 되는 베이스 산화막으로서 효과적인 매우 얇은 산화막을, 실리콘 기판 표면의 라디칼 산화에 있어서 산화막의 막 두께가 2 내지 4원자층 분량의 두께에 이르렀을 때 발현되는 막 성장의 정류 효과를 이용하여 균일한 두께로 재현성 좋게 형성할 수 있게 된다.
또한 청구항 66 내지 76에 기재된 본 발명에 의하면, NO 분위기를 자외광 여기시킴으로써 실리콘 기판 표면에 직접적으로 산질화막을 형성할 수 있게 된다. 그 때, 특히 자외광 파장을 145nm보다 긴 192nm 이하, 예컨대 172nm로 설정함으로써 산화 작용을 억제할 수 있어, 높은 질소 농도의 산질화막을 형성할 수 있게 된다. 이러한 산질화막은 성막시에 2원자층 분량의 두께에 대응하는 약 0.5nm의 막 두께에서 성막의 정류가 발생하고, 따라서 이 막 두께의 산질화막을 안정적으로 재현성 좋게 형성할 수 있게 된다.

Claims (73)

  1. 고주파 리모트 플라즈마로 질소 가스를 여기시켜 질소 라디칼을 형성하는 공정; 및
    산소를 함유하는 절연막 표면에 상기 질소 라디칼을 공급하여 상기 절연막 표면을 질화시키는 공정을 포함하고,
    상기 고주파의 주파수를 40kHz 이상 4MHz 이하의 범위로 설정함과 함께,
    상기 질화 공정을 1.33Pa 이상의 압력에서 실행하는 것을 특징으로 하는, 절연막의 질화 방법.
  2. 제 1 항에 있어서,
    상기 절연막은 산화막인 것을 특징으로 하는 절연막의 질화 방법.
  3. 제 2 항에 있어서,
    상기 산화막은 0.4nm 이하의 막 두께를 갖는 것을 특징으로 하는 절연막의 질화 방법.
  4. 제 1 항에 있어서,
    상기 절연막은 산질화막인 것을 특징으로 하는 절연막의 질화 방법.
  5. 제 1 항에 있어서,
    상기 질소 라디칼은, 상기 절연막의 표면을 따라 흐르도록 형성된 기체의 흐름을 타고 공급되는 것을 특징으로 하는 절연막의 질화 방법.
  6. 제 5 항에 있어서,
    상기 기체의 흐름은, 상기 절연막이 형성된 피처리 기판의 제 1 측으로부터 이 제 1 측에 대해 직경 방향으로 대향하는 제 2 측으로 흐르는 것을 특징으로 하는 절연막의 질화 방법.
  7. 제 6 항에 있어서,
    상기 피처리 기판의 상기 제 2 측에서 상기 기체의 흐름은 배기되는 것을 특징으로 하는 절연막의 질화 방법.
  8. 제 1 항에 있어서,
    상기 질소 라디칼을 형성하는 공정은 질소 기체의 여기에 수반하여 발생한 질소 이온을 확산판 또는 이온 필터에 의해 제거하는 공정을 포함하는 것을 특징으로 하는 절연막의 질화 방법.
  9. 제 1 항에 있어서,
    상기 고주파 리모트 플라즈마는 질소 기체를 약 400kHz의 주파수로 여기시킴으로써 형성되는 것을 특징으로 하는 절연막의 질화 방법.
  10. 제 1 항에 있어서,
    상기 절연막 표면을 질화시키는 공정은 1.33kPa 이하의 압력에서 실행되는 것을 특징으로 하는 산화막의 질화 방법.
  11. 제 1 항에 있어서,
    상기 절연막 표면에 질소 라디칼을 공급하는 공정에 앞서, 상기 절연막이 유지되는 처리 공간을 상기 절연막 표면의 질화 공정에서 사용되는 압력보다 낮은 압력으로 퍼지하는 공정을 포함하는 것을 특징으로 하는 절연막의 질화 방법.
  12. 제 11 항에 있어서,
    상기 퍼지 공정은 상기 처리 공간을 1.33×10-1 내지 1.33×10-4Pa의 압력까지 배기하도록 실행되는 것을 특징으로 하는 절연막의 질화 방법.
  13. 제 1 항에 있어서,
    상기 절연막은 약 0.4nm의 막 두께를 갖는 것을 특징으로 하는 절연막의 질화 방법.
  14. 제 1 항에 있어서,
    상기 절연막은 실리콘 기판 표면을 자외광 여기 산소 라디칼로 처리함으로써 형성된 산화막인 것을 특징으로 하는 절연막의 질화 방법.
  15. 실리콘 기판 표면에 절연막을 형성하는 공정;
    고주파 리모트 플라즈마로 질소 가스를 여기시켜 질소 라디칼을 형성하는 공정;
    상기 절연막 표면에 상기 질소 라디칼을 공급하여 상기 절연막 표면을 질화시키는 공정; 및
    상기 표면이 질화 처리된 절연막 상에 고유전체막을 형성하는 공정을 포함하고,
    상기 고주파의 주파수를 40kHz 이상 4MHz 이하의 범위로 설정함과 함께,
    상기 질화 공정을 1.33Pa 이상의 압력에서 실행하는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  16. 제 15 항에 있어서,
    상기 절연막은 산화막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  17. 제 16 항에 있어서,
    상기 산화막은 0.4nm 이하의 막 두께를 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  18. 제 15 항에 있어서,
    상기 절연막은 산질화막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  19. 제 15 항에 있어서,
    상기 질소 라디칼은, 상기 절연막의 표면을 따라 흐르도록 형성된 기체의 흐름을 타고 공급되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  20. 제 19 항에 있어서,
    상기 기체의 흐름은, 상기 절연막이 형성된 상기 실리콘 기판의 제 1 측으로부터 이 제 1 측에 대해 직경 방향으로 대향하는 제 2 측으로 흐르는 것을 특징으로 하는 반도체 장치의 제조 방법.
  21. 제 20 항에 있어서,
    상기 실리콘 기판의 상기 제 2 측에서 상기 기체의 흐름은 배기되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  22. 제 15 항에 있어서,
    상기 질소 라디칼을 형성하는 공정은 질소 기체의 여기에 수반하여 발생한 질소 이온을 이온 필터에 의해 제거하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  23. 제 15 항에 있어서,
    상기 고주파 리모트 플라즈마는 질소 기체를 약 400kHz의 주파수로 여기시킴으로써 형성되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  24. 제 15 항에 있어서,
    상기 절연막 표면을 질화시키는 공정은 1.33kPa 이하의 압력에서 실행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  25. 제 15 항에 있어서,
    상기 절연막 표면에 질소 라디칼을 공급하는 공정에 앞서, 상기 절연막이 유지되는 처리 공간을 상기 절연막 표면의 질화 공정에서 사용되는 압력보다 낮은 압력으로 퍼지하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  26. 제 25 항에 있어서,
    상기 퍼지 공정은 상기 처리 공간을 1.33×10-1 내지 1.33×10-4Pa의 압력까지 배기하도록 실행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  27. 제 15 항에 있어서,
    상기 절연막은 약 0.4nm의 막 두께를 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  28. 제 15 항에 있어서,
    상기 절연막을 형성하는 공정은 실리콘 기판 표면을 자외광 여기 산소 라디칼로 처리하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  29. 제 15 항에 있어서,
    상기 절연막의 형성 공정과 상기 절연막의 질화 공정은, 동일한 처리 장치 내에서, 도중에 상기 실리콘 기판을 대기에 노출시키지 않으면서 연속적으로 실행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  30. 제 15 항에 있어서,
    상기 절연막의 형성 공정과 상기 절연막의 질화 공정은, 동일한 처리 장치 내에서, 도중에 상기 실리콘 기판을 대기에 노출시키지 않으면서 연속적으로 실행되고, 상기 절연막의 형성 공정과 상기 절연막의 질화 공정 사이에는 상기 절연막이 유지되는 처리 공간을 퍼지하는 공정이 0 내지 4회 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  31. 삭제
  32. 피처리 기판을 보유하는 처리 용기, 및
    상기 처리 용기의 측벽에 고주파 리모트 플라즈마원을 구비하고,
    상기 고주파 리모트 플라즈마원으로 40kHz 이상 4MHz 이하의 고주파로 질소 가스를 여기시켜 질소 라디칼을 형성하고, 상기 질소 라디칼을 1.33Pa 이상의 압력에서 상기 피처리 기판의 표면을 따라 공급하는 것을 특징으로 하는 기판 처리 장치.
  33. 제 32 항에 있어서,
    상기 질소 라디칼이 상기 처리 용기 내를 상기 리모트 플라즈마원이 위치하는 제 1 측으로부터 상기 피처리 기판의 직경 방향으로 대향하는 제 2 측으로 흐르는 것을 특징으로 하는 기판 처리 장치.
  34. 제 33 항에 있어서,
    상기 처리 용기는 상기 제 2 측에 배기구를 구비하는 것을 특징으로 하는 기판 처리 장치.
  35. 삭제
  36. 삭제
  37. 삭제
  38. 처리 공간을 획정하고, 상기 처리 공간 중에 피처리 기판을 유지하는 유지대를 구비한 처리 용기;
    상기 처리 용기 상에, 상기 유지대에 대해 상기 처리 용기의 제 1 단부 측에 설치된 제 1 라디칼원(源);
    상기 처리 용기 상에, 상기 유지대에 대해 상기 처리 용기의 상기 제 1 단부 측에 설치된 제 2 라디칼원;
    상기 처리 용기 상에, 상기 제 1 단부에 대향하는 상기 처리 용기의 제 2 단부 측에 설치되고, 상기 처리 공간을 제 1 처리압으로 배기하는 제 1 배기 경로; 및
    상기 처리 용기 상에, 상기 처리 용기의 상기 제 2 단부 측에 설치되고, 상기 처리 공간을 제 2 처리압으로 배기하는 제 2 배기 경로를 포함하는 기판 처리 장치.
  39. 제 38 항에 있어서,
    상기 제 1 배기 경로는 상기 제 1 라디칼원이 구동되고 있는 경우에 구동되고, 상 기 제 2 배기 경로는 상기 제 2 라디칼원이 구동되고 있는 경우에 구동되는 것을 특징으로 하는 기판 처리 장치.
  40. 제 38 항에 있어서,
    상기 제 1 라디칼원은 상기 처리 용기 중에 공급된 산소 기체를 여기시키는 자외광원을 포함하고, 상기 제 2 라디칼원은 질소 기체가 공급되어 이를 여기시키는 리모트 플라즈마원으로 이루어지는 것을 특징으로 하는 기판 처리 장치.
  41. 제 40 항에 있어서,
    상기 제 1 라디칼원은 산소 기체를 상기 유지대 상의 피처리 기판의 표면을 따라 흘리는 노즐을 포함하고, 상기 자외광원은 상기 노즐과 피처리 기판 사이의 위치에 설치되어, 광학 창을 통해 상기 노즐로부터 방출되는 산소 기체를 활성화시키는 것을 특징으로 하는 기판 처리 장치.
  42. 제 38 항에 있어서,
    상기 제 1 배기 경로는 터보 분자 펌프를 포함하는 것을 특징으로 하는 기판 처리 장치.
  43. 제 38 항에 있어서,
    상기 제 2 배기 경로는 메카니컬 부스터 펌프를 포함하는 것을 특징으로 하는 기판 처리 장치.
  44. 제 42 항에 있어서,
    상기 터보 분자 펌프는 메카니컬 부스터 펌프에 의해 부스트되는 것을 특징으로 하는 기판 처리 장치.
  45. 제 38 항에 있어서,
    상기 처리 용기는 상기 제 2 단부에 기판 반입·반출구를 갖는 것을 특징으로 하는 기판 처리 장치.
  46. 제 38 항에 있어서,
    상기 제 1 배기 경로 및 상기 제 2 배기 경로는 모두 상기 처리 용기 중 상기 제 2 단부 근방에 형성된 배기구를 통해 상기 처리 공간을 배기하는 것을 특징으로 하는 기판 처리 장치.
  47. 제 46 항에 있어서,
    상기 제 1 배기 경로는 상기 배기구를 통해 상기 처리 공간에 결합된 터보 분자 펌프를 포함하는 것을 특징으로 하는 기판 처리 장치.
  48. 제 38 항에 있어서,
    상기 유지대는 회전가능하게 설치되고, 상기 유지대를 회전시키는 회전 기구를 추가로 포함하는 것을 특징으로 하는 기판 처리 장치.
  49. 처리 공간을 획정하고, 상기 처리 공간 중에 피처리 기판을 유지하는 유지대를 구비한 처리 용기;
    상기 처리 용기 상에 설치된 제 1 라디칼원;
    상기 처리 용기 상에 설치된 제 2 라디칼원;
    상기 처리 용기 상에 설치되고, 상기 처리 공간을 제 1 처리압으로 배기하는 제 1 배기 경로; 및
    상기 처리 용기 상에 설치되고, 상기 처리 공간을 제 2 처리압으로 배기하는 제 2 배기 경로로 이루어지고,
    상기 제 1 배기 경로는 상기 제 1 라디칼원에 대해, 상기 유지대 상의 피처리 기판을 사이에 두고 반대 측에서 상기 처리 공간을 배기하도록 설치되고, 상기 제 2 배기 경로는 상기 제 2 라디칼원에 대해, 상기 유지대 상의 피처리 기판을 사이에 두고 반대 측에서 상기 처리 공간을 배기하도록 설치되는 것을 특징으로 하는, 기판 처리 장치.
  50. 기판 반송실; 및 상기 기판 반송실에 결합된 복수의 처리실로 이루어지는 클러스터형 기판 처리 장치에 있어서, 상기 복수의 처리실 중 하나가
    상기 기판 반송실에 결합된 기판 반입·반출구를 제 1 단부에 갖고, 대향하는 제 2 단부에 제 1 라디칼원을 갖고, 내부에 처리 공간을 획정하는 처리 용기;
    상기 처리 공간 중 상기 제 1 단부와 제 2 단부 사이에서 회전가능하게 설치되고, 피처리 기판을 유지하는 기판 유지대;
    상기 처리 공간 중 상기 제 1 단부와 상기 기판 유지대 사이에 설치되고, 상기 처리 공간에 제 1 처리 기체를 도입하는 사용되는 압력보다;
    상기 제 1 라디칼원에 제 2 처리 기체를 도입하는 제 2 처리 기체 도입부;
    상기 처리 용기 상에, 상기 사용되는 압력보다 상기 기판 유지대 사이에서 상기 제 1 처리 기체를 활성화시키도록 설치된 제 2 라디칼원;
    상기 처리 공간 중 상기 기판 유지대보다 상기 제 1 단부에 가까운 부분에 설치된 제 1 배기구;
    상기 처리 공간 중 상기 기판 유지대보다 상기 제 2 단부에 가까운 부분에 설치된 제 2 배기구;
    상기 제 1 배기구에 결합되어 상기 처리 공간을 제 1 처리압으로 배기하는 제 1 펌프; 및
    상기 제 2 배기구에 결합되어 상기 처리 공간을 제 2의 보다 낮은 처리압으로 배기하는 제 2 펌프를 구비하고,
    상기 제 2 펌프는 상기 처리 용기의 제 2 단부 근방에 설치되는 것을 특징으로 하는, 클러스터형 기판 처리 장치.
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 실리콘 기판 표면에 NO 기체를 공급하는 공정; 및
    상기 NO 기체를 자외광에 의해 여기시켜 상기 실리콘 기판 표면에 산질화막을 형성하는 공정으로 이루어지는 것을 특징으로 하는 기판 처리 방법.
  64. 제 63 항에 있어서,
    상기 자외광은 약 172nm의 파장을 갖는 것을 특징으로 하는 기판 처리 방법.
  65. 제 63 항에 있어서,
    상기 자외광은 크세논을 봉입한 유전체 배리어 방전관에 의해 형성되는 것을 특징으로 하는 기판 처리 방법.
  66. 제 63 항에 있어서,
    상기 산질화막은 약 0.5nm의 두께로 형성되는 것을 특징으로 하는 기판 처리 방법.
  67. 제 63 항에 있어서,
    상기 산질화막을 형성하는 공정은 약 450℃의 기판 온도에서 실행되는 것을 특징으로 하는 기판 처리 방법.
  68. 제 63 항에 있어서,
    상기 산질화막을 형성하는 공정의 계속 시간은 200초간 이하인 것을 특징으로 하는 기판 처리 방법.
  69. 제 63 항에 있어서,
    상기 산질화막을 형성하는 공정은 1.33 내지 1.33×103Pa 범위의 처리압에서 실행되는 것을 특징으로 하는 기판 처리 방법.
  70. 제 63 항에 있어서,
    상기 NO 기체를 공급하는 공정은 상기 실리콘 기판의 승온을 개시하는 것보다 이전에 개시되는 것을 특징으로 하는 기판 처리 방법.
  71. 제 63 항에 있어서,
    상기 산질화막 형성 공정에 앞서, 상기 실리콘 기판 표면의 자연 산화막을 제거하는 공정을 추가로 포함하는 것을 특징으로 하는 기판 처리 방법.
  72. 실리콘 기판 표면에 NO 기체를 공급하고 상기 NO 기체를 자외광으로 여기시킴으로써 상기 실리콘 기판 표면에 산질화막을 형성하는 공정;
    상기 산질화막 상에 고유전체막을 형성하는 공정; 및
    상기 고유전체막 상에 게이트 전극을 형성하는 공정으로 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.
  73. 제 72 항에 있어서,
    상기 자외광은 172nm의 파장을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
KR1020047000890A 2001-12-07 2002-12-05 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법 KR100641762B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
JPJP-P-2001-00374632 2001-12-07
JP2001374631 2001-12-07
JPJP-P-2001-00374633 2001-12-07
JPJP-P-2001-00374631 2001-12-07
JP2001374633 2001-12-07
JP2001374632 2001-12-07
JPJP-P-2001-00401210 2001-12-28
JP2001401210 2001-12-28
JP2002118477 2002-04-19
JPJP-P-2002-00118477 2002-04-19
PCT/JP2002/012788 WO2003049173A1 (fr) 2001-12-07 2002-12-05 Procede de nitruration de film isolant, dispositif a semi-conducteur et son procede de production et dispositif et procede de traitement de surface

Publications (2)

Publication Number Publication Date
KR20040017338A KR20040017338A (ko) 2004-02-26
KR100641762B1 true KR100641762B1 (ko) 2006-11-06

Family

ID=27532052

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047000890A KR100641762B1 (ko) 2001-12-07 2002-12-05 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법

Country Status (8)

Country Link
US (3) US6927112B2 (ko)
EP (1) EP1453083A4 (ko)
KR (1) KR100641762B1 (ko)
CN (1) CN1254854C (ko)
AU (1) AU2002354103A1 (ko)
SG (1) SG152910A1 (ko)
TW (1) TWI284940B (ko)
WO (1) WO2003049173A1 (ko)

Cited By (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101444765B1 (ko) * 2011-09-27 2014-09-26 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101764166B1 (ko) * 2009-08-31 2017-08-02 어플라이드 머티어리얼스, 인코포레이티드 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070111201A1 (en) * 2001-04-30 2007-05-17 Benjamin Doranz Reverse transfection of cell arrays for structural and functional analyses of proteins
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6890867B2 (en) * 2003-02-25 2005-05-10 Micron Technology, Inc. Transistor fabrication methods comprising selective wet-oxidation
JP4251887B2 (ja) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 真空処理装置
AU2003221382A1 (en) * 2003-03-13 2004-09-30 Fujitsu Limited Semiconductor device and method for manufacturing semiconductor device
JP3974547B2 (ja) * 2003-03-31 2007-09-12 株式会社東芝 半導体装置および半導体装置の製造方法
US6806103B1 (en) * 2003-06-10 2004-10-19 Texas Instruments Incorporated Method for fabricating semiconductor devices that uses efficient plasmas
JP2005041835A (ja) * 2003-07-24 2005-02-17 Fuji Xerox Co Ltd カーボンナノチューブ構造体、その製造方法、カーボンナノチューブ転写体および溶液
JP3887364B2 (ja) * 2003-09-19 2007-02-28 株式会社東芝 半導体装置の製造方法
JP4593477B2 (ja) * 2003-11-14 2010-12-08 東京エレクトロン株式会社 基板処理方法
JP4647499B2 (ja) * 2003-12-18 2011-03-09 東京エレクトロン株式会社 成膜方法およびコンピュータ可読記録媒体
KR100829335B1 (ko) * 2004-08-31 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법
JP4579637B2 (ja) * 2004-10-01 2010-11-10 東京エレクトロン株式会社 半導体記憶装置及びその製造方法
US20060084220A1 (en) * 2004-10-15 2006-04-20 Freescale Semiconductor, Inc. Differentially nitrided gate dielectrics in CMOS fabrication process
JP4516447B2 (ja) * 2005-02-24 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7501352B2 (en) 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
US7517814B2 (en) 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
JP4966582B2 (ja) 2006-05-02 2012-07-04 東京エレクトロン株式会社 基板処理方法、コンピュータ可読記録媒体、基板処理装置、および基板処理システム
CN101450014B (zh) * 2007-12-07 2011-04-20 王岩 可保留或重建前交叉韧带的人工膝关节置换假体
US7807586B2 (en) * 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011097178A2 (en) * 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150311067A1 (en) * 2014-04-24 2015-10-29 Applied Materials, Inc. Millisecond annealing in ammonia ambient for precise placement of nitrogen in thin film stacks
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101726259B1 (ko) 2015-03-26 2017-04-13 박상호 진열공간 확장형 푸드트럭
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6368743B2 (ja) * 2016-06-22 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
EP3883676A4 (en) 2018-11-20 2022-10-05 FUJIFILM Electronic Materials U.S.A, Inc. SOLVENT PURIFICATION SYSTEMS AND METHODS
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP2023026115A (ja) * 2021-08-12 2023-02-24 キオクシア株式会社 半導体装置の製造方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60211847A (ja) * 1984-04-05 1985-10-24 Fuji Electric Corp Res & Dev Ltd 絶縁膜の形成方法
JPS60241269A (ja) * 1984-05-16 1985-11-30 Seiko Epson Corp 薄膜トランジスタの製造方法
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
DE3685495D1 (de) * 1986-07-11 1992-07-02 Ibm Verfahren zur herstellung einer unteraetzten maskenkontur.
JPH04176126A (ja) * 1990-11-08 1992-06-23 Babcock Hitachi Kk 基板処理方法及びその装置
JPH05259153A (ja) * 1992-03-12 1993-10-08 Fujitsu Ltd シリコン酸化膜の製造方法と製造装置
CN100483651C (zh) * 1992-08-27 2009-04-29 株式会社半导体能源研究所 半导体器件的制造方法
JPH0786271A (ja) * 1993-09-17 1995-03-31 Fujitsu Ltd シリコン酸化膜の作製方法
JP2705023B2 (ja) 1993-11-26 1998-01-26 ウシオ電機株式会社 被処理物の酸化方法
US5635408A (en) * 1994-04-28 1997-06-03 Canon Kabushiki Kaisha Method of producing a semiconductor device
JP2948110B2 (ja) 1994-09-19 1999-09-13 ウシオ電機株式会社 被処理物体表面または当該表面上の物質を減圧下で酸化する方法
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US5830528A (en) * 1996-05-29 1998-11-03 Amcol International Corporation Intercalates and exfoliates formed with hydroxyl-functional; polyhydroxyl-functional; and aromatic compounds; composites materials containing same and methods of modifying rheology therewith
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6204388B1 (en) * 1996-12-03 2001-03-20 Sloan-Kettering Institute For Cancer Research Synthesis of epothilones, intermediates thereto and analogues thereof
JPH11121452A (ja) * 1997-10-13 1999-04-30 Shijie Xianjin Jiti Electric Co Ltd 活性窒素を使用して窒化膜を形成するアニール方法
US6331492B2 (en) * 1997-12-31 2001-12-18 Texas Instruments Incorporated Nitridation for split gate multiple voltage devices
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
US6127276A (en) * 1998-06-02 2000-10-03 United Microelectronics Corp Method of formation for a via opening
TW419732B (en) * 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
JP2000114245A (ja) * 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6303520B1 (en) * 1998-12-15 2001-10-16 Mattson Technology, Inc. Silicon oxynitride film
KR100455737B1 (ko) * 1998-12-30 2005-04-19 주식회사 하이닉스반도체 반도체소자의게이트산화막형성방법
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP3497098B2 (ja) * 1999-05-25 2004-02-16 シャープ株式会社 液晶表示素子
EP1109210A1 (en) * 1999-05-28 2001-06-20 Tokyo Electron Limited Ozone treatment device of semiconductor process system
US6161576A (en) * 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
JP4313470B2 (ja) * 1999-07-07 2009-08-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP3399413B2 (ja) * 1999-09-13 2003-04-21 日本電気株式会社 酸窒化膜およびその形成方法
US6440756B2 (en) * 1999-12-13 2002-08-27 Wisconsin Alumni Research Foundation Reduction of plasma charge-induced damage in microfabricated devices
JP2001185548A (ja) * 1999-12-22 2001-07-06 Fujitsu Ltd 半導体装置およびその製造方法
JP2001217415A (ja) * 2000-01-31 2001-08-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP3851752B2 (ja) * 2000-03-27 2006-11-29 株式会社東芝 半導体装置の製造方法
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
TW511185B (en) * 2000-08-11 2002-11-21 Tokyo Electron Ltd Substrate processing apparatus and processing method
JP2002170825A (ja) * 2000-11-30 2002-06-14 Nec Corp 半導体装置及びmis型半導体装置並びにその製造方法
JP4367599B2 (ja) * 2000-12-19 2009-11-18 日本電気株式会社 高誘電率薄膜の成膜方法
JP2002208592A (ja) * 2001-01-09 2002-07-26 Sharp Corp 絶縁膜の形成方法、半導体装置、製造装置
US6723611B2 (en) * 2002-09-10 2004-04-20 International Business Machines Corporation Vertical hard mask

Cited By (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101764166B1 (ko) * 2009-08-31 2017-08-02 어플라이드 머티어리얼스, 인코포레이티드 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10049870B2 (en) 2011-09-27 2018-08-14 Kokusai Electric Corporation Method of manufacturing semiconductor device including silicon nitride layer for inhibiting excessive oxidation of polysilicon film
KR101444765B1 (ko) * 2011-09-27 2014-09-26 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
CN1518761A (zh) 2004-08-04
KR20040017338A (ko) 2004-02-26
SG152910A1 (en) 2009-06-29
US20080139000A1 (en) 2008-06-12
AU2002354103A1 (en) 2003-06-17
EP1453083A1 (en) 2004-09-01
EP1453083A4 (en) 2007-01-10
US20050170541A1 (en) 2005-08-04
TW200307329A (en) 2003-12-01
TWI284940B (en) 2007-08-01
WO2003049173A1 (fr) 2003-06-12
CN1254854C (zh) 2006-05-03
US20030170945A1 (en) 2003-09-11
US6927112B2 (en) 2005-08-09

Similar Documents

Publication Publication Date Title
KR100641762B1 (ko) 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
KR100597059B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기판 처리시스템
KR100638931B1 (ko) 기판 처리 방법 및 장치, 클러스터형 반도체 제조 장치
US7378358B2 (en) Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus
KR101019799B1 (ko) 고유전체 박막의 개질 방법
US20050175789A1 (en) Method for energy-assisted atomic layer deposition and removal
KR100722016B1 (ko) 기판 처리장치 및 기판 처리방법
JP4369091B2 (ja) 基板処理方法
KR100801770B1 (ko) 플라즈마 착화방법 및 기판 처리방법
JP3770870B2 (ja) 基板処理方法
KR100810777B1 (ko) 성막 방법 및 컴퓨터 판독 가능한 기록 매체
JP4078370B2 (ja) 基板処理装置
JP3723085B2 (ja) 半導体装置の製造方法及び製造装置
WO2023209982A1 (en) Etching method
JP5525462B2 (ja) 絶縁膜の形成方法および基板処理装置
JP2006216774A (ja) 絶縁膜の成膜方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 14