CN1518761A - 绝缘膜氮化方法、半导体装置及其制造方法、基板处理装置和基板处理方法 - Google Patents

绝缘膜氮化方法、半导体装置及其制造方法、基板处理装置和基板处理方法 Download PDF

Info

Publication number
CN1518761A
CN1518761A CNA02805783XA CN02805783A CN1518761A CN 1518761 A CN1518761 A CN 1518761A CN A02805783X A CNA02805783X A CN A02805783XA CN 02805783 A CN02805783 A CN 02805783A CN 1518761 A CN1518761 A CN 1518761A
Authority
CN
China
Prior art keywords
film
substrate
dielectric film
nitrogen
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA02805783XA
Other languages
English (en)
Other versions
CN1254854C (zh
Inventor
井下田真信
̫
青山真太郎
神力博
高桥毅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1518761A publication Critical patent/CN1518761A/zh
Application granted granted Critical
Publication of CN1254854C publication Critical patent/CN1254854C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

利用高频等离子体形成氮游离基,通过将所述氮游离基提供给包含氧的绝缘膜表面,使所述绝缘膜表面氮化。含有所述氧的绝缘膜具有0.4nm以下的膜厚,在将所述表面氮化后的绝缘膜上形成高介电体膜。所述氮游离基借助按照沿着所述绝缘膜的表面流动的方式而形成的气流而得以提供。

Description

绝缘膜氮化方法、半导体装置及其制造方法、 基板处理装置和基板处理方法
技术领域
本发明涉及半导体装置、尤其是具有高介电体膜的超微细化高速半导体装置的制造方法。
背景技术
在今天的超高速半导体装置,随着微细化处理的进步,0.1μm以下的栅极长度正在成为可能。通常在微细化的同时,半导体装置的动作速度上升,然而在这样非常微细化的半导体装置,伴随着因微细化产生的栅极长度的缩短,有必要按比例原则而减少栅极绝缘的厚度。
可是,若栅极长度为0.1μm以下,则栅极绝缘膜的厚度,在使用现有的热氧化膜时,有必要设定在1~2nm或其以下,然而在这样非常薄的栅极绝缘膜上隧道电流增大,结果不能回避栅漏电流增大的问题。
对于这类事情,根据现有方式提出,对栅极绝缘膜应用如下那样的高介电体材料:介电常数比热氧化膜的介电常数大得多,因此即使实际的膜厚大,但在换算成SiO2膜情况下膜厚小的Ta2O5或Al2O3、ZrO2、HfO2,此外,还有ZrSiO4或HfSiO4那样的高介电体材料(所谓的高K材料)。通过使用这样的高介电体材料,即使在栅极长在0.1μm以下,非常短的超高速半导体装置也可以使用10nm左右的物理膜厚的栅极绝缘膜,可以通过隧道效应抑制栅漏电流。
例如根据现有方式公知,Ta2O5膜可以通过以Ta(OC2H5)5及O2作为气相原料的CVD法形成。在典型的情况,CVD处理在减压环境下、约480℃或其以上的温度下进行,这样形成的Ta2O5膜还要在氧气氛中进行热处理,其结果,消除了膜中的氧缺损,此外使膜本身结晶化。这样一来,结晶化的Ta2O5膜显示大的介电常数。
从提高隧道区域中的载流子迁移率的观点出发,优选在高介电体栅极氧化膜和硅基板之间介入1nm以下、优选0.8nm以下厚的极薄基极氧化膜。基极氧化膜有必要非常薄,如果厚度厚,在栅极绝缘膜中使用高介电体膜的效果被抵消。相反,这样非常薄的基极氧化膜有必要均匀覆盖硅基板表面,此外要求不形成界面能级等的缺陷。
根据现有,通常薄的栅极氧化膜是通过硅基板的急速热氧化(RTO)处理形成的,然而如果要使热氧化膜形成所希望的1nm以下的厚度,则有必要降低膜形成时的处理温度。可是,在这样低温下形成的热氧化膜容易包含界面能级等的缺陷,作为高介电体栅极氧化膜基极氧化膜是不合适的。
图1示出具有高介电体栅极绝缘膜的高速半导体装置100的概略构成。
参照图1,半导体装置100在硅基板1形成,在硅基板1上,经薄的基极氧化膜2形成Ta2O5、Al2O3、ZrO2、HfO2、ZrSiO4、HfSiO4等的高介电体栅极绝缘膜3,此外,在前述高介电体栅极绝缘膜3上形成栅极电极4。
在图1的半导体装置100,在前述基极氧化膜层2的表面部分上,在确保硅基板1和基极氧化膜2之间界面平坦性那样的范围,掺氮(N),形成氧氮化膜2A。通过在基极氧化膜2中形成介电常数比氧化硅膜还大的氧氮化膜2A,可能进一步减少基极氧化膜2的热氧化膜换算膜厚。
正如先前说明的那样,在这样的高速半导体装置100,前述基极氧化膜2的厚度优选尽可能薄的。
可是,根据现有方式以1nm以下、例如0.8nm以下、进一步在0.3~0.4nm左右的厚度,均匀且稳定地形成基板氧化膜2是非常困难的。例如,膜厚在0.3~0.4nm的情况下,氧化膜应当只有2~3个原子层那样的膜厚。
为了使在基极氧化膜2上形成的高介电体栅极绝缘膜3的功能得以产生,通过热处理使堆积的高介电体膜3结晶化,此外,有必要进行氧缺损补偿,然而在对高介电体膜3进行这类热处理的情况下,基极氧化膜2的膜厚增大了,通过使用高介电体栅极绝缘膜3产生的栅极绝缘膜的有效膜厚减小实质上被抵消了。
伴随这样的热处理的基极氧化膜2的膜厚增大,意味着在硅基板1和基极氧化膜2的界面中有如下的可能性:氧原子及硅原子的互相扩散、以及与此相伴的硅酸盐迁移层的形成、或者通过氧向硅基板中的侵入产生的基极氧化膜2成长。伴随这样的基极氧化膜2的热处理的膜厚增大问题,尤其是基极氧化膜2的膜厚降低到作为基极氧化膜所希望的几个原子层以下的膜厚为止的情况下,成为非常深刻的问题。
从这样的事情出发,本发明的发明者首先提出在基极氧化膜形成中使用紫外光激励氧游离基(UV-O2游离基)基板处理装置,它可在低游离基密度下以低成膜速度形成高品质的氧化膜。
图2示出现有的UV-O2游离基基板处理装置10的概略构成图。
参照图2,基板处理装置10具有在减压环境下保持被处理基板12的处理容器11,前述被处理基板12被保持在具有加热器11a的保持台11A上。此外,在前述处理容器11中设置浇淋头11B,以便与前述保持台11A上的被处理基板12对置,将由氧气、O3、N2O、NO或这些气体的混合物形成的氧化气体供给前述浇淋头21B。
前述浇淋头11B通过石英等对紫外光透明的材料形成,此外,在前述处理容器11上形成石英等透过紫外光的窗11C,以便露出前述保持台11A上的被处理基板12。在前述窗11C的外侧上沿着前述窗11C的面形成可移动的紫外光源13。
在图2的处理容器11中以硅基板作为前述被处理基板12导入,对处理容器11的内部进行排气、减压后,导入氧等的氧化气体,驱动前述紫外光源13,在前述氧化气体中形成活性的O*等游离基。这样的紫外线活性化游离基使露出的硅基板12的表面氧化,其结果,在前述硅基板12的表面上形成0.5~0.8nm左右的非常薄的氧化膜。
在图2的基板处理装置10,通过使前述紫外光源13沿着前述光学窗11C移动,可以以均匀的厚度形成前述氧化膜。
由于这样一来形成的氧化膜通过紫外线活性化氧化处理形成,正如Zhang等的报告(Zhang,J-Y,et al.,Appl.Phys.Lett.71(20),17November 1997,pp.2964-2966),界面能级等的缺陷少,作为高介电体栅极绝缘膜下的基极氧化膜是合适的。
也如先前说明的那样,高介电体栅极绝缘膜下的基极氧化膜有必要非常薄,使用UVO2游离基基板处理装置,实现0.8nm左右厚度的基极氧化膜。可是,如果希望在硅基板上形成比此还薄的基极氧化膜,则膜厚控制很困难,更高精度地形成均匀厚度的基极氧化膜根据现有是非常困难的。
可是,根据现有指出,原子间键价数大,如果说在所谓“刚性高”的硅单晶基板表面上直接地形成原子间键价数小、所谓“刚性低的”金属氧化膜,则硅基板和金属氧化膜的界面,在力学上成为不稳定,有可能产生缺陷,(例如:G.Lucovisky,et a1.,Appl.Phys.Lett.74,pp.2005,1999),为了回避该问题,提出以在硅基板和金属氧化膜之间的界面上形成导入有单原子层的氮的氧氮化层作为迁移层。认为这样形成氧氮化膜作为高介电体基极绝缘膜的基极氧化膜抑制了高介电体栅极绝缘膜中的金属元素或氧和构成硅基板的硅相互扩散,对于抑制从电极来的掺杂剂的扩散是有效的。
在形成这样的氧氮化层时,提出过通过微波激励远距离等离子体使氧化膜表面氮化的技术。可是在使用这样的微波氮化工序,通常要求1.33×10-1~1.33×10-4Pa(10-3~10-6Torr)左右的非常高的真空度,在这样非常高的真空度下进行氮化处理时,不能忽视在处理容器内残存的氧或水分等微量杂质的影响,担心在氮化处理期间产生氧化反应,使氧化膜增膜。如果这样在氧氮化处理期间氧化膜增膜,则使用高介电体栅极绝缘膜的效果被抵消。
根据现有方式,使这样非常薄的氧氮化膜稳定地、再现性良好,而且在通常的半导体处理中使用的可容易达到的真空度下,且不伴随因氧化而产生的增膜进行氮化是非常困难的。
发明内容
本发明以提供解决上述任务的、新的有用的基板处理方法以及基板处理装置作为概括的任务。
本发明更具体的任务在于提供在基板上形成非常薄的绝缘膜的基板处理方法、使用这种绝缘膜的半导体装置及其制造方法。
本发明的其它任务在于提供可以在硅基板表面上稳定地形成非常薄的、典型为2~4个原子层厚度以下的氧化膜,接着使其氮化,形成氧氮化膜的基板处理方法及基板处理装置。
本发明的其它任务在于提供可以在硅基板表面形成非常薄的、典型为2~4个原子层厚度以下的氮氧化膜,接着使其氮化,能以所希望厚度形成氮浓度高的氧氮化膜的基板处理装置。
本发明的其它任务在于提供包含可以在硅基板表面稳定地形成非常薄的、典型为2~4个原子层厚度以下的氧化膜或氮化膜,接着能使其稳定地氮化的基板处理装置的集聚型基板处理系统。
本发明的其它任务在于提供可以在硅基板上直接稳定地、再现性良好地形成非常薄的氧氮化膜的基板处理方法。
本发明的其它任务在于提供绝缘膜的氮化方法,其特征为,包含利用高频等离子体形成氮游离基的工序,和供给前述氮游离基到包含氧的绝缘膜表面上、使前述绝缘膜表面氮化的工序。
本发明的其它任务在于提供半导体装置的制造方法,其特征为,包含以下工序,即:在硅基板表面上形成绝缘膜的工序,和
通过高频等离子体形成氮游离基的工序,和
供给前述氮游离基到前述绝缘膜表面,使前述绝缘膜表面氮化,形成氧氮化膜的工序,和
在前述氧氮化膜上形成高介电体膜的工序。
本发明的其它任务在于提供基板处理装置,其特征为,由通过高频等离子体形成氮游离基的氮游离基形成部和保持形成有绝缘膜的被处理基板的处理容器构成,前述处理容器被供给来自前述氮游离基形成部的前述氮游离基,通过向前述绝缘膜表面供给前述氮游离基使前述绝缘膜表面氮化。
根据本发明,使用高频等离子体激励的氮游离基,可稳定地、再现性良好地氮化非常薄的氧化膜表面,形成氧氮化膜。根据本发明,使用高频等离子体激励的氮游离基,可使非常薄的氧氮化膜表面稳定地、再现性良好地氮化,以所希望膜厚形成氮浓度高的氧氮化膜。尤其是由于通过使用高频等离子体在较高处理压力下进行氮化处理,所以开始对处理容器内进行充分减压,使得在氮化处理期间通过等离子体气体对处理容器内残存的氧或其它杂质气体成分进行稀释,可以有效地抑制由于残存的氧引起的多余氧化或与此相伴的氧化膜增膜问题。尤其是根据本发明,可使得在高介电率栅极绝缘膜下形成的非常薄的基极氧化膜氮化,其结果在这样的氧化膜上形成高介电体栅极绝缘膜的情况下,可以抑制在硅基板和高介电体栅极绝缘膜之间的金属元素及硅的相互扩散、以及与此相伴的迁移层的形成。
在要求膜厚这样的非常薄的氧化膜或氧氮化膜等的绝缘膜的氮化处理,不可避免伴随导入氮原子而产生的绝缘膜的增膜,然而,在本发明,通过将氮化处理前的绝缘膜的膜厚设定在2~4个原子层或比其更薄,则可使氮化处理后的绝缘膜、即氧氮化膜的膜厚非常薄。
本发明的其它任务在于提供基板处理装置,其特征为,它由以下部件构成,即:
划定处理空间、在前述处理空间中具有保持被处理基板的保持台的处理容器,和
在前述处理容器上设置的第一游离基源,和
在前述处理容器上设置的第二游离基源,和
在前述处理容器上设置的、对前述处理空间排气到第一处理压力的第一排气路径,和
在前述处理容器上设置的、对前述处理空间排气到第二处理压力的第二排气路径。
本发明的其它任务在于提供集聚型基板处理装置,其特征为,由
基板搬运室;和
通过与前述基板搬运室连接的多个处理室形成,前述多个处理室之一包含以下部件,即:
在第一端部具有与前述基板搬运室连接的基板搬入搬出口、在对置的第二端部具有第一游离基源、在内部划定处理空间的处理容器,
在前述处理空间中,在前述第一端部和第二端部之间转动自如地设置的、保持被处理基板的基板保持台,和
在前述处理空间中,在前述第一端部和前述基板保持台之间设置的、将第一处理气体导入前述处理空间的第一处理气体导入部,和
将第二处理气体导入前述第一游离基源的第二处理气体导入部,
在前述处理容器上设置的第二游离基源,以便在前述第一处理气体导入部和前述基板保持台之间使前述第一处理气体活性化,
在前述处理空间中,在比前述基板保持台还接近前述第一端部的部分上设置的第一排气口,和
在前述处理空间中,在比前述基板保持台还接近前述第二端部的部分上设置的第二排气口,和
与前述第一排气口连接,对前述处理空间排气到第一处理压力的第一泵,和
与前述第二排气口连接,对前述处理空间排气到第二更低处理压力的第二泵;前述第二泵配设在前述处理容器的第二端部近旁。
根据本发明,在同一基板处理装置,可连续进行硅基板的紫外光激励游离基氧化处理和通过这样的紫外光激励的游离基氧化处理而形成的氧化膜经高频远距离等离子体的游离基氮化处理。紫外光激励游离基氧化处理和高频远距离等离子体游离基氮化处理的处理压力很大不同,然而,在本发明的基板处理装置,通过在处理容器上设置2条排气路径,使这些处理可在同一处理容器内进行。尤其是根据本发明,可使高介电常率栅极绝缘膜下形成的非常薄的基极氧化膜氮化,其结果,在这样的基极氧化膜上形成高介电体栅极绝缘膜时,可以抑制在硅基板和高介电体栅极绝缘膜之间金属元素及硅的相互扩散、以及与此相伴的迁移层的形成。根据本发明,通过按照用于实现处理容器内高真空必要的涡轮分子泵位于处理容器的外端部的方式,对处理容器中的第一及第二排气路径的位置加以设定,在其内端部使前述基板处理装置与基板搬运路连接,可容易构筑集聚型的基板处理装置。
本发明的其它任务在于提供半导体装置,其特征为,包含:
硅基板;和
在前述基板上形成的1~4个原子层厚度的绝缘膜。
本发明的其它任务在于提供半导体装置,由:
硅基板;和
在前述硅基板上形成的绝缘膜构成,其特征为,
前述绝缘膜由在硅基板上形成的1~4个原子层厚度的氧化膜氮化而形成。
本发明的其它任务在于提供基板处理方法,其特征为,具有通过在硅基板表面使用具有133~133×10-4mPa范围分压的氧游离基的氧化处理形成氧化膜的工序。
本发明的其它任务在于提供半导体装置的制法,其特征为,由
在硅基板表面上形成基极氧化膜的工序,和
在前述基极氧化膜上形成高介电体膜的工序,和
在前述高介电体膜上形成栅极电极层的工序构成,
形成前述基极氧化膜的工序包含在前述硅基板表面上通过使用分压为133~133×10-4mPa范围的相应浓度的氧游离基的氧化处理形成氧化膜的工序。
根据本发明,在硅基板表面的游离基氧化中利用在氧化膜的膜厚达到2~4个原子层厚度期间发现的膜成长的停留效果,可以以均匀厚度而且再现性良好地形成有效的、非常薄的氧化膜,作为在硅基板和高介电体栅极绝缘膜之间设置的基极氧化膜。
本发明的其它任务在于提供基板处理方法,其特征为,由:
向硅基板表面提供NO气体的工序,和
通过紫外光激励前述NO气体、在前述硅基板表面上形成氧氮化膜的工序构成。
根据本发明,通过紫外光激励NO环境气氛气,可在硅基板表面上直接形成氧氮化膜。其际,尤其是通过将紫外光波长设定为比145nm长,但192nm以下,例如设定在172nm,可以抑制氧化作用,可形成高氮浓度的氧氮化膜。在这样的氧氮化膜成膜时,在与2个原子层的厚度对应的约0.5nm的膜厚,产生成膜的停留,因此可稳定地、再现性良好地形成该膜厚的氧氮化膜。
本发明的其它任务及特征通过以下参照附图进行的本发明的详细说明一目了然。
附图说明
图1是表示具有高介电体栅极绝缘膜的半导体装置构成的图。
图2是表示现有的UV-O2游离基基板处理装置的构成图。
图3是说明本发明的实施例1的基板处理装置构成的图。
图4A、4B分别是示出使用图3的基板处理装置所进行的基板氧化处理的侧面图及平面图。
图5是示出使用图3的基板处理装置所进行的基板氧化处理工序的图。
图6是示出利用本发明所用XPS的膜厚测定方法的图。
图7是示出利用本发明所用XPS的膜厚测定方法的另外的图。
图8是概略示出通过图3的基板处理装置形成氧化膜期间观测的氧化膜厚成长停留现象的图。
图9A、9B是示出硅基板表面的氧化膜形成过程的图。
图10是示出本发明实施例1得到的氧化膜的漏电流特性的图。
图11A、11B是说明图10的漏电流特性原因的图。
图12A~12C是示出在图3的基板处理装置产生的氧化膜形成工序的图。
图13是示出在图3的基板处理装置使用的远距离等离子体源构成的图。
图14A~14C是分别示出比较RF远距离等离子体和微波等离子体特性的图,以及示出标准的远距离等离子体源及微波等离子体源构成的图。
图15是比较RF远距离等离子体和微波等离子体特性的另外的图。
图16A、16B分别是示出使用图3的基板处理装置进行的氧化膜氮化处理的侧面图及平面图。
图17A、17B是示出用RF远距离等离子体氮化的氧化膜中氮浓度和膜厚关系与用微波等离子体进行氮化的情况加以比较的图。
图18是示出本发明使用的XPS的概略的图。
图19是示出氧化膜通过远距离等离子体进行氮化的时间与膜中氮浓度之间关系的图。
图20是示出氧化膜的氮化时间和氮在膜内分布之间关系的图。
图21是示出通过氧化膜的氮化处理形成的氧氮化膜的每个晶片的膜厚变化的图。
图22是示出伴随本发明实施例1的氧化膜的氮化处理的膜增厚的图。
图23A、23B是示出在图3的基板处理装置实现的氮化处理面内均匀性的图。
图24是示出UV-O2氧化膜或UV-NO氧氮化膜伴随RF氮化处理的增膜和膜内氮浓度之间关系的图。
图25是示出UV-O2氧化膜的RF氮化处理的流程图。
图26A~26C是示出在伴随本发明实施例1得到的氧氮化膜形成高介电体膜的Si2p轨道的XPS频谱上出现的化学位移的图。
图27是示出在高介电体膜形成前后对本发明实施例1得到的氧氮化膜产生的增膜加以比较的图。
图28A、28B是示出本发明实施例2的基板处理方法的流程图。
图29A、29B是分别示出通过图28A、28B的工序形成的氧氮化膜的膜厚和膜内氮浓度的图。
图30A、30B是示出利用本发明实施例2的比较例的基板处理方法的流程图。
图31A、31B是分别示出通过图30A、30B的工序形成的氧氮化膜的膜厚和膜内氮浓度的图。
图32是示出本发明的实施例3的基板处理方法的流程图。
图33A、33B是示出通过本发明实施例3的基板处理方法形成的氧氮化膜的膜厚和通过比较例形成的氧氮化膜的膜厚的图。
图34是示出NO分子的种种激发状态的势垒曲线的图。
图35是示出本发明实施例4的UV-NO氧化膜的RF氮化处理流程图。
图36是示出由图35的处理得到的氧氮化膜中氮原子分布图。
图37A、37B是示出氧氮化膜内氮原子分布状态示例的图。
图38是说明使用图3的基板处理装置构成集聚型基板处理系统情况下问题点的图。
图39A、39B是示出本发明实施例5的集聚型基板处理系统构成图。
图40A、40B是示出通过图39的基板处理系统对基板进行氧化处理的图。
图41A、41B是示出通过图39的基板处理系统对基板进行氮化处理的图。
图42是示出本发明实施例6的半导体装置构成的图。
图43是示出本发明实施例6的基板处理方法的流程图。
图44是说明本发明实施例6中使用的初期氧化膜膜厚分布的图。
图45A~45C是说明由本发明实施例6形成的氧氮化膜膜厚分布的图。
图46A~46D是说明本发明实施例6的氧氮化膜形成处理的动力学的图。
图47A~47D是说明本发明实施例6的氧氮化膜形成处理的动力学的另一图。
图48A、48B是示出在本发明实施例6的氧氮化膜形成中的氧化膜的掺氮机理的图。
图49A、49B是将利用本发明实施例6形成的氧氮化膜中氮原子分布和与氧原子及Si原子分布一起示出的图。
图50A、50B是将利用本发明实施例6形成的氧氮化膜中氮原子分布和与氧原子及Si原子分布一起示出的另一图。
图51是示出在本发明实施例7中使用的基板处理装置构成的图。
图52A~52C是示出使用图51的基板处理装置形成的氧化膜膜厚分布的图。
图53是对使用图51的基板处理装置形成的氧化膜示出处理时间和膜厚关系的图。
图54A~54E是表示使用图51的基板处理装置形成的氧化膜的膜厚分布的图。
图55A~55E是表示使用图51的基板处理装置形成的氧化膜的膜厚分布的另一图。
图56A、56B是示出利用比较对照例的氧化膜膜厚分布的图。
图57是示出图51的基板处理装置的最佳扫描区域决定顺序的流程图。
图58是示出图51的基板处理装置的最佳光源驱动能量决定顺序的流程图。
图59是示出本发明实施例8的半导体装置构成的图。
图60是示出在本发明实施例8使用的集聚型基板处理系统的构成的图。
图61是确定本发明实施例8使用的处理条件的图。
图62A、62B是示出在本发明实施例9中将在硅基板表面上形成的氧化膜加以用UV-NO氮化处理后膜厚分布的图。
图63A、63B是示出在本发明实施例9用UV-NO氮化处理直接在硅基板表面上形成氧氮化膜时的膜厚分布的图。
图64A、64B是示出在本发明实施例9用UV-NO氮化处理在硅基板表面上形成氧氮化膜时的动力学的图。
图65A、65B是示出在本发明实施例9用UV-NO氮化处理在硅基板表面上形成氧氮化膜时的动力学的另一图。
图66A、66B是示出在本发明实施例9用UV-NO氮化处理在硅基板表面上形成氧氮化膜时的动力学的又另一图。
图67是示出紫外光源示例的图。
图68A、68B是示出由本发明实施例10的基板处理工序得到的氧氮化膜的膜厚分布的图。
图69A、69B是示出本发明实施例11的NO气体导入顺序的图。
图70A、70B是示出使用图69A、69B的NO气体导入顺序形成的氧氮化膜的膜厚及膜内氮浓度的图。
图71A~71E是说明本发明实施例12的半导体装置制造工序的图。
图72是示出本发明实施例1优选的RF-N2处理条件的图。
图73是示出本发明实施例6的工艺条件和膜厚分布关系的图。
图74A、74B是分别示出氧化硅膜的XPS频谱及在XPS频谱上出现的化学位移和膜厚关系的图。
图75是示出通过改良的偏振光分析测定法测量在直径为200mm的晶片上由UV-NO处理形成的氧氮化膜的膜厚分布结果的图。
具体实施方式
实施例1
图3示出本发明实施例1的基板处理装置20的概略构成,它用于包含氧氮化膜2A而在图1的硅基板上形成非常薄的基极氧化膜2。
参照图3,基板处理装置20收容具有加热器22A的、上下运动自在地设置在加工位置和基板搬入、搬出位置之间的基板保持台22,包含与前述保持台22一起围成处理空间21B的处理容器21,前述基板保持台22通过驱动机构22C旋转。前述处理容器21的内壁面通过由石英玻璃构成的内部衬里21G覆盖,据此,可以将来自露出金属面的对被处理基板的金属污染抑制到1×1010原子/cm2以下的水平。
在前述基板保持台22和驱动机构22C之间的结合部上形成磁密封28,磁密封28使保持在真空环境下的磁密封室22B和在大气环境中形成的驱动机构22C分离。由于磁密封28是液体,所以前述基板保持台22旋转自如地被保持着。
在图示的状态下,前述基板保持台22处于加工位置,在下侧形成用于被处理基板搬入、搬出的搬入、搬出室21C。前述处理容器21经闸阀27A与基板运送单元27连接,前述基板保持台22在搬入、搬出21C中处于下降的状态,经前述闸阀27A,从基板运送单元27将被处理基板W运送到基板保持台22上,或者将处理好了的基板W从基板保持台22运送到基板运送单元27。
在图3的基板处理装置20,在接近前述处理容器21的闸阀27A的部分上形成排气口21A,在前述排气口21A上经阀23A及APC(自动压力控制装置)24B,与涡轮分子泵23B连接。将干式泵及机械式助力器泵结合而构成的泵24经阀23C连接于前述涡轮分子泵23B,通过驱动前述涡轮分子泵23B及干式泵24,可使前述处理空间21B的压力减压直到1.33×10-1~1.33×10-4Pa(10-3~10-6Torr)。
另一方面,前述排气口21A经阀24A及APC24B也直接与泵24连接,通过打开前述阀24A,前述处理空间通过前述泵24减压直到1.33Pa~1.33kPa(0.01~10Torr)的压力。
在前述处理容器21,在隔着被处理基板W而与前述排气口21A相对的一侧设置供给氧气的处理气体供给喷嘴21D,供给前述处理气体供给喷嘴21D的氧气在前述处理空间21B中沿着前述被处理基板W的表面流动,从前述排气口21进行排气。
这样,由于使从前述处理气体供给喷嘴21D供给的处理气体活性化,生成氧游离基,所以在图3的基板处理装置20,在前述处理容器21上与前述处理气体供给喷嘴21D和被处理基板W之间的区域对应而设置具有石英窗25A的紫外光源25。即:通过驱动前述紫外光源25,使从前述处理气体供给喷嘴21D导入处理空间21B的氧气活性化,其结果,形成的氧游离基沿着前述被处理基板W表面流动。据此,在前述被处理基板W的表面可形成膜厚1nm以下、尤其是相当于2~3个原子层厚度的、约0.4nm膜厚的游离基氧化膜。
在前述处理容器21上,在相对前述被处理基板W而与排气口21A对置的一侧形成有远距离等离子体源26。因此,供给前述远距离等离子体源26的Ar等非活性气体的同时供给氮气,通过利用等离子体使其活性化,可形成氮游离基。这样形成的氮游离基沿着前述被处理基板W表面流动,使基板表面氮化。通过向远距离等离子体源26导入氧而取代氮,可使基板表面氧化。
在图3的基板处理装置20,还设置有由氮气冲刷前述搬入、搬出室21C的冲刷管路21c,还设置有由氮气冲刷前述磁密封室22B的冲刷管路22b及其排气管22c。
如果更详细地说明,则在前述排气管22c上经阀29A连接涡轮分子泵29B,前述涡轮分子泵29B经阀29C与泵24连接。前述排气管22c经阀29D也直接与泵24连接,据此,可在各种压力下保持磁密封室22B。
前述搬入、搬出室21C通过泵24,经阀24C进行排气,或通过涡轮分子泵23B,经阀23D进行排气。为了回避在前述处理空间21B中产生污染,也使前述搬入、搬出室21C维持在比处理空间21B更低的压力下,此外,前述磁密封室22B通过差动排气,也维持在比前述搬入、搬出室21C更低的压力下。
以下,对使用图3的基板处理装置20进行的被处理基板W表面的紫外光游离基氧化处理,及其后进行的远距离等离子体游离基氮化处理加以说明。
紫外光游离基氧化(UV-O2)处理
图4A、4B分别是示出使用图3的基板处理装置20,进行被处理基板W的游离基氧化时的侧面图及平面图。
参照图4A,将从处理气体供给喷嘴21D来的氧气供给前述处理空间21B,沿着被处理基板W表面流动后,通过排气口21A、APC23D、涡轮分子泵23B以及泵24进行排气。通过使用涡轮分子泵23B以及APC23D,前述处理空间21B的极限真空度设定在基板W通过氧游离基氧化必要的10-3~10-6Torr的范围。
与此同时,优选通过驱动产生172nm波长紫外光的紫外光源25,在这样形成的氧气流中形成氧游离基。形成的氧游离基沿着前述被处理基板W流动期间,使转动的基板表面氧化。这样的被处理基板W通过紫外光激励氧游离基产生的氧化(以下称为UV-O2处理),可在硅基板表面上稳定地再现性良好地形成1nm以下膜厚的非常薄的氧化膜,尤其是形成相当于2~3个原子层的约0.4nm膜厚的氧化膜。
图4B示出图4A的构成平面图。
参照图4B,可以看到,紫外光源25是在与氧气流方向交叉的方向伸延的管状光源,涡轮分子泵23B经排气口21A对处理空间21B进行排气。另一方面,从前述排气口21A直接到泵24为止的、在图4B中用点线表示的排气路径通过关闭阀24A而被遮断。
正如从图4B的平面图所看到的,涡轮分子泵23B避开基板运送单元27,以向处理容器21横向突出那样的形状配置。
图5示出,在图3的基板处理装置20,通过图4A、4B的工序,在硅基板表面上设定基板温度在450℃,对紫外光照射强度及氧气流量或氧分压作各种变化而形成氧化硅膜情况下,膜厚和氧化时间之间关系。可是在图5的实验,在游离基氧化之前除去硅基板表面的自然氧化膜,或者根据不同场合在紫外光激励的氮游离基中除去基板表面残留的碳,接着通过在Ar环境气氛中,进行约950℃的高温热处理,使基板表面平坦化。使用波长172nm的受激准分子灯作为前述紫外光源24B。
参照图5,系列1的数据示出将紫外光照射功率设定在紫外光源24B的窗面的基准功率(50mW/cm2)的5%、处理压力设定在665mPa(5mTorr)、氧气流量设定在30SCCM情况下的氧化时间和氧化膜厚的关系,系列2的数据示出在紫外光功率设定为零、处理压力设定在133Pa(1Torr)、氧气流量设定在3SLM情况下的氧化时间和氧化膜厚的关系。
此外,系列3的数据示出在紫外光功率设定为零、处理压力设定在2.66Pa(20mTorr)、氧气流量设定在150SCCM情况下的氧化时间和氧化膜厚的关系,系列4的数据示出在紫外光照射功率设定在100%、即在前述基准功率、处理压力设定在2.66Pa(20mTorr)、氧气流量设定在150SCCM情况下的氧化时间和氧化膜厚的关系。
此外,系列5的数据示出在紫外光照射功率设定在基准功率的20%、处理压力设定在2.66Pa(20mTorr)、氧气流量设定在150SCCM情况下的氧化时间和氧化膜压的关系,系列6的数据示出在紫外光照射功率设定在基准照射功率的20%、处理压力设定在670Pa(0.5Torr)、氧气流量设定在0.5SLM情况下的氧化时间和氧化膜厚的关系。
系列7的数据示出在紫外光照射功率设定在基准功率的20%、处理压力设定在665Pa(5Torr)、氧气流量设定在2SLM的情况下的氧化时间和膜厚的关系,系列8的数据示出在紫外光照射功率设定在基准功率的5%,处理压力设定在2.66Pa(20mTorr)、氧气流量设定在150SCCM情况下的氧化时间和氧化膜厚的关系。
在图5的实验,氧化膜膜厚通过XPS法求出,然而,这样求下降到1nm的非常薄的氧化膜膜厚的统一方法现在尚不存在。
因此,本发明的发明者对图6所示观测的Si2p轨道的XPS频谱进行背景修正以及3/2和1/2自旋状态的分离修正,与其结果得到的图7所示的Si2p 3/2XPS频谱一起,遵循Lu等(Z.H.Lu,et al.,Appl.Phys,Lett.71(1997),pp.2764)的指示,使用式(1)所示的公式及系数求出氧化膜的膜厚d。
d=λsinα·ln[Ix+/(βI0+)+1]......(1)  λ=2.96,β=0.75
其中,在式(1),α是图6所示的XPS频谱的检测角,在图示的例,设定在30°。式1中,Ix+是与氧化膜对应的频谱峰的积分强度(I1++I2++I3++I4+),与图7中在102~104eV的能量区域看到的对应。另一方面,I0+是与100eV近旁的能量区域对应的、起因于硅基板频谱峰的积分强度对应。
再参照图5,在紫外光照射功率、因此形成的氧游离基密度小的情况下(系列1,2,3,8),虽然最初是氧化膜的氧化膜厚为0nm,然而,与随着氧化时间增加膜厚逐渐继续增加相反,在设定紫外光照射功率为基准功率20%以上的系列4、5、6、7,可以确认:如图8概略地所示,氧化膜成长开始后,在大约达到0.4nm膜厚的时刻停留,在经过某种程度的停留时间后再开始急剧地成长。
在硅基板表面的氧化处理,图5或图8的关系意味可以稳定形成约0.4nm膜厚的非常薄的氧化膜。正如图5所见,这样的停留时间继续到某种程度,形成的氧化膜具有均匀的厚度。即,根据本发明,可在硅基板上均匀厚度地形成约0.4nm厚度的氧化膜。
图9A、9B概略地示出在硅基板上形成薄氧化膜的过程。在这些图中,应当注意硅(100)基板上的构造极其单纯化。
参照图9A,在硅基板表面上,每一个硅原子结合2个氧原子,形成1个原子层的氧化层。在该代表状态下,基板表面的硅原子通过基板内部的2个硅原子和基板表面2个氧原子配位,形成亚氧化物。
与此相反,在图9B的状态,硅基板最上部的硅原子通过4个氧原子配位,采取稳定的Si4+的状态。其理由认为是在图9A的状态下快速地进行氧化,形成图9B的状态,氧化停留。在图9B状态的氧化膜的厚度约为0.4nm,这与在图5观测的停留状态下的氧化膜厚很一致。
在图7的XPS频谱,氧化膜厚为0.1nm或0.2nm情况下,在101~104eV的能量范围见到的低峰与图9A的亚氧化物对应,在氧化膜厚超过0.3nm的情况下,在该能量区域表示的峰是起因于Si4+的,认为表示超过1个原子层的氧化膜形成。
图74A示出在硅基板上形成的氧化硅膜的XPS频谱及其化学位移的关系,另一方面图74B示出氧化硅膜的XPS膜厚、即通过XPS分析求出的膜厚和图74A的化学位移的关系。
参照图74A、74B,随着XPS膜厚值的增加,化学位移也增大,然而XPS膜厚从0.3nm到达0.4nm之间时,化学位移值达到作为氧化硅膜原来值的4eV,在这点上化学位移开始饱和。也如先前所述,这样非常薄的氧化膜的膜厚有可能由于测定装置的不同或先前式(1)中使用的常数λ或β值而变化。因此,在本发明从图74A、74B的关系出发,可将以上说明的0.4nm膜厚定义为氧化膜的化学位移约为4eV的最小停留膜厚。
在这样的0.4nm的膜厚的氧化膜厚的停留现象不限定于图4A、4B的UV-O2游离基氧化过程,如果是能以高精度形成同样薄的氧化膜的氧化膜形成方法,则认为同样地被观测到。
从图9B的状态出发继续氧化,则氧化膜厚度再增大。
图10示出在通过这样使用图3的基板处理装置的图4A、4B的UV-O2氧化过程形成的氧化膜上形成厚度0.4nm的ZrSiOx膜和电极膜(参照以后说明的图11B),对得到的叠积构造求出的热氧化膜换算膜厚Teq和漏电流Ig之间的关系。但是图10的漏电流特性以前述电极膜和硅基板之间平带电压Vfb作为基准,在加Vfb-0.8V的电压状态下进行量测。为了比较,在图10中也示出热氧化膜的漏电流特性。此外图示的换算膜厚是关于合并氧化膜和ZrSiOx膜构造的。
参照图10,可以了解,在省略氧化膜的情况下,即在氧化膜膜厚为0nm的情况下,漏电流密度超过热氧化膜的漏电流密度,此外热氧化膜换算膜厚Teq也成为约1.7nm左右的较大值。
与此相反,如果使氧化膜膜厚从0nm增大直到0.4nm,则可以看到氧化膜换算膜厚Teq值开始下降。在这样的状态下,氧化膜成为介入硅基板和ZrSiOx膜之间,物理膜厚实际上理应增大,而换算膜厚Teq反而减少,然而这暗示在硅基板上直接形成ZrSiOx膜的情况下,如图11A所示,大规模地产生Zr向硅基板中的扩散或硅向ZrSiOx膜中扩散,在硅基板和ZrSiOx膜之间形成厚的界面层。与此相反,如图11B所示,认为由于介入厚度0.4nm的氧化膜,抑制了这样的界面层的形成,作为结果,换算膜厚减少。可以看到,与此相伴,漏电流值也与氧化膜厚度一起减少。但是,图11A、11B示出这样形成的试料的概略断面,示出在硅基板41上形成氧化膜42、在氧化膜42上形成ZrSiOx膜43的构造。
另一方面,如果前述氧化膜厚超过0.4nm,则热氧化膜换算膜厚值再开始增大。在氧化膜膜厚超过0.4nm的范围内,在膜厚增大的同时,漏电流值也下降,认为换算膜厚的增大起因于氧化膜的物理膜厚的增大。
这样,可以看到,在图5观测的氧化膜成长停留的0.4nm附近的膜厚与由氧化膜和高介电体膜构成的系统换算膜厚最小值对应,通过图9B所示的稳定的氧化膜有效地阻止Zr等金属元素向硅基板内扩散,此外,即使使如此厚度以上的氧化膜的厚度增加,金属元素的扩散阻止效果也没有那样高。
此外,可以看出,使用0.4nm厚度的氧化膜情况下的漏电流值比对应厚度的热氧化膜的漏电流值还小2个量级左右,通过在MOS晶体管栅极绝缘膜上使用这样构造的绝缘膜,可以使栅漏电流最小化。
在由图5或图8说明的氧化膜成长的0.4nm停留现象的结果,即使如图12A所示,在硅基板41上形成的氧化膜42上当初存在膜厚度化乃至存在凹凸,由于在氧化膜成长期间膜厚增大,如图12B所示,在0.4nm附近停留,通过在停留期间氧化膜继续成长,也可以得到图12C所示的非常平坦的、均匀膜厚的氧化膜42。
也如先前说明的那样,现状是对非常薄的氧化膜不存在统一的膜厚测量方法。因此,图12C的氧化膜42的膜厚值本身有可能依测量方法而异。可是,根据先前说明的理由,在氧化膜成长中产生停留的厚度为2个原子层的厚度,因此认为优选的氧化膜42的膜厚约为2个原子层的厚度。在该优选的厚度中,部分地也包含形成3个厚子层厚度的区域,以便确保2个原子层厚度遍及整个氧化膜42。即,认为优选的氧化膜42的厚度实际上处于2~3个原子层的范围。
远距离等离子体游离基氮化(RF-N2)处理。
图13示出在图3的基板处理装置20所使用的远距离等离子体源26的构成。
参照图13,远距离等离子体源26包含在内部形成气体循环通路26a和与其连通的气体入口26b以及气体出口26c的、典型地由铝形成的部件单元26A,在前述部件单元26A的一部分上形成铁氧体磁心26B。
前述气体循环通路26a及气体入口26b、气体出口26c的内面实施氟树脂涂层26d,通过向前述铁氧体磁心26B上缠绕的线圈垂直地提供频率400kHz的高频(RF)的电力,在前述气体循环通路26a内形成等离子体26C。
伴随着等离子体26C的激励,在前述气体循环通路26a中形成氮游离基及氮离子,然而直进性强的氮离子在前述循环通路26a循环期间消灭,从前述气体出口26c主要放出氮游离基N2 *。此外,在图13的构成,通过在前述气体出口26c设置接地的离子过滤器26e,除去以氮离子为首的带电粒子,只将氮游离基供给前述处理空间21B。即使在前述离子过滤器26e不接地的情况下,由于前述离子过滤器26e的构造作为散射板起作用,所以可以充分地除去了以氮离子为首的带电粒子。此外,在实行以大量N2游离基作为必要的处理的情况下,为了防止由于在离子过滤器26e上的N2游离基的冲撞引起的消灭,也往往取出离子过滤器。
图14A对图14B示出的标准高频等离子体源及图14C示出的标准微波等离子体源的情况加以比较,示出通过图13的远距离等离子体源26形成的离子数和电子能量关系。
参照图14A,在通过微波激励等离子体的情况下促进氮分子的离子化,形成大量的氮离子。与此相反,在通过低于500kHz的高频(RF)电源激励等离子体的情况下,形成的氮离子大幅度下降。
但是,由于在高频等离子体的情况下,电子能量高的离子比率变大,所以有基板上产生损伤的缺点。可是,如果作成图13所示的构成,则直进性强的氮离子在气体循环通路26a内消灭,可有选择地只将N2游离基导入到处理容器内。
也如先前说明的那样,图14B、14C分别示出标准的高频等离子体源及标准的微波等离子体源的构成。
参照图14B,由石英内衬426d覆盖的等离子体室426D中,通过上部气体导入口426b导入处理气体,通过对其高频激励,在前述等离子体室426D内形成等离子体426C。
与前述等离子体426C相伴形成的氮离子及氮游离基导入下侧的处理室,进行等离子体氮化。可是,在这样构成的等离子体源,即使设置捕集器426c,完全除去直进性强的氮离子也是困难的。
图14C的微波等离子体源也是同样的,只在等离子体激励中使用微波这一点上不同。因此,在图14C的微波等离子体源内,即使设置了使直进性强的氮离子从游离基分离并除去的捕集器,也是困难的。
这样,通过使用图13所示的游离基源,得到图14A点线所示的离子分布,使减少离子数的理想的远距离等离子体氮化成为可能。
在通过微波进行等离子体处理的情况下,如图15所示,必要1.33×10-3~1.33×10-6Pa(10-1~10-4Torr)的高真空,然而高频等离子体处理可在13.3Pa~1.33kPa(0.1~10Torr)的较高压力下实行。
其次,对在远距离等离子体处理中适用的等离子体激励频率及处理压力加以考察。
图72示出等离子体激励频率和等离子体着火压力范围的关系。
参照图72可以知道,等离子体着火压力范围随着使用的激励频率而变化,例如等离子体激励频率为400kHz时,在1.33Pa~1.33kPa(0.01~10Torr)范围内,此外,等离子体激励频率为2.45GHz时,在13.3mPa~1.33kPa(0.1mTorr~0.01Torr)的范围内。
在这里为了对优选的处理压力加以考察,如果处理压力过低,则导入处理容器内的氮游离基扩散,例如,在图3的基板处理装置20,即使使基板W转动也只是在游离基源附近的基板周边部被氮化等,在氮化处理中产生不均匀。从这些事实出发,在图3的基板处理装置20使用图13的远距离游离基源26的情况下,为了进行均匀的氮化处理,有必要能某种程度控制游离基流,为此有必要对处理容器21内的处理压力控制在图72中用线A示出的0.01Torr(1.33Pa)以上的值。相反,如果在前述处理容器21内的处理压力过高,则由于氮游离基通过冲撞而消减,所以在前述处理容器21内的处理压力有必要控制在图72中的线B示出的3Torr(399Pa)以下的值。
其次,对优选的等离子体激励频率加以考察,如果等离子体激励频率不到线C所示的4kHz,则离子大大加速,由于高能离子引起基板损伤增大,所以优选等离子体激励频率设定在4kHz以上。相反,如果等离子体激励频率超过图72中线D所示的13.56MHz,由于在大流量下等离子体处理困难,所以优选设定等离子体激励频率不超过13.56MHz。
结果,在图3的基板处理装置20,使用图13的游离基源26进行的游离基氮化处理,优选将处理压力和频率设定在图72中用线A~D划定的斜线所示的区域内。在本发明作为典型的等离子体激励频率使用约400kHz的频率,然而这是包含上述范围的。尤其是在40kHz~4MHz的范围,可以实现实质上相同的等离子体处理。
以下的表1示出利用微波激励等离子体的情况和由高频(RF)电源激励等离子体的情况之间的离子化能量变换效率、可放电的压力范围、等离子体消耗功率、处理气体流量的比较。
表1
 离子化能量变换效率  可放电的压力范围  等离子体消耗功率  处理气体流量
微波      1.00×10-2    0.1m~0.1Torr       1-500W   0-100SCCM
高频      1.00×10-7    0.1~100Torr       1-10kW   0.1-10SLM
参照表1可以看到,与微波激励情况约为1×10-2左右相反,离子化能量变换效率在RF激励的情况减少到约1×10-7,而可放电的压力,与微波激励的情况为0.1mTorr~0.1Torr(133mPa~13.3Pa)左右相反,在RF激励的情况为0.1~100Torr(13.3Pa~13.3kPa)左右。与此相伴,在等离子体消耗功率方面,RF激励情况也比微波激励情况还大,在处理气体流量方面,RF激励情况一方也比微波激励情况大许多。
在图3的基板处理装置,不是通过氮离子而是通过氮游离基进行氧化膜的氮化处理,因此优选激励的氮离子数少的一方。此外从给预被处理基板的损伤最小化的观点出发,优选激励的氮离子数少。此外,利用图3的基板处理装置,激励的氮游离基数也少、适于将高介电体栅极绝缘膜下非常薄的、充其量只有2~3个原子层左右厚度的氧化膜氮化。以下对使用这样的高频等离子体激励氮游离基进行氧化膜的氮化处理称之为RF-N2处理。
也如先前说明的那样,由于通过使用图13的远距离等离子体游离基源26,在图3的基板处理装置20可将大流量的处理气体导入处理容器21中,所以通过这样的RF-N2处理,最终使得在基板表面的氮浓度分布均匀的优良的氮化处理成为可能。
在增大处理气体流量的情况下,被氮化区域与处理气体流量少的情况不同,限定于图3的连接等离子体源26和排气口21A的基板中心轴近旁的区域,可是,通过控制Ar气和氮气流量的总处理气体流量,可以调整基板上的前述氮化区域向前述排气口21A方向的延伸量。因此通过使前述延伸量最佳化后,使被处理基板W旋转,基板表面上的氮浓度均匀性上升。考虑在图3的构成,通过在氮气内添加Ar气也能有延长氮游离基寿命的效果,添加Ar气也有可提高本发明的RF-N2处理的面内均匀性。
此外,即使在使用微波等离子体的氮化处理,如果在大气体流量下的氮化处理可能,则也有可能实现与在远距离等离子体氮化处理中达到的面内均匀性相同程度的面内均匀性。
图16A、16B分别是示出使用图3的基板处理装置20进行被处理基板W的游离基氮化(RF-N2处理)情形的侧面图及平面图。
参照图16A、16B,将Ar气和氮气供给远距离等离子体游离基源26,通过数100kHz的频率下高频激励等离子体形成氮游离基。形成的氮游离基沿着前述被处理基板W表面流动,经前述排气口21A及泵24进行排气。其结果,前述处理空间21B设定在对基板W的游离基氮化合适的1.33Pa~1.33kPa(约0.01~10Torr)范围的处理压力。尤其是优选使用6.65~133Pa(0.05~1.0Torr)的压力范围。这样形成的氮游离基在沿着前述被处理基板W表面流动期间,使被处理基板W的表面氮化。
在图16A、16B的氮化工序,在氮化工序之前的冲刷工序通过打开前述阀23A及23C,关闭阀24A,前述处理空间21B的压力减压直到1.33×10-1~1.33×10-4Pa的压力,对处理空间21B中残留的氧或水分进行冲刷,在其后的氮化处理,关闭阀23A及23C,在处理空间21B的排气路径上不包含涡轮分子泵23B。
这样,通过使用图3的基板处理装置20,在被处理基板W的表面形成非常薄的氧化膜,可使其氧化膜表面进一步进行氮化。
图17A示出使用图13的RF远距离等离子体源26,对利用图3的基板处理装置20在硅基板上通过热氧化处理形成的2.0nm厚度的氧化膜,在表2所示的条件下进行RF-N2处理后的前述氧化膜中的氮浓度分布,图17B示出同一氧化膜中的氮浓度分布和氧浓度分布的关系。
表2
氮流量 Ar流量 等离子体电力 压力 温度
微波 15 SCCM - 120W 8.6mTorr 500℃
高频 50 SCCM 2SLM 2kW 1Torr 700℃
参照表2,在使用基板处理装置20进行RF-N2处理期间,向前述处理空间21B中以50SCCM的流量供给氮,以2SLM的流量供给Ar,虽然氮化处理在1Torr(133Pa)的压力下进行,但在氮化处理开始前,一旦处理空间21B的内压力减压到10-6Torr(1.33×10-4Pa)左右,内部残留的氧或水分已被充分冲刷掉。因此,在前述1Torr左右的压力下进行的氮化处理(FR-N2处理)期间,通过Ar及氮稀释在前述处理空间21B内残留的氧,残留氧浓度进而残留氧的热力学的活度变得非常小。
与此相反,在使用微波等离子体进行氮化处理,氮化处理期间的处理压力与冲刷压力是相同程度的,因此认为在等离子体环境气氛中残留氧具有高热力学活度。
参照图17A可以看到,在通过微波激励等离子体进行氮化的情况下,导入氧化膜中的氮浓度受限制,不能实质上进行氧化膜的氮化。与此相反,正如本实施例所示,在通过RF激励等离子体进行氮化时,在氧化膜中氮浓度随深度一起线性变化,在表面近旁达到接近20%的浓度。
图18示出使用XPS(X射线分光频谱)进行的图17A的测量原理。
参照图18,向在硅基板11上形成有氧化膜12的试料以预定角度斜方向照射X线,通过检测器DET1、DET2以各种角度检测激励的X射线频谱。其际,在设定在例如90°的深检测角处的检测器DET1,激励的X线在氧化膜12内的行进路径短,因此在前述检测器DET1检测的X线频谱上多含氧化膜12下部的信息,与此相反,在设定在浅检测角处的检测器DET2,激励的X线在氧化膜12的行进路径长,因此检测器DET2主要检测氧化膜12表面近旁的信息。
图17B示出前述氧化膜中的氮浓度和氧浓度的关系。其中,图17B中氧浓度通过与O1s轨道对应的X线强度表示。
参考图17B,在如本发明所示,通过使用RF远距离等离子体的RF-N2处理进行氧化膜氮化的情况下,可以看到,伴随氮浓度增大,氧浓度减少,在氧化膜中氮厚子置换氧原子。与此相反,在用微波等离子体进行氧化膜氮化时,未见到这样的置换关系,未见到氧浓度随氮浓度下降的关系。尤其在图17B,在通过微波氮化导入5~6%的氮的例中,见到氧浓度的增加,这暗示与氮化一起引起了氧化膜的增膜。伴随这样的微波氮化的氧浓度的增加认为是由于如下原因引起的:微波氮化在高真空中进行,因此在处理空间中残留的氧或水分并未像在高频远距离等离子体氮化情况那样通过Ar气或氮气稀释,在环境气氛中具有高活度。
在图3的基板处理装置20形成4(0.4nm)及7(0.7nm)厚度的氧化膜,图19示出通过使用前述远距离等离子体源26的图16A、16B的RF-N2处理对其氮化时的氮化时间和膜中氮浓度关系。图20示出伴随图19的氮化处理的、氮向氧化膜膜表面偏析的样子。在图19、20上也示出通过对氧化膜进行急速热氧化处理形成5(0.5nm)及7(0.7nm)厚度的情况。
参照图19,即使是任一氧化膜,膜中氮浓度也都随着氮化处理时间一起上升,尤其是在具有与通过UV-O2氧化形成的2个原子层大小对应的0.4nm膜厚的氧化膜情况下,或具有与此相近的0.5nm膜厚的热氧化膜情况下,由于氧化膜薄,在同一成膜条件,氮浓度变高。
图20示出将图18的检测器DET1及DET2分别设定在30°及90°的检测角而检测出的氮浓度的结果。
正如图20所看到的那样,图20的纵轴表示由30°检测角得到的、自膜表面偏析的氮原子产生的X线频谱强度被由90°检测角得到的、自膜全体上分散的氮原子产生的X线频谱强度值所除的结果,以此定义为氮的偏析率。在该值为1以上时,产生对表面的氮偏析。
参照图20,氧化膜通过前述UV-O2处理形成7膜厚的情况下,氮偏析率成为1以上,氮原子向当初表面偏析,认为实现了图1氧氮化膜12A那样的状态。此外,可以看到,在进行了90秒钟的RF-N2处理后,膜中大体均匀分布。可以看到,即使在其它膜,经90秒钟的RF-N2处理,氮原子在膜中分布也大体成为均匀。
在图21的实验,在图3的基板处理装置20,对10枚晶片(晶片#1~晶片#10)重复进行前述UV-O2处理及以下RF-N2处理。
图21示出这样得到的氧氮化膜的每只晶片的膜厚变化。但是图21的结果是针对以下的情况:在图3的构成,驱动前述紫外光源进行UV-O2氧化处理期间,按照由XPS测量求得的氧化膜膜厚为0.4nm的方式形成氧化膜,其次是对这样形成的氧化膜通过驱动前述远距离等离子体源26进行的RF-N2处理,变换为包含约4%氮原子的氧氮化膜。
参照图21,可以看到,纵轴示出对这样得到的氧氮化膜通过偏振光分析测定法求得的膜厚,正如由图21所看到的,得到的膜厚大体为8(0.8nm),保持为一定。
图22示出利用图3的基板处理装置20通过使用紫外光源25的UV-O2处理在硅基板上形成0.4nm氧化膜后,通过远距离等离子体源26,对其进行RF-N2处理后情况下的、调研出的由于氮化产生的膜厚增加的结果。
参照图22,可以看到作为当初(进行RF-N2处理前)膜厚约0.38nm的氧化膜在通过前述RF-N2处理导入4~7%的氮原子的时刻,膜厚增大到约0.5nm。另一方面,在通过RF-N2处理导入约15%氮原子的情况下,膜厚增大到约1.3nm,在这种情况下认为导入的氮原子通过氧化膜,侵入到硅基板中,形成氮化膜。
在图22中由▲示出针对在厚度0.4nm的氧化膜内只导入一层氮的理想的模型构造的氮浓度和膜厚的关系。
参照图22,在该理想的模型构造,氮原子导入后的膜厚成为约0.5nm,在这种情况下膜厚增加约0.1nm,氮浓度为约12%。
如果以该模型作为基准,则通过图3的基板处理装置20进行氧化膜氮化时,其结论为,优选将膜厚增加抑制在相同程度的0.1~0.2nm。估计其际进入膜中的氮原子量最高为12%左右。
图23A、23B示出利用图3的基板处理装置20、在通过驱动机构22C使硅基板W旋转的同时在硅基板W上形成2nm厚度的氧化膜,并测定形成的氧氮化膜的氮浓度分布以及膜厚分布的结果。其中图23A、23B的实验是在使形成有2nm厚度氧化膜的硅基板旋转的同时,在133Pa压力、450℃的基板温度下以2SLM供给Ar气、以50sccm的流量供给氮气的情况下进行。图23A中一目了然地示出基板表面内氮富集的部分。在图23B示出通过偏振光分析测定法求出的氧氮化膜膜厚和XPS分析求出的氮浓度。
图23A、23B的结果示出在图3的基板处理装置20,通过使基板旋转,接着使Ar气及氮气流量最佳化,即使在产生非对称游离基流的基板处理装置20也遍及基板W的整个表面,可以实现大体均匀的氮分布。
图24是与先前说明的图22对应的图,对通过图25的流程中所示的UV-O2处理(步骤S1)形成的氧化膜进行RF-N2处理(步骤S2)得到的氧氮化膜中氮浓度和通过XPS法测量的膜厚的关系。其中,在图24,对在前述RF-N2处理前的氧化膜的初始膜厚作各种改变。
参照图24,●示出在氧化膜初始膜厚为0.4nm情况下的XPS膜厚和膜中氮浓度关系,与前述图22中用●表示的情况对应,在图24的实验,如果膜厚在直到0.8nm的范围,则这样得到的氧氮化膜中氮浓度与XPS膜厚一起线性增加。
与此相对,图中用■示出的例与以氧化膜初期膜厚取0.3nm情况对应,在同样得到的氧氮化膜中氮的浓度与XPS膜厚一起,以与氧化膜初始膜厚0.4nm的情况大体相同的斜率,线性增加。
因此,以这样的氧氮化膜作为先前图1所示那样的高介电体栅极绝缘膜3下的基极氧化膜2而使用的情况下,通过向前述基极氧化膜2内导入氮气,抑制硅基板1的氧化,从图24的关系出发,如果氮浓度过高,则得到的氧氮化膜的物理膜厚增大,抵消了使用高介电体栅极绝缘膜3的效果。
而且,如果向将通过UV-O2处理形成的氧化膜(以下称为UV-O2氧化膜)进行RF-N2处理而形成的氧氮化膜中导入20%以上浓度的氮,则有必要使前述UV-O2氧化膜的初始膜厚比0.4nm还小。即:以导入这样高浓度氮的氧氮化膜作为高介电体栅极绝缘膜的基极氧化膜使用时,有必要将前述UV-O2氧化膜的初始膜厚设定成比0.4nm还小。
图26A~26C示出在通过UV-O2处理在硅基板1上形成氧化膜2、接着于形成的氧化膜2上作为高介电体膜3形成HfO2膜的情况下,针对Si基板1上形成的构造通过XPS法求出的Si2p轨道的频谱。其中,图26A示出在形成前述氧化膜2的状态下的频谱,图26B示出在前述氧化膜2上形成HfO2膜的状态下的频谱,图26C示出对这样形成的HfO2膜进行热处理情况下的频谱。对于图26A~26C各图,示出对应的概略的元件构造。其中图26A~26C中对先前说明过的部分附加相同的参照符号,省略说明。
参照图26~26C,在图26A的状态,除了Si2p轨道频谱峰A外,观测到化学位移的Si2p轨道的低频谱峰B,然而,在图26B的状态下峰B的强度增大,尤其是在图26C的进行热处理后的状态下,峰B的强度增大直到可与峰A的强度匹敌。
与前述峰B对应的化学位移是通过基板1或氧化膜2中的Si原子与从HfO2膜来的氧原子结合产生的,如果假定Si2p轨道频谱的化学位移的原因为完全在于硅基板1的界面反应,则从前述峰B的面积也可以估算伴随图26C热处理工序的氧化膜2的增膜程度。
图27示出对在各种初始膜厚的氧化膜上形成HfO2膜、接着进行热处理情况下,在HfO2膜形成前和热处理后,评价氧化膜2膜厚的变化结果。其中,图27中,横轴表示与图26A对应的HfO2膜形成前的氧化膜初始膜厚,纵轴示出与图26C对应的热处理后的氧化膜膜厚。
从图27可以看到,在例如UV-O2氧化膜的初始膜厚超过0.4nm时,则最终引起膜厚减少或停留。因此,如果认为该膜厚停留与抑制硅基板表面上的界面反应所对应,则在先前的形成ZrSiO4膜的实施例中,由于氧化膜2的膜厚在0.4nm情况下膜形成停留,所以该膜厚成为最佳膜厚,然而在热处理高介电体膜的情况下,从抑制这样的硅基板表面的界面反应的观点出发,也可能存在氧化膜2的最佳值比0.4nm还厚的时候。
这样,由于界面反应的程度根据高介电体膜的种类或其反应性、后续的热处理程度等改变,为了抑制界面反应,作为界面膜往往也有必要比0.4nm还厚的膜。当然,高介电体栅极绝缘膜全体的加工,应当按照使界面反应最小来设计,此外基极氧化膜2的膜厚理想地应当为0.4nm。作为结论,基极氧化膜2优选具有2~4个原子层范围膜厚,更优选具有2~3个原子层范围膜厚,最优选只有2个原子层膜厚。
实施例2
图28A是示出使用图3的基板处理装置20进行的、在本发明实施例2的硅基板表面形成氧氮化膜的工序流程图,图28B是示出在图3的基板处理装置20,在硅基板表面形成氧化膜后,将基板取出到大气中,接着将基板返回到前述基板处理装置20的处理容器21内,对前述氧化膜进行RF-N2处理而形成氧氮化膜的、作为对图28A的工序的比较例的氧氮化膜形成工序的流程图。
先参照图28B的比较例,在步骤21,在图3的基板处理装置20中,在被处理基板W表面通过先前说明的UV-O2处理工序使用紫外光激励氧游离基形成氧化膜,其次,在步骤22,将前述被处理基板W一次搬出到处理容器21外之后,对前述处理容器排气到高真空状态,再将被处理基板返回到处理容器中。接着,在步骤23,使用先前说明的RF激励氮游离基进行RF-N2处理,将前述的氧化硅膜变换成氧氮化硅膜。
在图28B的工序,在步骤22,由于前述处理容器21内部排气到高真空状态,所以在步骤23的RF-N2处理工序,不会被氧污染,氧化产生的氧氮化膜的增膜被抑制在最低限。
与此相对,在图28A的工序,为了提高了基板处理能力,完成与步骤21对应的步骤11的UV-O2处理工序后的被处理基板W原封不动地保持在前述处理容器21中,在步骤12的冲刷工序之后通过使用与步骤23对应的RF激励氮游离基的RF-N2处理工序12,前述氧化硅膜变换为氧氮化硅膜。
图29A对由图28A工序形成的氧氮化膜通过XPS法求出的膜厚和由图28B工序形成的氧氮化膜通过XPS法求出的膜厚加以比较、示出。其中图29A中,横轴示出图28A的步骤13或图28B的步骤23的氮化时间。图29A中■表示图28A的处理,◆表示图28B的处理。
参照图29A,随着氮化处理,氧氮化膜膜厚也增大,然而利用图28A的处理和利用图28的处理并未发现实质上的差异,即使在图28A的工序也可以实现充分的氧冲刷。
图29B对利用图28A的处理和图28B的处理进入氧氮化膜内的氮原子浓度加以比较示出。其中图29中横轴表示图28A的步骤13或图28B的步骤23的氮化时间。图29B中■表示图28A的处理,◆表示图28B的处理。
参照图29B,无论利用图28A的处理或图28B的处理,进入氧氮化膜内的氮原子浓度没有实质上差异,图29上看到的增膜解释为由于氧氮化膜中导入氮引起的。
其次,本发明的发明者进行如下的实验:使用图3的基板处理装置20,通过利用远距离等离子体源23取代紫外光源25而使氧游离基产生从而形成氧化膜(以下称为RF-O2处理),将通过RF-O2处理形成的氧化膜通过使用由前述远距离等离子体源26产生的氮游离基的RF-N2处理进行氮化,形成氧氮化膜。
在图30A的实验,在步骤31,将Ar气和氧气导入前述远距离等离子体源26内进行RF-O2处理,在步骤32,将前述处理容器21冲刷为高真空状态后,用Ar对处理容器21冲刷4次,接着在步骤33,进行使用前述远距离等离子体源26的RF-N2处理。
与此相对,在图30B的实验,在步骤41,与前述步骤31同样地将O2和Ar导入前述远距离等离子体源26内进行RF-O2处理。其后,在步骤42,将被处理基板W搬出处理容器21之外。在该状态下,对前述处理容器21内部排气到高真空状态后,将被处理基板W返回到处理容器21内,接着在步骤43,进行与前述步骤33同样的RF-N2处理。
图31A对这样通过图30A的处理形成的氧氮化膜由XPS法求出的膜厚和通过图30B的处理形成的氧氮化膜由XPS法求出的膜厚加以比较示出。其中,在图31A中■与图30A的处理对应,◆与图30B的处理对应。
参照图31A,可以看到,在被处理基板W上通过由前述远距离等离子体源26产生的RF-O2处理形成前述氧化膜的情况下,如果使用图30A的处理,则产生实质性的增膜。
图31B对由图30A的处理形成的氧氮化膜中的氮原子浓度和由图30B的处理形成的氧氮化膜中氮原子浓度加以比较示出。其中,在图31B中,■与图30A的处理对应,◆与图30B的处理对应。
参照图31B,可以看到,由图30A的处理形成的氧氮化膜中的氮浓度比由图30B的处理形成的氧氮化膜中氮浓度还低,在图31A看到的图30A的处理产生的增膜主要由残留氧产生的。认为这样残留的氧或许存在于前述远距离游离基源26中,在图30A的氮化处理步骤33形成氮游离基的同时形成氧游离基,促进了氧氮化膜的氧化反应。
从这样的事实出发,如图25的流程图所示,在被处理基板表面上形成非常薄的氧化膜,在对其进行RF-N2处理形成氧氮化膜时,使用图3说明的基板处理装置20,但是优选通过使用紫外光激励的氧游离基的UV-O2处理进行最初氧化膜的形成。
实施例3
图32是示出本发明实施例3的氧氮化膜形成工序的流程图。其中,图32中对先前说明的部分附加相同的参考符号,省略说明。
参照图32,本实施例的氧氮化膜的形成工序与先前在图28A说明的工序类似,然而对于在步骤12的处理容器21的冲刷工序中使用Ar气的冲刷次数在0~4次之间作各种改变。各次的Ar冲刷处理由向前述处理器21流入Ar气的工序和接着对处理容器21排气到高真空状态的工序构成。
图33A示出在图32的步骤12的工序进行的Ar冲刷处理次数和由步骤13的工序得到的氧氮化膜的通过偏振光分析测定法求出的膜厚之间的关系。
参照图33A,不论Ar冲刷次数多少,氧氮化物膜膜厚约为1.4nm,保持一定。
与此相反,图33B示出在图30A的工序,对步骤32的Ar冲刷处理次数作各种变化时得到的氧氮化膜膜厚。
参照图33B,示出氧氮化膜的膜厚随着冲刷次数稍微地减少,为了抑制由于氧氮化膜的氧化产生的增膜,步骤32的冲刷处理是必要的。
图33A、33B的结果意味着,在通过图30A的处理进行氧氮化膜形成时,步骤12的冲刷工序不一定必要的,即使省略该工序,得到的氧氮化膜中也不会实质上产生增膜。
实施例4
可是,如先前说明那样,在通过由图24的UV-O2氧化膜的RF-N2处理进行氮化时,在氮浓度为20%时,不可避免得到的氧氮化膜膜厚为0.8nm左右。如果因此也要减少氧氮化膜膜厚,只要从UV-O2氧化膜出发,有必要使初始膜厚减少到0.2或0.1nm左右,或在其以下。
在本实施例,作为初始膜,取代先前说明的UV-O2氧化膜,在图3的基板处理装置20从前述气体喷嘴21导入NO气体,通过进行紫外光激励,激励原子状氧和原子状氮,通过激励的原子状氧和原子状氮进行氧氮化处理(以下称为UV-NO处理)。这样得到的氧氮化膜,即UV-NO膜在初始状态已经含有氮,通过接着对其进行RF-N2处理,不但将膜厚抑制在最低限,且将高浓度氮导入膜内。
图34示出在NO分子各种激励状态的势垒曲线(例如参照J.S.Chang等“电离气体的原子、分子过程”东京电机大学出版局,1982年)。
对于NO分子因紫外光的光迁移,众知伴随从基态向激励态A2+、B2∏r、C2∏、D2+、E2+跃迁的吸收带的存在,可分别在227nm、218nm、192nm、188nm、165nm以下的光波长跃迁。
另一方面,从图34可以看出,可激励原子状氧(O3P)和原子状氮(N4S0)的波长区在192nm和145nm之间。即,通过在145nm以上的光波长激励NO分子,可产生原子状氧和原子状氮。另一方面,因为如果光波长比145nm还短,则开始激励游离基氧(O1D),所以认为在基板处理期间氧化反应成为主体。
通过这样的事情,在图3的基板处理装置20,通过作为前述紫外光源25使用波长192~145nm范围的紫外光源,能形成所希望的UV-NO膜作为初始膜。
图35示出在这样的UV-NO处理完了后,接着进行RF-N2处理的本实施例的基板处理工序的概要。
参照图35,在步骤51,硅基板表面通过前述UV-NO处理而氮化,形成氧氮化膜。
接着,在步骤52,前述氧氮化膜进行RF-N2处理,先前形成的氧氮化膜接着氮化,得到高氮浓度的氧氮化膜。
以下的表3示出针对图35的步骤51和52处理条件的例子。其中表3中,对步骤51,也一并示出先前说明的UV-O2处理(图25的步骤1)的条件。
表3
 气体   流量[SCCM]   压力[Torr]   温度[℃]   时间(Sec)
  步骤1   UV-O2  O2   300   0.02   500   15-300
  步骤51   UV-NO  NO   200   0.05   450   20-120
  步骤52   RF-N2  Ar+N2   1600   0.09   500   15-60
在以下的表4,示出前述步骤S51及S52允许的处理条件。与表3同样,即使在表4,示出针对步骤S51中前述UV-O2处理和UV-NO处理双方的允许处理条件。
表4
  气体   流量[SCCM]   压力[Torr]  温度[℃]   时间(Sec)
步骤1  UV-O2   O2   300-4000   0.02-5  300-750   15-600
步骤51  UV-NO   NO   10-1000   0.01-5  300-750   15-600
步骤52  RF-N2   Ar+N2   500-2500   1×1-3-10  300-750   10-180
根据表3及表4,前述UV-O2处理可以在0.02-5Torr(0.0266-665Pa)的压力范围、300~750℃的温度范围下进行,前述UV-NO处理可以在0.01~5Torr(0.0133~665Pa)的压力范围、300~750℃的温度范围下进行。
另一方面前述RF-N2处理优选10-3~10Torr(0.133Pa~1.33kPa)的压力范围、300~700℃的温度范围进行,尤其优选在0.67Pa~13.3kPa的范围。
在这里再次参照先前说明的图24,图中▲及示出通过图35的处理形成的氧氮化膜中的氮浓度和膜厚的关系。
参照图24可以看到,氧氮化膜即使在通过前述UV-NO处理形成之后也包含10%左右的氮,因此与此相反,通过进行图35的步骤S52的RF-N2处理工序,可以形成更高氮浓度的氧氮化膜。其际,图中▲所示的数据示出通过UV-NO处理成膜后的膜厚为0.5nm的情况,所示的数据示出通过UV-NO处理成膜后的膜厚为0.4nm的情况,尤其在所示的情况,通过进行RF-N2处理,即使由XPS法测量的膜厚为0.6nm以下,也可以实现20%的氮浓度。
图36针对先前的实施例中在UV-O2处理后接着进行RF-N2处理而得到的氧氮化膜,将通过XPS法求出的膜中氮原子在1s状态下的束缚能的结果,与针对先前说明的进行UV-NO处理而形成的氧氮化膜的结果以及针对通过其它方法形成的氧氮化膜的结果一起加以表示。其中图36中纵轴表示XPS频谱的半高宽值(FWHM),横轴表示NlS原子的束缚能。
参照图36,认为,尤其是具有高束缚能的氧氮化膜,与Si原子占有如图37A所示的氮原子的最邻接位置、氧占有氮原子的第二邻接位置的情况对应,另一方面,具有低束缚能的氧氮化膜,与Si原子占有如图37B所示的氮原子的最邻接位置、Si原子也占有第二邻接位置的情况对应。两者束缚能之差为0.6eV左右,与图36横轴的分布一致。
参照图37A,在该状态下氮原子包含在氧化膜内,与例如如图1所示的氮原子存在于氧化膜内部或在表面近旁的状态对应。与此相反,在图37B,由点线包围的或者多个位置的Si如图中箭矢所示由氧置换的状态,与氧氮化膜中在硅基板和氧氮化膜之界面近旁富集氮原子的状态对应。
看图36,在对UV-O2氧化膜进行RF-N2处理后的氧氮化膜,束缚能分散于从较低能量一侧的约397.6eV到高能量侧的约398.1eV的广范围内,由于比峰半高宽值还大,所以推断在膜中混合了图37A的状态和图37B的状态。
另一方面,在只进行UV-NO处理的氧氮化膜,束缚能分散于从约397.5eV至约397.9eV的低能量侧,由于比峰半高宽值还小,所以尽管在膜中混合图37A的状态和图37B的状态是相同的,然而,可推断图37B的状态多多少少成为优势状态。即认为在这情况下氧氮化膜中的氮原子分布更移向硅基板侧。
图36中,还针对将热氧化膜进行RF-N2处理形成的氧氮化膜(RTO/RFN)、将热氧化膜进行微波等离子体氮化处理形成的氧氮化膜(SPA)以及将硅基板进行热氧氮化膜处理形成的氧氮化膜(RTNO)的结果加以示出。
对氧氮化膜RTO/RFN及SPA,认为观测的N1S状态的束缚能较大,图37A的状态成为优势状态。与此相反,在氧氮化膜RTNO观测的N1S状态的束缚能集中于397.4eV近旁,认为这表示氧氮化膜中的氮原子在与硅基板的界面近旁富集。
这样,根据对本发明的UV-O2氧化膜进行RF-N2处理的氧氮化膜的形成方法,可以实现在氧氮化膜中比膜表面侧更富集的、且比较均匀的氮原子分布。另一方面,对已有的氧化膜进行RF氮化处理时,正如从图36中的UVO2/RFN或RTO/RFN或SPA的结果看到的那样,认为氮原子主要分布在膜的表面附近。由此认为,进行图36所示的UV-NO处理,对形成的氧氮化再进行RF氮化处理时,认为可使氮原子大体均匀分布在氧氮化膜的从与硅基板的界面直到表面为止的空间。
实施例5
在制造具有图1的高介电体栅极绝缘膜的半导体装置时,通过这样的基板处理装置20形成的基极氧化膜2上有必要形成高介电体膜3。
高介电体膜3典型地由CVD法形成,例如在形成ZrO2膜时使用ZrCl4或包含其它Zr的气相原料,通过使其氧化,堆积ZrO2膜。
这样的高介电体膜3的形成优选在图16A、16B的游离基氧化膜的氮化工序后不使被处理基板与外界空气接触而继续进行,因此希望图3的基板处理装置20内装入包含CVD室的集聚型的基板处理装置中。
图38示出本发明实施例5那样的集聚型基板处理装置30的概略构成。
参考图38,集聚型基板处理装置30包含使被处理基板W出入的盒式容舱31A、31B和经各自的闸阀与前述盒式容舱31A、31B连接的基板搬运室32,前述基板搬运室32还连接着基板清洗室33、基极膜形成室34、CVD室35及热处理室36。
另外,从盒式容舱31A或31B导入基板搬运室32内的被处理基板W首先送入基板清洗室33,除去天然氧化膜及有机物污染。其次,被处理基板W经基板搬运室32送入基极氧化膜形成室34,形成前述基极氧化膜12及氮化膜12A。
其后,被处理基板W通过基板搬运室32,送入CVD室35,形成高介电体膜13,接着送入热处理室36,进行结晶化以及氧缺损补偿。在热处理室36处理后,被处理基板W通过基板搬运室32,送入盒式容舱31A或31B内。
在各处理室33~36上设置协动的各种装置,其结果处理室除了自身之外还必需图38中用虚线所示的面积。其际,处理室内面对基板搬运室32一侧的部分为与其它处理室的间隔狭窄,可能利用的空间有限。
另外,如果在这样的集聚型的基板处理装置30,使用图3的基板处理装置20,则处理容器21与取代基板搬运单元27的基板搬运室32连接。这时,如图4B或图16B所示的、在处理容器21靠近基板搬运室32一侧,向侧方突出的涡轮分子泵23B产生与邻接的处理室干扰的问题。
为了快速地对处理容器21减压,涡轮分子泵23B有必要设置在排气口21A的近旁,然而在基板搬运室32下设置有搬运自动机等各种装置,不存在为此可利用的空间。在处理容器21下设置以基板旋转机构22为首的各种装置,同样也没有设置涡轮分子泵23B的空间。
图39A、39B分别示出本发明实施例5的基板处理装置40的构成的侧面图及平面图。其中图39A、39B中对先前说明的部分附加相同的参照符号,省略说明。
参照图39A、39B,基板处理装置40在构成图38一类的集聚型基板处理装置时将涡轮分子泵23B配置在空间能有富裕的处理容器21的外侧,即与前述基板搬运单元27相反的一侧。与此相伴,在前述处理容器21上与前述涡轮分子泵23B协动的排气口21E在与前述基板搬运室相反的一侧形成。此外在比被处理基板W还接近前述基板搬运室27的一侧设置导入氧的处理气体喷嘴21D及紫外光源25,以便氧游离基通过前述被处理基板W的表面,流入前述排气口21E。
前述涡轮分子泵23B经阀23A在垂直的方向上、即按照吸气口和排气口上下排列那样的方向,与前述处理容器21的下部连接,前述涡轮分子泵23B的排气口在阀24A的背面与从前述处理容器21的排气口21A经阀24A到达前述泵24的排气管路连接。
由于基板处理装置40的涡轮分子泵23B形成配置在外侧、即与基板搬运单元27相反的一侧,所以即使构成图38那样的集聚型的基板处理装置,也不会产生涡轮分子泵23B与邻接的处理室干扰的问题。
图40A、40B示出使用前述基板处理装置40形成基极氧化膜12的工序。
参照图40A、40B,在基极氧化膜形成工序,打开阀23A及23C,关闭阀24A。其结果,前述处理空间23B在前述排气口21E通过涡轮分子泵23B减压到1.33×10-1~1.33×10-4Pa(10-3~10-6Torr)的高真空状态,在该状态下,从前述处理气体喷嘴21D导入氧气到处理空间21B。接着边通过基板旋转机构22C使前述被处理基板W旋转,通过以适当能量驱动紫外光源25,形成的氧游离基沿着基板表面流向排气口21E,使基板表面均匀地氧化。据此,在硅基板表面可均匀地再现性良好地形成具有1nm以下、尤其是与2~3个原子层的膜厚对应的约0.4nm膜厚的非常薄的氧化硅膜。当然,也可形成厚度超过1nm的氧化硅膜。
图41A、41B示出如下的工序:使用本实施例的基板处理装置40,使在图40A、40B的工序后形成的基极氧化膜2的表面氮化,形成氧氮化膜2A。
参照图41A、41B,在氮化工序,关闭前述阀23A及23C,打开阀24A。据此使涡轮分子泵23B与排气系统隔断,前述处理空间21B通过前述泵24直接排气,减压到1.33Pa~13.3kPa(0.01~10Torr)。
在该状态下,对前述远距离等离子体源26供给Ar气和氮气,接着通过对其高频激励,形成氮游离基。形成的氮游离基沿着前述被处理基板W的表面流向排气口21A,其际,使旋转的被处理基板W表面均匀氮化。通过这样氮化,图1所示的基极氧化膜2的表面变换为氧氮化膜2A。
通过在图38所示的集聚型基板处理装置中,在处理室34使用本实施例的基板处理装置40,在包含这样形成的氧氮化膜2A的基极氧化膜2上可接着形成ZrO2、HfO2、Ta2O5、ZrSiO4、HfSiO4、Al2O3等的高介电体膜。
根据以上的说明,说明了使用基板处理装置40形成非常薄的基极氧化膜的例子,然而本发明并不限定于这样特定的实施例,也可适用于在硅基板或硅层上以所希望膜厚形成高品质的氧化膜、氮化膜或氧氮化膜。
实施例6
在以上的实施例,对使用图3的基板处理装置20以0.4nm左右的膜厚形成图1所示半导体装置100上的基极氧化膜2、且在其表面上形成氧氮化膜2A的技术加以说明,但是可通过前述基板处理装置20形成厚的氧氮化膜,据此,如图42所示的半导体装置200那样,形成栅极绝缘膜3A。
参照图42,在半导体装置200,不使用图1的高介电体膜栅极绝缘膜3,在栅极绝缘膜3A上直接形成栅极电极4。图42中对先前说明过的部分附加相同的参考符号,省略说明。
在图42的半导体装置200,没有必要使用高介电体膜3,由于通过现有的半导体加工技术处理的氧氮化膜可以形成栅极绝缘膜,所以使半导体装置制造变得容易。另一方面,在图42的半导体装置200,使用先前图3说明的基板处理器件20,有必要对由氧氮化膜形成的前述栅极绝缘膜3A作成氧化膜换算膜厚1.0nm左右或其上膜厚,即1.6nm左右的物理膜厚。
图43是示出本实施例的厚氧氮化膜形成工序的图。
参照图43,在步骤61,进行由紫外光激励氧游离基产生的硅基板表面的UV-O2处理后,形成的氧化膜在步骤62通过RF-N2处理进行氮化处理,形成氧氮化膜,然而在本实施例,在750℃的较高温度下执行步骤61及62的工序,实现所希望的膜厚。在步骤61和步骤62之间的冲刷工序鉴于先前图32的实验结果,省略之。
图44示出通过图43的步骤61的UV-O2处理工序得到的氧化硅膜在硅基板表面的膜厚分布。其中图44的膜厚通过分光偏振光分析测定法测量。
参照图44,可以看到,氧化硅膜在400Pa(3Torr)的压力、750℃的基板温度下,边使基板旋转边形成膜厚分散值为0.72%的非常均匀的氧化膜。
图45A~45C示出对图44的氧化膜在图43的步骤62的远距离等离子体氮化处理工序的处理条件和所得的氧氮化膜膜厚分布。
参照图45A,等离子体氮化处理在26.6kPa(200mTorr)的压力、750℃的基板温度下,通过在图示的范围改变氮气流量和Ar气流量而实行。图45A中,线A示出等离子体着火的氮气流量上限,线B及C示出图3的基板处理装置20的压力可控制的范围。
正如图45B中央所示,选择Ar气流量和氮气流量,以便处于线D上时,膜中氮浓度分布、即氧氮化膜膜厚分布是均匀的,作为膜厚分散值σ非常小,达到0.7%左右的值。
与此相反,在设定Ar气流量和氮气流量位于前述线D外的值,则产生如图45B左侧所示,在基板的周边部氮浓度增大的分布,或者如图45右侧所示,在基板中央部分氮浓度增大的分布,而膜厚分布的分散值σ增大。
即,相对于在图45A的线D右侧和左侧的氧氮化膜中氮浓度分布及其膜厚分布各自成为凸和凹,在选择氮气流量和Ar气流量在前述线D上时,得到平坦的氧氮化膜的膜厚分布。
图73示出基板温度750℃的前述RF-N2处理的均匀性和处理压力之间关系。其中横轴示出RF-N2处理期间的处理压力,纵轴示出在氮化处理后的氧氮化膜在基板中心部上的膜厚被基板周边部分的膜厚除后之值。因此,图73中的纵轴在比1大的情况得到的氧氮化膜具有凸的膜厚分布,在比1小的情况具有凹的膜厚分布。
图73中▲示出设定Ar气流量在800SCCM、氮气流量在1150SCCM的情况,■示出设定Ar气流量在1150SCCM、氮气流量在1150SCCM的情况,◆示出设定Ar气流量在1600SCCM、氮气流量在1400SCCM的情况。因此合计Ar气流量和氮气流量后的处理气体总流量按照▲、■、◆的顺序增大。
参照图73,如果在固定总流量的条件下改变压力,则在低压侧,膜厚分布从凹变凸,接着返回凹状。即使在任一总流量得到均匀膜厚的氧氮化膜的处理压力也存在2处。随着总流量增加,可以看到图73的曲线向高压力侧移动。这样,为了在本发明的RF-N2处理实现处理的均匀性,除了调整Ar气和氮气总流量方法之外,也可调整处理压力。
在先前的图45A的线D与图73的▲点对应,然而从图73的关系推测存在的又一个最佳点由于压力过低,实际上不存在。在使用该最佳点时,有必要使用与大排气负荷对应的能力大的泵。
图45C示出控制Ar气流量及氮气流量在图45A的前述线D上时各种Ar气流量和得到的氧氮化膜膜厚的关系。即使在图45C也示出了通过分光偏振光分析测定法测量的膜厚。
参照图45C,随着Ar气流量,因而氮气流量增大,氧氮化膜膜厚增大,这表明图45A或图45B示出的氧氮化膜膜厚是反映膜中氮浓度的。
图46A~46D示出在温度750℃压力200mTorr条件下进行的、在图44的氧化膜经RF-N2处理的氮化处理动力学。针对将利用单色偏振光椭圆计测定的初始氧化膜膜厚的值设定在1.0nm的情况、设定在1.2nm的情况以及1.3nm的情况,图46A示出用XPS法求出的氧氮化膜膜厚和氮化处理时间的关系,图46B示出输入氧氮化膜中的氮原子浓度和氮化处理时间的关系,图46C示出用XPS法求出的氧氮化膜中O1S信号峰面积和氮化处理时间的关系,图46D示出用XPS法求出的氧氮化膜中的Si2P信号峰面积和氮化处理时间的关系。其中,利用XPS测定的值分别是0.8nm、1.0nm、1.3nm左右的值
参照图46A~46D,即使初始膜厚处于上述任一种情况下,氧氮化膜膜厚或氮浓度也示出随氮化时间而增大的倾向,然而O1S信号及Si2P信号对氮化处理时间的变化依氧化膜的初始膜厚而异。
更具体讲,初始膜厚1.3nm的氧化膜经RF-N2处理,O1S信号随氮化处理时间而减少,示出在氮化过程脱氧。另一方面,初始膜厚1.0nm的氧化膜经RF-N2处理,观测的O1S信号强度没有太多变化,这暗示,因氮原子的导入而脱离的氧对于膜厚1.0nm左右的薄氧化膜而在膜内扩散,在与硅基板之间的界面析出,在这样的界面产生氧化膜的再成长。
初期膜厚1.3nm的氧化膜经RF-N2处理,由于氧化膜的初始膜厚大,所以脱离的氧原子不能到达硅基板和氧化膜之间的界面,逃逸到氧氮化膜之外。
如果就图46D的Si2p信号看,在初始膜厚为1.3nm的氧化膜,Si2p信号直到氮化处理开始后30秒也没有太大变化。认为这表示导入氧化膜内的氮原子在膜内与氧原子置换,不能到达氧化膜和硅基板之间的界面。另一方面,在初始膜厚1.0nm的氧化膜,Si2p信号随着氮化处理开始而增大,在先前所述的硅基板和氧化膜的界面中产生氧的析出以及与此相伴的氧化膜的再生长。
图47A~47D示出在图43的处理中、在通过牺牲均匀性促进氮化反应的条件下进行氧化膜的RF-N2处理时的结果,更具体讲,在图47A~47D的实验,处理压力设定在400mTorr。为了兼顾促进氮化反应和均匀性,有必要取用更大排气负荷的大泵作为泵24使用,以更大输出的游离基源作为远距离游离基源26的使用。在本质上与先前说明的控制处理压力的情况是相同的。
参照图47A~47D,在按照增大处理压力促进氮化处理而设定的条件下,即使是初始膜厚1.0nm的薄氧化膜,也可以如图47C看到的那样,随着RF-N2处理的开始,O1S信号的强度减少,此外,如由图47D看到的那样,即使在初始膜厚为1.3nm的氧化膜,Si2p信号的强度也从氮化处理最开始起单调增加。这表示由于在图47A~47D的实验促进氮化处理,所以在短时间导入与图44A~44D情况的氮化浓度相当的氮,氮原子到达氧化膜和硅基板之间的界面。如果这样在促进氮化处理的条件下进行氮化处理,可以缩短氮化时间的反面是,如果不能最佳地控制氮化时间,则有可能使导入的氮原子到达界面。
图48A是概略地示出由图46A~46D以及图47A~47D的结果推断的、通过氧化膜的RF-N2的处理产生的氮化工序的机理的图。其中图48中,与图42对应的部分上附加相同的参照符号,省略说明。
参照图48A,如果RF-N2处理条件是恰当的,则导入的氮原子在氧化膜表面近旁富集,可以抑制氮原子侵入到硅基板1和氧氮化膜3A的界面近旁而形成界面能级问题。参照Watanabe,K.,etal,J.Appl.Phys.90pp.4701(2001)。
另一方面,如果这样的RF-N2处理条件是不恰当的,氮化反应过度进行,则如图48B所示,氮原子一直到达基板1和氧氮化膜3A的界面,担心产生界面能级。因此,希望图43的步骤62的工序在30秒以内终止。
另外,在本实施例,在图3的基板处理装置20,对被处理基板W每分转数设定在20次,基板W在30秒的RF-N2处理期间转10转。
图49A、49B示出这样边使初始膜厚为1.0nm的UV-O2氧化膜旋转边经30秒氮化处理的情况下通过SIMS(二次离子质谱仪)分析求出在基板中心部(C)、中间部(M)以及周边部(E)的Si、N及O原子在深度方向的浓度分布结果。其中图49B是对图49A的氧氮化膜表面近旁放大而示出的图。
参考图49A、49B可以看到,氮浓度峰位于从氧氮化膜13A表面0.5nm左右的深度,达到优良的面内均匀性。未确认在硅基板1和氧氮化膜3A的界面近旁的氮原子富集。
图50A、50B示出同样地制作初始膜厚1.3nm的UV-O2氧化膜,通过RF-N2处理氮化时,在膜中Si、N及O原子在深度方向的浓度分布。
可以看到,即使在这种情况下也能得到与图49A、49B同样的结果。
实施例7
图51示出本发明实施例7的基板处理装置320的构成。
参照图51,基板处理装置320包含具有保持被处理基板322保持台321A的处理容器321,在前述处理容器321中与前述保持台321A上的被处理基板322对置而设置由石英等透过紫外光的材料构成的浇淋头321B。前述处理容器321经排气口321C排气,另一方面,向前述浇淋头321B供给从外部气源来的氧等的氧化性气体。
在前述处理容器321上还在前述浇淋头321B的上方形成由石英等通过紫外线的材料构成的光学窗321D,以便使前述浇淋头321B及其下的被处理基板322露出。在前述保持台321A中设置有对前述被处理基板322加热的加热器321a。
此外,在前述处理容器321上经与前述光学窗321D对应设置的连接部323,设置紫外光曝光装置324。
前述紫外光曝光装置324包含与前述光学窗321D对应的石英光学窗324A和经前述石英光学窗324A及光学窗321D向前述被处理基板322上照射紫外光的紫外光源324B,前述紫外光源324B通过自动装置324C如图51中箭矢所示,在与前述光学窗324A平行方向可移动地保持。在图示的例中,前述紫外光源324B由设置的直线状光源构成,以便对前述移动方向大体呈直角延伸。作为这样的线状光源可以使用例如波长172nm的受激准分子灯。
在图51的构成,通过前述紫外光源324B形成的紫外线经前述光学窗321D在导入前述处理容器321中之前,由于避免被空气中的氧吸收,所以通过外部气源(未图示)经管线323A提供N2等非活性气体到前述连接部323,前述非活性气体通过在前述紫外线曝光装置324的光学窗324A的安装部上形成的间隙,流入到前述紫外光曝光装置324中的空间324D内。
为了伴随前述紫外光源的驱动,抑制大气中的氧席卷流入前述紫外光源324B下方,在紫外光源324B两侧面上设置遮蔽板324F,在前述遮蔽板324F下,向在与前述紫外光源324B对置的光学窗324A和遮蔽板324F之间形成的、高度充其量为1mm左右的狭区域内经管路324b供给N2等非活性气体。在该区域,也供给从前述管路323A来的非活性气体,其结果,在该区域有效地排除吸收紫外光的氧。
通过前述遮蔽板324F下区域的非活性气体向前述空间324D流出,接着通过在前述紫外光曝光装置324中形成的排气口324E排出到外部。
在图51的基板处理装置,在前述紫外光曝光装置324通过前述自动机324C可以控制前述紫外光源324B的移动、扫描,其结果在前述被处理基板322表面通过UV-O2处理形成氧化膜期间,可通过控制紫外光曝光照射量控制膜厚分布。前述自动机324C通过计算机等的控制装置325加以控制。前述控制装置325也控制前述紫外光源324B的驱动。
图52A~52C以单位示出在使用图51的基板处理装置320,在各种条件下在硅基板上形成氧化膜的情况下得到的氧化膜通过偏振光分析测量法求出的膜厚分布。其中,在图52A~52C,作为被处理基板322使用以后说明的表面通过前处理工序除去表面自热氧化膜的状态下的8英寸硅基板。在图52A~52C的各图中,前述处理容器331中的内压力设定在约0.7kPa(5Torr),基板温度设定在300℃。
图示的结果是以1SLM的流量向前述处理容器321供给5分钟氧气的情况,图52A示出不进行紫外光照射的情况,图52B、52C示出通过前述紫外光源324B在紧临光源下照射30mW/cm2照度的紫外光的情况。图52B示出均匀扫描的情况,以便使前述紫外光源324B在410mm的范围内扫描,即前述被处理基板322的整个面均匀曝光。
参照图52A,不进行紫外光照射的情形是,在硅基板表面形成的氧化膜厚度为0.2~0.3nm左右,不产生实质性膜的形成,与此相反,图52B的情形,在前述硅基板表面上形成0.8nm的氧化膜。在图52B的情形,虽然使前述紫外光源24B在400mm范围均匀扫描,但在前述8英寸基板322中央部形成的氧化膜膜厚减少。其结果,在前述硅基板上形成的氧化膜膜厚变化是分散值2.72%较大的值,认为这反映了使用的基板处理装置320的固有特性。
与此相反,图52C示出在前述硅基板322的中央部附近,限于100mm的范围内扫描前述紫外光源324B情况下的氧化膜膜厚分布。
参考图52C,可以看到,这样形成的氧化膜膜厚集中于0.92~0.93nm的范围,膜厚变化是分散值减少到1.35%。
图53示出在图52A~52C的实验,对导入前述处理容器321中的氧气流量作种种改变时,紫外线曝露时间和形成的氧化膜厚度的关系。
正如由图53看到的,形成的氧化膜膜厚与氧气流量几乎不存在关系,经过1分钟,则在约1nm值饱和。另一方面,在曝光时间比1分钟短时,膜厚随曝光时间增大。图53示出对使用图51的基板处理装置320的硅基板表面形成基极氧化膜的薄氧化膜的工序在极短时间内足够。
图54A~54E以单位示出在图5 1的基板处理装置320中,在前述处理容器内压力设定在约0.7kPa(5Torr)、基板温度450℃,边以1SLM的流量供给氧气,边在100mm范围扫描前述紫外光源24B时得到的氧化膜膜厚分布。为了简化,硅基板用矩形形状示出。
其中,图54A示出以基板中心为基点在±50mm范围进行前述扫描的情形,在图54A的例,从基板中心向y轴方向上方,此外向x轴方向上右方,可以看到存在前述氧化膜膜厚增大的倾向。这时氧化膜膜厚变化是分散值为3.73%。
与此相反,图54B同样以单位示出对前述扫描基点从基板中心向轴方向上下方,错开12.5mm时的氧化膜的膜厚分布。正如图54B所看到的,氧化膜膜厚变化是分散值减少到3.07%。
图54C以单位示出对前述扫描基点从基板中心向y轴方向下方错开25.0mm时的氧化膜膜厚分布。正如图54C所看到的,氧化膜膜厚变化与图54B情况相同,为3.07%。
与此相反,图54D以单位示出对前述扫描基点从基板中心向y轴方向下方错开37.5mm的氧化膜膜厚分布。正如图54D所看到的,该氧化膜膜厚变化减少到2.70%。
另一方面,如图54E所示,对前述扫描基点从基板中心向y轴方向下方错开50.0mm时,前述氧化膜膜厚变化增加到5.08%。
从这事实出发,得到结论,在图51的基板处理装置320,即使前述紫外线源324B的扫描基点对基板最佳化,可以使被处理基板322上形成的氧化膜膜厚变化最小化。
其次,图55A~55E以单位示出在图51的基板处理装置320,取前述紫外线源324B的扫描距离为100mm,对扫描基点设置在从被处理基板322的中心向y轴方向下方错开37.5mm的位置,设定照度分别为3mW/cm2、6mW/cm2、12mW/cm2、18mW/cm2及24mW/cm2而形成氧化膜时的膜厚分布。
参照图55A~55E,在设定图55A照射量为3mW/cm2时膜厚的起伏最小,随着照射量增加,膜厚起伏也增大。
图55A~55E的结果示出,在图51的基板处理装置320,通过使紫外线源324B的照度为最佳化,可以使得到的氧化膜膜厚起伏最小化。
图56A、56B示出比较对照例,图56A示出在与图55A~55E相同条件下,不进行紫外光照射形成氧化膜的情形,图56B示出通过现有的急速热氧化(RTO)处理形成氧化膜的情形,在该任一情形都观测到超过4%的膜厚变化。
图57、58是依据上述结果的、探索在图51的基板处理装置320的基板处理方法最佳条件的流程图。其中图57是进行最佳扫描区域探索的流程图,图58是进行最佳照度探索的流程图。
参照图57,最初在步骤71指定被处理基板上的任意区域,其次,在步骤72将被处理基板322导入到前述基板处理装置320中,使前述紫外光源324B在前述被处理基板322上指定的区域扫描,形成氧化膜。接着,通过重复前述步骤71及步骤72,每次重复,在新的被处理基板322上错开前述区域的状态下形成氧化膜。
接着在步骤73,评价通过各实验得到的氧化膜膜厚分布,在步骤74找到膜厚变化成为最小的最佳扫描区域。
在探索图57的最佳扫描条件后,进行图58所示最佳照射条件探索。
参照图58,最初在步骤81,指定通过图57的顺序探索的最佳扫描区域,其次在步骤82指定紫外光源224B的驱动能量。接着在步骤83将被处理基板导入到前述基板处理装置320中,使前述紫外光源324B在前述被处理基板322上指定的最佳区域以步骤312指定的驱动能量扫描,形成氧化膜。接着通过重复前述步骤312及步骤313,每次重复,在新的被处理基板322上在错开前述驱动能量的状态下形成氧化膜。
接着在步骤314,评价各实验得到的氧化膜膜厚分布,找到膜厚变化成为最小的紫外光源324B的最佳驱动能量。接着在步骤315,决定控制前述基板处理装置320的紫外光源324B的程序,以便在这样的最佳驱动能量进行膜形成。
根据这样决定的程序,前述控制装置325使前述自动机324C及紫外光源324B动作,其结果,前述紫外光源324B在最佳驱动能量扫描最佳的基板区域,其结果,在前述被处理基板324上与先前的实施例同样形成0.3~1.5nm、优选1nm以下、更优选0.8nm以下,例如0.4nm厚度的、非常薄的,但膜厚均匀的游离基氧化膜。
与先前说明的同样,即使在通过使用图51这样的基板处理装置320的UV-O2处理向硅基板表面上形成氧化膜期间,在形成的氧化膜膜厚在0.4nm或2~3个原子层范围产生膜成长的停留减少,因此,可以稳定地、再现性良好地形成该厚度的氧化硅膜。而且通过将这样形成的氧化膜与高介电体膜组合,可实现栅极绝缘膜有效的膜厚薄的、非常微细化的高速MOS晶体管。
在本实施例,氧化膜作成通过UV-O2处理形成的氧化膜,然而氧化膜并不限定这样的氧化膜,只要是在低游离基密度下通过精密地进行氧化的氧化方法形成的氧化膜,则都可以。
实施例8
图59示出本发明实施例8的MOS晶体管340的构成。
参照图59,在硅基板341上形成由2~3个原子层厚度的氧化硅构成的基极氧化膜342,在前述基极氧化膜342上形成ZrO2、HfO2、Ta2O5、Al2O3、ZrSiO4、HfSiO4等的所谓高介电体膜343。接着在前述高介电体膜343上形成由多晶硅或其它金属构成的栅极电极344。此外,省略图示,在前述硅基板341中在前述栅极电极344两侧形成扩散区域。
图60示出在制造图59的MOS晶体管中使用的集聚型基板处理系统350的构成。
参考图60,前述基板处理系统350是集聚型处理装置,具有通过真空搬运室356将基板搬入/搬出用的负载锁定室351,和除去基板表面自然氧化膜及碳污染预处理室352,和由图51的基板处理装置320形成的UV-O2处理室353,在基板上堆积Ta2O5、Al2O3、ZrO2、HfO2、ZrSiO4、HfSiO4等高介电体膜的CVD处理室354和冷却基板的冷却室355连接的构成,在前述真空搬运室356中设置搬运臂(未图示)。
工作时,被被导入前述负载锁定室351的被处理基板沿路径(1)导入前述处理室352、除去自然氧化膜和碳污染。在前述处理室352除去了自然氧化膜的被处理基板352沿路径(2)导入前述UV-O2处理室353,通过图5 1的基板处理装置320,以2~3个原子层的均匀膜厚形成图59所示的基极氧化膜342。
在前述UV-O2处理室353形成了基极氧化膜342的被处理基板沿路径(3)导入CVD处理室354,在前述基极氧化膜上形成图59所示的高介电体栅极绝缘膜344。
前述被处理基板从前述CVD处理室354沿路径(4)移到冷却室355,在前述冷却室355冷却后,沿路径(5)返回负载锁定室351,搬出到外部。
在图60的基板处理系统350,也可以另外设置预处理室用于在Ar气氛中、通过高温热处理进行硅基板的平坦化处理。
图61是说明在UV-O2处理室53进行的游离基氧化处理条件的图。
参照图61,横轴是用对数坐标表示在图51的处理容器321中通过紫外光源324B激励的氧游离基的以Torr为单位表示的分压力,纵轴用同样的对数坐标示出处理开始后,直到产生图8所示的停留现象为止的处理时间和到停留现象消减的处理时间。横轴的氧游离基分压与氧游离基密度对应,由前述紫外光源324B的驱动功率或者紫外光照射强度和紫外光波长决定。
以下,以使用172nm的紫外光波长的情况为例说明紫外光照射强度和游度基密度的关系。
在图51的基板处理装置320,即图60的基板处理系统350的处理室353,100%驱动状态下,以窗面正下方紫外光照度为50mW/cm2的紫外光源作为前述紫外光源324B使用,按照处理压力维持在0.02Torr(2.66Pa)那样,以150SCCM流量的氧气流入处理容器321中时,紫外光源324B形成4.34×1016/cm2·秒的光子通量。前述光源是宽2cm的管状灯,如果考虑通过该灯照射20cm直径的硅晶片,则在硅晶片表面的平均光子流量值成为前述光子流量值约1/10的4.34×1015cm-2
另一方面,因为知道氧分子对波长为172nm的紫外光的吸收截面积为6×10-19cm2,所以通过I/I0=exp(-σnx)给出的处理环境气氛中的紫外光透过率求出为0.9916。其中,在这里处理压力取作0.02Torr(2.66Pa),在处理气氛中的气体分子密度n为7.05×1014cm-3,紫外光进入处理容器23中20cm的距离。
而且,紫外光在处理容器321中进入20cm距离之间,与通过处理气体吸收的量对应的游离基量每单位面积、单位时间在前述光子流量值4.3×1015/cm2上乘以系数0.0084,成为3.65×1013/cm2·秒,以与此相同比率,在处理容器23中形成氧游离基。
另一方面,如果取浇淋头21B的面积为314cm2,通过标准状态体积换算,处理容器321中的氧气流量为7.98×10-3cc/cm2·秒。如果将它换算为分子数,则为2.138×1017/cm2·秒。而且因为流量比的值为3.65×1013/2.138×1017=1.71×10-4,所以在0.02Torr(2.66Pa)的处理压力下产生的氧游离基分压为3.42×10-6Torr(=1.71×10-4×0.02)。
这样可以看到,在光强度100%、氧气流量150SCCM、处理压力(=处理容器内压力)0.02Torr(2.66Pa)的情况下,在前述处理容器321中形成的氧游基浓度约为3.42×10-6Torr(4.54×10-4Pa)。通过同样的手续,可针对其它各种条件计算游离基密度。
图61示出游离基密度即游离基分压和基板处理开始后产生先前说明的图5的停留现象期间的关系。
参照图61,在处理容器321中的游离基密度高时,与图5的情况同样,停留现象在处理开始后立刻发生,与此相对,在游离基密度低时,处理开始后经长时间后产生。这与如下情况对应:游离基密度高时,氧化膜的成膜速度大,在短时间达到0.4nm的停留膜厚;游离基密度低时,氧化膜的成膜速度小,为达到0.4nm停留膜厚需要长的时间。
同样地,停留现象从发生直到消灭的停留时间也随游离基密度变化,在游离基密度高时,停留时间也减少,相反,游离基密度低时,停留时间增大。
如果考虑实际的半导体装置的制造工艺,假如直到发生停留时间的处理时间过长,则因为半导体装置制造的生产率低下,所以游离基密度自然存在下限。此外如果停留现象继续的时间过短,则由于不能稳定地形成2~3或2~4个原子层的优选膜厚的氧化膜,所以对游离基密度自然存在上限。
图61虽然针对使用172nm波长的紫外光、在450℃下进行基板氧化时的游离基氧化处理的例子加以表示,然而根据该关系,允许处理时间取5分钟(300秒)以下,游离基分压的下限为1×10-4mTorr(133×10-7Pa),对必要停留时间取约100秒以上,游离基分压的上限为1mTorr(133×10-3Pa)。与此对应的紫外光照射功率在光源23的窗正下方为5~50mW/cm2
在图61,看到表示停留时间发生和消灭的2条直线的间隔随着分压增加而增加,然而由于图61的纵轴及横轴是用对数表示的图,所以与前述间隔对应的停留时间值与游离基分压一起实际上减少。
上述UV-O2处理期间氧气分压优选设定在6.65×10-3Pa~133Pa(0.05~1000mTorr),更优选1.33~13.3Pa(10~100mTorr)的范围内。
使用其它波长的紫外光也可进行用图51的基板处理装置320的游离基氧化。这时如果考虑由于环境气氛产生的紫外光吸收,在基板处理装置320的处理容器321内如果想要实现前述1×10-4mTorr(1.33×10-2mPa)以上、1mTorr(133mPa)以下的游离基密度,则有必要改变紫外光源324B的驱动能量或环境气氛气体组成。
例如,作为前述紫外光源324B使用波长146nm的紫外光源时,考虑比波长172nm情况还大25倍的光吸收,设定环境气氛中氧分压在0.05~50mTorr(6.7mPa~6.7Pa)的范围。
通过氮游离基可使这样形成的2~3个原子层厚的氧化膜氮化,变换为氧氮化膜。由于这样形成的氧氮化膜的介电率比氧化硅膜还大,所以可使MOS晶体的栅极绝缘膜的热氧化膜换算膜厚进一步降低。
实施例9
以下就本发明的实施例9加以说明。
在本实施例,使用图51的基板处理装置320,在硅基板表面上通过与先前说明的同样的UV-NO游离基处理直接形成氧氮化膜。同样的结果在先前说明的图3的基板处理装置20也可以得到。
图62A示出在使用图51的基板处理装置320,对在硅基板上形成0.4nm厚度的氧化膜,接着在图51的基板处理装置320上通过将NO气体供给前述浇淋头321B,使其氧氮化的情况下,通过偏振光分析测定法求出的膜厚分布。以下的表5示出在先前说明的XPS法设定检测角为90°降低分辨率的测量中根据与SiO+和Si4+相当的峰比简便地求出基板中心部和周边部的实际膜厚结果。其中氧氮化处理以200SCCM的流量将NO气体提供给前述浇淋头321B,维持前述处理容器321内压力在3.99Pa(0.03Torr),同时通过在前述基准强度下,3分钟驱动紫外光源24进行氧氮化处理。基板温度设定在450℃。
表5
    90°     90°
    (中心)     (周边)
    SiO+     89.45     88.13
    Si4+     10.55     11.87
    Si4+/SiO+     0.117943     0.134687
    膜厚(nm)     0.43     0.49
参照图62A及表5,在氧氮化处理后的膜厚在基板中心部及周边部的任一方上为0.43~0.49nm,从作为当初膜厚的0.4nm来看几乎没有改变。对这样处理的氧化膜试图通过XPS分析检测氮,但是没有检出从氮原子来的信号。这意味在上述氧氮化处理中,完全没有进行前述氧化膜的氮化。
图62B示出在同样条件下在硅基板表面形成0.7nm厚度的氧化膜的情况下通过偏振光分析测量法求出的氧氮化处理后的膜厚分布,在以下的表6通过XPS法,设定检测角在90°针对对基板中心部及周边部求出的实际膜厚。
表6
    90°     90°
    (中心)     (周边)
    SiO+     83.49     83.88
    Si4+     16.51     16.12
    Si4+/SiO+     0.197748     0.192179
    膜厚(nm)     0.69     0.68
参考图62B及表6,这时氮氧化处理后的膜厚,即使在基板中心部及周边部的任一方,也都是0.69~0.68nm,作为当初的膜厚约0.7nm看,几乎没有变化。对这样处理的氧化膜试图通过XPS分析检测氮,然而也没有检出从氮原子来的信号。
从表6结果及先前表5的结果可以看出,在硅基板表面已经形成的氧化膜经UV游离基NO处理的氧氮化处理,不管氧化膜膜厚怎样薄,也不能将氮导入膜中。
与此相反,图63A示出在图51的基板处理装置320,在除去自然氧化膜后的硅基板直接经UV游离基NO处理时,对硅基板表面上形成的膜通过偏振光分析测量法求出的膜厚分布,此外,表3示出对这样得到的膜通过XPS法检测角设定在90°求出基板中心部及周边部的膜厚的结果。其中,在图63A的实验,在图51的基板处理装置320,以200SCCM的流量将NO气体供给浇淋头321B,与先前的情况同样地维持处理容器321的内压在3.99Pa(0.03Torr),通过以前述基准强度3分钟驱动紫外光源324B而进行。基板温度设定在450℃。
参照图63A,在硅基板表面形成大体均匀的膜厚的膜,由表7可以了解,其膜厚在基板中心也好,在周边部也好约为0.5nm左右。
         表7
    90°     90°
    (中心)     (周边)
    SiO+     86.81     86.92
    Si4+     13.2     13.07
    Si4+/SiO+     0.152056     0.150368
    膜厚(nm)     0.55     0.54
图63B示出设定NO气体流量在1SLM,在665Pa(5Torr)压力下,以前述基准强度1分钟驱动紫外光源24B进行前述氧氮化处理时通过偏振光分析测定法得到的膜厚分布。接着在以下的表8针对这样得到的膜,示出在基板中心部及周边部的、设定检测角在90°而进行的利用XPS法得到的膜厚测量结果。
               表8
    90°     90°
    (中心)     (周边)
    SiO+     87.78     87.57
    Si4+     12.22     12.42
    Si4+/SiO+     0.1339212     0.141829
    膜厚(nm)     0.50     0.51
参照图63B,可以看到,在这种情况下在基板表面形成的膜的膜厚分布大体是均匀的,由表8可以看到,其膜厚,在基板中心部或在周边部也都为0.5nm左右。
以下表9示出对由图63A实验得到的膜通过XPS法进行元素分析的结果。
                       表9
                     原子%
        中  心          周  边
  90°     30°     90°   30°
    O1S   67.23     63     66.88   66.13
    N1S   11.18     10.19     9.13   9.63
    Si2p   21.59     26.81     23.99   24.23
参照图9,在这样形成的膜观测与O1S轨道对应的信号,与N1S轨道对应的信号以及与Si2p轨道对应的信号,在设定检测角在90°时的测量,确定在基板中心部氧原子浓度为67.23%,氮原子浓度为11.18%,硅原子浓度为21.59%,即使在基板周边部也确认氧原子浓度为66.88%,氮原子浓度为9.13%,硅原子浓度为24.23%。即确认这样形成的膜是包含氮的氧氮化膜。
同样地,以下的表10示出对由图63B的实验得到的膜通过XPS法进行元素分析的结果。
                             表10
    原子  %
           中  心            周  边
    90°     30°     90°     30°
    O1S     67.3     63.84     67.2     64.2
    N1S     11.66     10.36     11.44     10.43
    Si2p     21.04     25.8     21.37     25.36
参照表10,即使在这样形成的膜也观测与O1S轨道对应的信号、与N1S轨道对应的信号、以及与Si2p轨道对应的信号,在设定检测角在90°,确认在基板中心部氧原子浓度为67.3%,氮原子浓度为11.66%,硅原子浓度为21.24%。此外,即使在基板周边部也确认氧原子浓度为67.2%,氮原子浓度为11.44%,硅原子浓度为21.37%,膜中的组成比先前的表5还均匀。即,即使在这种情况在硅基板表面也形成组成均匀的氧氮化膜。
可是在前述表10是在XPS频谱的检测角设定在30°进行的测量,发现在基板中心部及周边部氮浓度比在90°检测角下测量的情况还稍微减少。对于在更浅的设定检测角的测量,因为在氧氮化膜下部放出的光电子产生的信号在斜方向通过膜中期间受到衰减,所以认为主要检测膜上部的组成。因此,该表10的结果示出在这样形成的氧氮化膜中,氮原子在与硅基板的界面近旁的比较富集。同样的倾向在表9的基板中心部的分析结果也被看到。
其次,对这样的硅基板表面通过UV-NO处理产生的氧氮化膜形成的动力学加以说明。
图64A、64B分别示出:在图3的基板处理装置320,以200SCCM的流量将NO气体供给前述浇淋头321B,维持处理压力在3.99Pa(20mTorr),在450℃,以前述基准功率驱动前述紫外光源324B,在驱动时间作种种变化时的氧氮化膜的膜厚及膜中的氮浓度。
参照图64A看到,前述氧氮化膜膜厚随时间增长,然而在到达约0.5nm膜厚的时刻,产生与先前图5所说明的同样的膜成长停留现象。在图64A中也用记号O示出在这样的氮化处理期间没有驱动前述紫外光源324B的情形。在这时,如图64A所看到的,完全不产生氧氮化膜的成长。
另一方面,从图64B看到,在开始氧氮化处理后,发现设定XPS分析的检测角在30°时的氮浓度比设定检测角在90°时还小,氮原子在氧氮化膜和硅基板的界面近旁富集。此外,从图64B看到通过继续氧氮化处理,逐渐消除在该膜厚方向的氮分布不均匀。
图64B的结果在处理开始后形成氮浓度高的氧氮化膜,而随着时间膜中的氮浓度减少,膜成长机理随时间逐渐移向氧化反应主体。处理开始约200秒后,消除了氮浓度在膜厚方向的不均匀。
图65A、65B是分别与图64A、64B对应的图,示出在设定前述紫外光源324B的驱动功率在前述基准功率的20%,进行前述氧氮化处理的情形,得到与先前图64A、64B同样结果。即,膜成长的停留现象在氧氮化膜膜厚达到约0.5nm的时刻点产生,此外,膜成长初期,形成高氮浓度的氧氮化膜,氮原子在氧氮化膜和硅基板的界面近旁富集。
与此相反,图66A、66B分别示出在设定基板温度在550℃进行硅基板表面同样的氧氮化处理时的膜厚和处理时间关系,以及膜中氮浓度分布和处理时间关系。
首先参照图66B,无论在XPS分析期间的检测角设定在90°时或30°时,进入膜中的氮原子浓度也比图64B或图65B时实质上少,因此形成的氧氮化膜具有更加接近氧化膜的组成。认为这或许由于设定氧氮化处理期间的基板温度在550℃,促进了处理容器321中残存的氧产生的氧化作用。
由于在图66A,形成的氧氮化膜具有更接近氧化膜的组成,所以膜成长的停留比在图5及图8说明的氧化膜膜成长停留现象产生的更接近0.4nm的0.46nm左右的膜厚上产生。
在本实施例使用先前说明的式(1)及其附随的参量求出氧氮化膜的膜厚,这是针对氧化膜的导出式,在氧氮化膜的情况,通过光电子逸出深度效果,有可能算出膜厚值多多少少大一些。无论如何,认为通过本发明形成的氧氮化膜具有控制在2个原子层左右的膜厚。
在将图51的基板处理装置320用于硅基板的氧氮化处理时,从与先前图34进行的同样的考察出发,作为紫外光源324B,优选使用可以形成192~145nm波长范围的紫外光。
如果考虑将图51的基板处理装置320用于单张式半导体制造工艺,这样的光源324B优选可能随时点亮及熄灭。现在这样的随时点亮及熄灭是可能的,可是作为具有尖锐频谱的紫外光源,波长308nm、222nm、172nm、146nm及126nm的受激准分子灯商业上是可以购到的。其中,满足上述条件的灯限于波长172nm的和146nm的。其中,波长146nm的受激准分子灯具有13nm左右的半高宽值,因此频谱的一部分为145nm以下,依灯的形态或个体差如何,如果不产生氧游离基激励就无限制。从该事实出发,在图51的基板处理装置320作为紫外光源324B使用市售的受激准分子灯时优选172nm的波长。
图67示出发生这样的172nm紫外光的受激准分子灯(介电体阻挡层放电管)341的概略构成(参照特开平7-196303号公报或特开平8-85861号公报)。
参照图67,受激准分子灯341具有包含内侧石英管342和外侧石英管343的双重圆筒形容器,在前述内侧石英管342和外侧石英管343之间的空间347内以33.25kPa(250Torr)的压力封入Xe气。此外,在前述内侧石英管342的内侧面上形成铝薄膜电极345,在前述外侧石英管的外侧上形成网状电极344。在前述空间347的轴向端部上形成吸气剂室348,在前述吸气剂室348内设置吸气剂346。前述受激准分子灯341通过在前述电极344和电极345之间通过由电源355加交流电压,可以自如地控制点亮、熄灭。
作为这样的受激准分子灯可以使用例如ゥシォ(USHIO)电机公司市售的形式UER20-172的灯或ホャ·ショット(HOYA-SCHOTT)公司市售的形式HES1703S的灯。
当然,前述紫外光源不限定于上述的受激准分子灯,其它低压水银灯或依不同场合使用受激准分子激光也是可能的。
实施例10
其次,对于通过本发明实施例10的UV-NO处理的氧氮化膜的晶片面内均匀成膜处理加以说明。
再参照先前的表7,在处理压3.99Pa(0.03torr)、UV光功率-100%条件下成膜的氧氮化膜,膜厚在晶片中心和周边大体示出相同值,而在表9所示的氮浓度存在2%左右的差异,表示氮浓度分布是不均匀的。表9的结果示出在通过UV-NO处理的氧氮化膜形成中,兼顾膜厚和氮浓度均匀性一事是重要的课题。
图68A对与上述条件相同的条件下,其中针对将氮化时间设定在1分30秒而形成的氧氮化膜,示出通过偏振光分析测量法测量得到的膜厚分布。另一方面,图68B示出完全相同条件下,但是使紫外线灯往复运动而形成氧氮化膜时的膜厚分布。在图68A、68B,晶片面内的测量点数增加到17点。图68B实验的紫外线灯的往复运动是使紫外线灯从一方的晶片端到离开200mm的另一方的晶片端为止,以60mm/秒的速度移动,在折回点停止0.1秒,接着在晶片中央也停止1秒。
比较图68A和图68B,确认在图68B的实验,通过采用前述的紫外线灯的往复运动的顺序,比图68A的实验的膜厚分布更加均匀。
由于期望正确,所以分别在表11及表2示出对图68A及68B的试料通过XPS法测定膜厚的结果。但是在表11及表12,周边部的测点在2点进行。表13示出对于氮浓度也与图68A试料对应的测量结果,表14示出与图68B的试料对应的测量结果。
                    表11
    90°     90°     90°
    (中心)     (周边1)     (周边2)
    SiO+     87.76     87.2     86.11
    Si4+     12.23     12.81     13.89
Si4+/SiO+     0.139357   0.146904   0.161305
膜厚(nm)     0.50   0.53   0.58
                       表12
    90°     90°     90°
    (中心)     (周边1)     (周边2)
 SiO+     87.26     87.13     87.21
 Si4+     12.74     12.88     12.69
 Si4+/SiO+     0.146     0.147825     0.145344
 膜厚(nm)     0.53     0.53     0.52
                           表13
    原子%
       中心        周边1        周边2
 90°  30°  90°   30°  90°  30°
  O1S  69.98  66.76  70.98   67.52  69.98  66.42
  N1S  9.82  9.38  8.88   8.75  9.95  9.36
  Si2p  20.29  23.86  20.14   23.73  20.06  24.23
                           表14
                        原子  %
       中心        周边1        周边2
 90°  30°   90°   30°  90°  30°
  O1S  69.61  66.97   68.19   67.12  69.1  66.99
  N1S  9.86  9.21   9.43   8.73  9.79  8.93
  Si2p  20.53  23.83   22.38   24.14  21.11  24.08
如果比较这些结果,可以看到通过使紫外线灯往复运动,可使晶片中心部和周边部以均匀膜厚成膜,对氮浓度也可以实现均匀分布。以上的结果示出通过使紫外线灯往复运动,使晶片面内的紫外线照射量均匀化。
实施例11
图69A将本发明实施例9~10使用的NO气的导入顺序与温度分布T一起示出。
参照图69A,将被处理基板322搬入处理容器321的同时,开始基板322的升温,在该顺序,在升温的初始阶段,将氮气导入处理容器321中,在达到预定保持温度阶段,转换为NO气体。接着在前述容器321中,在前述NO气体浓度达到预定值的状态,以预定时间驱动紫外光源324B,进行先前说明的UV-NO处理。
其后,切断前述紫外光源324B,接着遮断NO气体供给,在基板温度T下降到室温阶段,基板322从前述处理容器321搬出。
与此相反,图69B取代图69A的顺序而示出在图51的基板处理装置320中使用的、本发明实施例11的NO气体的导入的顺序。
参照图69B,在本实施例也是在被处理基板322搬入处理容器321的同时,基板322的升温开始,在本实施例,与前述基板322搬入同时,NO气体导入开始,在基板温度T达到预定值的时刻,以预定时间驱动前述紫外光源324B,进行所希望的UV-NO处理。
其后,切断前述紫外光源324B,接着遮断NO气体供给,在基板温度下降到室温的阶段,基板322从前述处理容器321搬出。
图70A及70B分别示出使用图69A及69B的NO气体导入顺序时处理温度和氧氮化膜膜厚及处理温度和氧氮化膜中氮浓度关系。但是图70A、70B中●表示使用图69A的NO导入顺序的情况。○示出使用图69B的NO导入顺序的情况。
参照图70A可以看到,在基板处理温度小于500℃时,无论是使用图69B的NO导入顺序,还是使用图69B的NO导入顺序,形成的氧氮化膜膜厚没有实质上的差异,然而如果基板温度超过500℃,在使用图69B顺序时得到的氧氮化膜膜厚增大。
如果将该结果与图70B的膜中氮浓度值加以比较,则在基板处理温度超过500℃时,通过使用图69B的NO导入顺序,大幅增加膜中的氮原子浓度,在图70A看到的氧氮化膜膜厚增加主要是由氧氮化膜中导入的氮原子产生的。
这样,通过在处理前向处理容器内导入NO气体,可通过UV-NO处理将多量的氮原子导入氧氮化膜内。
在图3的基板处理装置20内也可以使用图69A、69B的NO气体导入顺序,得到同样的效果。
实施例12
图71A~71E示出本发明的实施例12的半导体装置制造工序。
参照图71A,具有以离子注入杂质元素而形成的扩散区域331a及331b的硅基板331的表面331c在绝缘膜335及336上形成的开口部337露出。
前述露出表面331c除去自然氧化膜,接着在图51的基板处理装置320中,在先前说明的条件下,通过波长172nm的紫外光实施UV-NO处理。其结果,如图71B所示,在前述硅基板331的表面上通过先前说明的成膜停留现象均匀地形成膜厚约0.5nm的SiON膜332。
其次,在图71C的工序,在前述SiON膜332上通过CVD法堆积ZrSiOx或HfSiOx、或ZrO2或HfO2、Ta2O5、Al2O3等高介电体膜333。
接着在图71D工序,在这样形成的高介电体膜333上堆积金属电极层334,在图71E的工序对其蚀刻,据此形成金属栅极电极334G。
在本实施例,图71A的UV-NO氧氮化工序优选不超过550℃的温度下进行,其际的处理压力优选设定在1.33~1.33×103Pa的范围。
实施例13
可是,在通过偏振光分析测量法测量晶片表面形成的非常薄的膜的膜厚时,在测量中由于被晶片表面吸着的有机分子等,往往得到表观大的膜厚值。尤其是在多点测量,在测量终止前,因这样的事情而容易引起测量值变化,产生正确的均匀性是困难的。
鉴于这样的事情,本发明的发明者为了针对膜厚均匀性而获得正确的结果,进行了膜厚测量方法的改善。
如果更具体讲,则在本发明者的改善中,将从成膜装置的基板搬入口直到偏振光分析测量法的晶片载置部为止的路径全体配置在除去有机分子的化学过滤器下游。如果使用这样的构成,则即使在测量中将晶片放置在使用的环境气氛中3小时,膜厚的表观增加可抑制在0.02nm(0.2)左右。
在使用这样的偏振光分析测量法时,为了在晶片面上49点测量膜厚需要的测量时间约为10分钟,其际表观膜厚增加估计为0.001nm(0.01)左右。如果从定点重复测量时的再现性看,测量装置的能力作为分散值σ确认为0.006nm(0.06)。
图75示出在这样的测量环境下,在上述的成膜条件下直径为200mm的晶片上通过先前说明的UV-NO处理成膜,对49点测定膜厚0.5nm的氧氮化膜膜厚的结果。
参照图75可以看到,面内膜厚的分散值σ为0.65%,即如果换算为膜厚为0.0065nm(0.065)左右,膜厚起伏减少到可与测量极限匹敌的左右。这示出在直径200mm晶片面内得到实质上完全均匀的氧氮化膜。
如果在先前的UV-O2处理产生的氧化膜上进行同样的测量,则在膜厚0.4nm的氧化膜的情况下确认得到膜厚分散值σ为0.7%左右的非常优良的均匀性。
以上本发明就优选的实施例加以说明,然而本发明并不限于上述特定的实施例,可在权利要求的范围所述的要旨内进行各种变形、变更。
工业上利用的可能性
根据本发明,使用高频等离子体激励的氮游离基,对非常薄的氧化膜表面进行稳定地、再现性好的氮化,可形成氮氧化膜。根据本发明,使用高频等离子体激励的氮游离基,对非常薄的氧氮化膜进行稳定地、再现性好的氮化,可以期望的膜厚形成氮浓度高的氮氧化膜。尤其是由于通过使用高频等离子体氮化处理在较高压力下进行,开始对处理容器内充分减压,处理容器内残存氧或其它杂质气体成分在氮化处理期间被等离子体气体稀释,可以有效地抑制由于残存氧产生的多余氧化或与此相伴的氧氮化膜的增膜问题。尤其是根据本发明,可使高介电率栅极绝缘膜下形成的非常薄的基极氧化膜氮化,其结果在这样的基极氧化膜上形成高介电率栅极绝缘膜时,可以抑制在硅基板和高介电率栅极绝缘膜之间的金属元素及硅的相互扩散以及与此相伴的迁移层的形成。
在要求这样膜厚非常薄的氧化膜或氧氮化膜等的绝缘膜的氮化处理,不能避免伴随导入氮原子的绝缘膜的增膜,在本发明通过设定氮化处理前的绝缘膜膜厚为2~4个原子层或比其更薄,可使氮化处理后的绝缘膜,即氧氮化膜膜厚作得非常薄。
根据本发明,在同一基板处理装置可连续进行硅基板的紫外光激励游离基氧化处理和对由这样的紫外光激励游离基氧化处理形成的氧化膜而使用的高频远距离等离子体的游离基氮化处理。紫外光激励游离基氧化处理和高频远距离等离子体游离基氮化处理的处理压力差异大,通过在本发明的基板处理装置,在处理容器内设置2条排气路径,可在同一处理容器内进行这些处理。尤其是根据本发明,可使高介电率栅极绝缘膜下形成的非常薄的基极氧化膜氮化,其结果,在这样的基极氧化膜上形成高介电体栅极绝缘膜时,可以抑制硅基板和高介电体栅极绝缘膜之间的金属元素及硅的相互扩散及与此相伴的迁移层的形成。根据本发明,通过按照用于在处理容器内实现高真空必要的涡轮分泵位于处理容器的外端部的方式而设定处理容器中的第一及第二排气路径的位置,在其内端部使前述基板处理装置与基板搬运路径连接,可容易构筑集聚型的基板处理装置。
根据本发明,在硅基板表面的游离基氧化,利用在氧化膜膜厚达到2~4个原子层厚度期间发现的膜成长停留效果,可厚度均匀且再现性好地形成有效的非常薄的氧化膜作为设置在硅基板和高介电体栅极绝缘膜之间的基极氧化膜。
根据权利要求66~76所述的本发明,通过紫外光激励NO环境气氛气体,可在硅基板表面直接形成氧氮化膜。其际,尤其在通过将紫外光波长设定在比145nm还长,但192nm以下,例如172nm,可以抑制氧化作用,可形成高氮浓度的氧氮化膜。这样的氧氮化膜在成膜时在与2个原子层厚度对应的约0.5nm的膜厚时,产生成膜的停留,因此可稳定地且再现性好地形成该厚度的氧氮化膜。

Claims (73)

1.一种绝缘膜的氮化方法,其特征为,包含:
利用高频等离子体形成氮游离基的工序;和
向包含氧的绝缘膜表面供给所述氮游离基、使所述绝缘膜表面氮化的工序。
2.根据权利要求1所述的绝缘膜氮化方法,其特征为,所述绝缘膜是氧化膜。
3.根据权利要求2所述的绝缘膜的氮化方法,其特征为,所述氧化膜具有0.4nm以下的膜厚。
4.根据权利要求1所述的绝缘膜的氮化方法,其特征为,所述绝缘膜是氧氮化膜。
5.根据权利要求1~4之任一项所述的绝缘膜的氮化方法,其特征为,所述氮游离基搭乘按照沿着所述绝缘膜表面流动而形成的气流被供给。
6.根据权利要求5所述的绝缘膜的氮化方法,其特征为,所述气流从形成所述绝缘膜的被处理基板的第一侧流向在径向上对置的第二侧。
7.根据权利要求6所述的绝缘膜的氮化方法,其特征为,在所述被处理基板的所述第二侧,所述气流被排气。
8.根据权利要求1~7中任一项所述的绝缘膜的氮化方法,其特征为,形成所述氮游离基的工序包含通过高频等离子体激励氮气的工序和将伴随氮气激励而产生的氮离子通过扩散板或离子过滤器除去的工序。
9.根据权利要求1~8中任一项所述的绝缘膜的氮化方法,其特征为,所述高频等离子体通过以约400kHz频率激励氮气而形成。
10.根据权利要求1~9中任一项所述的绝缘膜的氮化方法,其特征为,使所述绝缘膜表面氮化的工序在0.67Pa~1.33kPa范围的压力下实行。
11.根据权利要求1~10中任一项所述的绝缘膜的氮化方法,其特征为,在将氮游离基供给所述绝缘膜表面的工序之前,包含将保持所述绝缘膜的处理空间冲刷为比所述绝缘膜表面的氮化工序中使用的还低的压力的工序。
12.根据权利要求11所述的绝缘膜的氮化方法,其特征为,所述冲刷工序是将所述处理空间排气到1.33×10-1~1.33×10-4Pa的压力。
13.根据权利要求1及4~12之任一项所述的绝缘膜的氮化方法,其特征为,所述绝缘膜具有约0.4nm的膜厚。
14.根据权利要求1~13之任一项所述的绝缘膜氮化方法,其特征为,所述绝缘膜是通过由紫外光激励氧游离基处理硅基板表面而形成的氧化膜。
15.一种半导体装置的制造方法,其特征为,包含以下工序:
硅基板表面上形成绝缘膜的工序;
利用高频等离子体形成氮游离基的工序;
将所述氮游离基供给所述绝缘膜表面、使所述绝缘膜表面氮化的工序;和
在对所述表面进行氮化处理的绝缘膜上形成高介电体膜的工序。
16.根据权利要求15所述的半导体装置的制法,其特征为,所述绝缘膜是氧化膜。
17.根据权利要求16所述的半导体装置的制法,其特征为,所述氧化膜具有0.4nm以下的膜厚。
18.根据权利要求15所述的半导体装置的制法,其特征为,所述绝缘膜是氧氮化膜。
19.根据权利要求15~18之任一项所述的半导体装置的制法,所述氮游离基搭乘按照沿着所述绝缘膜表面流动而形成的气流被供给。
20.根据权利要求19所述的半导体装置的制法,其特征为,所述气流从形成所述绝缘膜的所述硅基板的第一侧向在径向上对置的第二侧流动。
21.根据权利要求20所述的半导体装置的制法,其特征为,在所述硅基板的所述第二侧处对所述气流进行排气。
22.根据权利要求15~21中的任一项中所述的半导体装置的制法,其特征为,形成所述氮游离基工序包含:利用高频等离子体激励氮气的工序和通过离子过滤器除去伴随氮激励而产生的氮离子的工序。
23.根据权利要求15~22中的任一项所述的半导体装置的制法,其特征为,所述高频等离子体通过由约400kHz频率激励氮气而形成。
24.根据权利要求15~23中的任一项所述的半导体装置的制法,其特征为,使所述绝缘膜表面氮化的工序在0.67Pa~1.33kPa范围的压力下进行。
25.根据权利要求15~24中的任一项所述的半导体装置的制法,其特征为,在将氮游离基供给所述绝缘膜表面的工序前,将保持所述绝缘膜的处理空间冲刷成比所述绝缘膜表面的氮化工序使用的还低的压力的工序。
26.根据权利要求25所述的半导体装置的制法,其特征为,所述冲刷工序是将所述处理空间排气到1.33×10-1~1.33×10-4Pa的压力。
27.根据权利要求15~26中的任一项所述的半导体装置的制法,其特征为,所述绝缘膜具有约0.4nm膜厚。
28.根据权利要求15~27中的任一项所述的半导体装置的制法,其特征为,形成所述绝缘膜的工序包含用紫外光激励氧游离基处理硅基板表面的工序。
29.根据权利要求15~28中的任一项所述的半导体装置的制法,其特征为,所述绝缘膜形成工序和所述绝缘膜氮化工序在同一处理装置内,中途不使所述硅基板在大气中暴露而连续实行。
30.根据权利要求15~24中的任一项所述的半导体装置的制法,其特征为,所述绝缘膜形成工序和所述绝缘膜氮化工序在同一处理装置内,中途不使所述硅基板在大气中暴露而连续进行,在所述绝缘膜形成工序和所述绝缘膜氮化工序之间,将保持所述绝缘膜的处理空间冲刷的工序进行0~4次。
31.一种基板处理装置,其特征为,由
利用高频等离子体形成氮游离基的氮游离基形成部,和
保持形成有绝缘膜的被处理基板的处理容器构成;
所述处理容器被供给来自所述氮游离基形成部的所述氮游离基、通过将所述氮游离基供给所述绝缘膜表面,使所述绝缘膜表面氮化。
32.根据权利要求31所述的绝缘膜的基板处理装置,其特征为,所述处理容器在侧面上具有所述氮游离基形成部,按照沿所述绝缘膜表面流动的方式形成气流,将所述氮游离基搭乘按照沿着所述绝缘膜的表面流动而形成的气流,提供给所述绝缘膜表面。
33.根据权利要求32所述的基板处理装置,其特征为,所述气流在所述容器内从形成有所述绝缘膜的被处理基板的第一侧向径向对置的第二侧流动。
34.根据权利要求32所述的基板处理装置,其特征为,所述处理容器在被处理基板的所述第二侧进行排气。
35.根据权利要求31~34中任一项所述的基板处理装置,其特征为,所述游离基形成部包含气体通路和在所述气体通路的一部分处形成的、将通过所述气体通路的氮气进行等离子体激励的高频等离子体形成部。
36.根据权利要求35所述的基板处理装置,其特征为,所述高频等离子体形成部用400~500kHz的频率对氮气进行等离子体激励。
37.根据权利要求31~36的任一项所述的基板处理装置,其特征为,所述处理容器被连接于可将所述处理容内部减压到压力比所述绝缘膜表面的氮化工序中所使用压力还低的排气系统。
38.一种基板处理装置,由以下部件构成:
处理容器,划分处理空间,在所述处理空间中具有保持被处理基板的保持台;
第一游离基源,在所述处理容器上相对所述保持台而设置在第一端部侧;
第二游离基源,在所述处理容器上相对所述保持台而设置在所述第一端部侧;
第一排气路径,在所述处理容器上相对所述保持台而设置在与第一端部对置的第二端部侧、将所述处理空间排气到第一处理压力;和
第二排气路径,在所述处理容器上相对所述保持台而在所述第二端部侧、将所述处理空间排气到第二处理压力。
39.根据权利要求38所述的基板处理装置,其特征为,所述第一排气路径在驱动所述第一游离基源时被驱动,所述第二排气路径在驱动所述第二游离基源时被驱动。
40.根据权利要求38或39所述的基板处理装置,其特征为,所述第一游离基源包含将供给到所述处理容器中的氧气激励的紫外光源,所述第二游离基源由被供给氮气、并将其激励的远距离等离子体源形成。
41.根据权利要求40所述的基板处理装置,其特征为,所述第一游离基源包含使氮气沿着所述保持台上被处理基板的表面流动的喷嘴,所述紫外光源设置在所述喷嘴和被处理基板之间的位置上,经光学窗使从所述喷嘴放出的氧气活性化。
42.根据权利要求38~41中任一项所述的基板处理装置,其特征为,所述第一排气路径包含涡轮分子泵。
43.根据权利要求38~42中任一项所述的基板处理装置,其特征为,所述第二排气路径包含机械式助力器泵。
44.根据权利要求42所述的基板处理装置,其特征为,所述涡轮分子泵通过机械式助力器泵调压。
45.根据权利要求38~44中任一项所述的基板处理装置,其特征为,所述处理容器在所述第二端部具有基板搬入、搬出口。
46.根据权利要求38~45所述的基板处理装置,其特征为,所述第一排气路径及所述第二排气路径都经所述处理容器中、在所述第一端部附近形成的排气口对所述处理空间排气。
47.根据权利要求46所述的基板处理装置,其特征为,所述第一排气路径包含经所述排气口与所述处理空间连接的涡轮分子泵。
48.根据权利要求38~47中任一项所述的基板处理装置,其特征为,旋转自如地设置所述保持台,此外,设置有使所述保持台转动的转动机构。
49.一种基板处理装置,其特征为,由以下部件组成:
处理容器,划分处理空间,在所述处理空间中具有保持被处理基板的保持台;
第一游离基源,设置在所述处理容器上;
第二游离基源,设置在所述处理容器上;
第一排气路径,设置在所述处理容器上、将所述处理空间排气到第一处理压力;和
第二排气路径,设置在所述处理容器上、将所述处理空间排气到第二处理压力,
所述第一排气路径,相对所述第一游离基源,按照夹持所述保持台上的被处理基板而在相反侧对所述处理空间排气的方式设置,第二排气路径,相对所述第二游离基源,按照夹持所述保持台上的被处理基板而在相反侧对所述处理空间排气的方式设置。
50.一种集聚型基板处理装置,由基板搬运室和与所述基板搬运室连接的多个处理室形成,所述多个处理室的一个是包含以下部件:
处理容器,在第一端部具有与所述基板搬运室连接的基板搬入、搬出口,在对置的第二端部具有第一游离基源,在内部划分处理空间;
基板保持台,在所述处理空间中,被转动自如地设置在所述第一端部和第二端部之间、保持被处理基板;
第一处理气体导入部,在所述处理空间中,被设置在所述第一端部和所述基板保持台之间、将第一处理气体导入所述处理空间;
第二处理气体导入部,将第二处理气体导入所述第一游离基源;
第二游离基源,在所述处理容器上,按照使第一处理气体活性化的方式设置在所述第一处理气体导入部和所述基板保持台之间;
第一排气口,设置在所述处理空间中比所述基板保持台还靠近所述第一端部的部分;
第二排气口,设置在所述处理空间中比所述基板保持台还靠近所述第二端部的部分;
第一泵,与所述第一排气口连接、将所述处理气体排气到第一处理压力;和
第二泵,与所述第二排气口连接、将所述处理气体排气到第二处理压力,
所述第二泵配置在所述处理容器的第二端部近旁。
51.一种半导体装置,包含:
硅基板;和
在所述硅基板上形成的2~4个原子层厚度的绝缘膜。
52.根据权利要求51所述的半导体装置,其特征为,所述绝缘膜在2~4个原子层范围且具有均匀膜厚。
53.根据权利要求54或52所述的半导体装置,其特征为,所述绝缘膜由氧化硅膜形成。
54.根据权利要求54或52所述的半导体装置,其特征为,所述绝缘膜由氧氮化硅膜形成。
55.根据权利要求51~54中任一项所述的半导体装置,其特征为,还包含在所述绝缘膜上形成的高介电体膜和在所述高介电体膜上形成的栅极电极。
56.一种半导体装置,由以下部件组成:
硅基板;和
在所述硅基板上形成的绝缘膜,其特征为,
所述绝缘膜是使硅基板上形成的1~4个原子层厚度的氧化膜氮化而形成。
57.一种基板处理方法,其特征为,具有:在硅基板表面通过使用了具有133~133×10-4mPa范围的分压的氧游离基的氧化处理形成氧化膜的工序。
58.根据权利要求57所述的基板处理方法,其特征为,所述氧化处理工序的持续时间为约5分钟以下。
59.根据权利要求57或58所述的基板处理方法,其特征为,所述氧化处理工序在如下条件下进行:在紫外线激励氧游离基的存在下,将波长172nm的紫外线功率设定为在紫外光源下方区域为5~50mW/cm2范围,氧分压设定在133mPa~133Pa范围。
60.一种半导体装置的制法,由
在硅基板表面形成基极氧化膜的工序;
在所述基极氧化膜上形成高介电体膜的工序;和
在所述高介电体膜上形成栅极电极层的工序构成,其特征为,
形成所述基极氧化膜的工序包含在所述硅基板表面上通过使用分压在133~133×10-4mPa范围的浓度的氧游离基的氧化处理形成氧化膜的工序。
61.根据权利要求60所述的半导体装置的制法,其特征为,所述氧化处理工序的持续时间约为5分钟以下。
62.根据权利要求60或61所述的半导体装置的制法,其特征为,所述氧化处理工序在如下条件下实行:在紫外线激励氧游离基的存在下,将波长172nm的紫外线功率设定为在紫外光源下方区域为5~50mW/cm2的范围,氧分压设定在133mPa~133Pa范围。
63.一种基板处理方法,其特征为,它由:
向硅基板表面供给NO气体的工序;和
利用紫外光激励所述NO气体、在所述硅基板表面形成氧氮化膜工序构成。
64.根据权利要求63所述的基板处理方法,其特征为,所述紫外光具有约172nm的波长。
65.根据权利要求63或64所述的基板处理方法,其特征为,所述紫外光通过封入氙的介电体阻挡层放电管而形成。
66.根据权利要求63所述的基板处理方法,其特征为,所述氧氮化膜以约0.5nm厚度形成。
67.根据权利要求63所述的基板处理方法,其特征为,形成所述氧氮化膜工序在约450℃的基板温度下进行。
68.根据权利要求63~67中任一项所述的基板处理方法,其特征为,形成所述氮化膜的工序在200秒时间以内。
69.根据权利要求63~68中任一项所述的基板处理方法,其特征为,形成所述氧氮化膜的工序在1.33~1.33×103Pa范围的处理压力下进行。
70.根据权利要求63~69中任一项所述的基板处理方法,其特征为,供给所述NO气体的工序在所述硅基板开始升温前开始。
71.根据权利要求63~70中任一项所述的基板处理方法,其特征为,在所述氧氮化膜形成工序前进行除去所述硅基板表面的自然氧化膜的工序。
72.一种半导体装置的制法,由以下工序构成:
向硅基板表面供给NO气体,通过紫外光激励所述NO气体而在所述硅基板表面形成氧氮化膜的工序;
在所述氧氮化膜上形成高介电体膜的工序;和
在所述高介电体膜上形成栅极电极的工序。
73.根据权利要求72所述的半导体装置的制法,其特征为,所述紫外光具有172nm的波长。
CNB02805783XA 2001-12-07 2002-12-05 绝缘膜氮化方法、半导体装置及其制造方法、基板处理装置和基板处理方法 Expired - Lifetime CN1254854C (zh)

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
JP374631/2001 2001-12-07
JP374631/01 2001-12-07
JP2001374633 2001-12-07
JP374632/01 2001-12-07
JP374632/2001 2001-12-07
JP2001374631 2001-12-07
JP374633/01 2001-12-07
JP2001374632 2001-12-07
JP374633/2001 2001-12-07
JP2001401210 2001-12-28
JP401210/01 2001-12-28
JP401210/2001 2001-12-28
JP2002118477 2002-04-19
JP118477/02 2002-04-19
JP118477/2002 2002-04-19

Publications (2)

Publication Number Publication Date
CN1518761A true CN1518761A (zh) 2004-08-04
CN1254854C CN1254854C (zh) 2006-05-03

Family

ID=27532052

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB02805783XA Expired - Lifetime CN1254854C (zh) 2001-12-07 2002-12-05 绝缘膜氮化方法、半导体装置及其制造方法、基板处理装置和基板处理方法

Country Status (8)

Country Link
US (3) US6927112B2 (zh)
EP (1) EP1453083A4 (zh)
KR (1) KR100641762B1 (zh)
CN (1) CN1254854C (zh)
AU (1) AU2002354103A1 (zh)
SG (1) SG152910A1 (zh)
TW (1) TWI284940B (zh)
WO (1) WO2003049173A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1832130B (zh) * 2005-02-24 2011-08-03 瑞萨电子株式会社 半导体器件及其制造方法

Families Citing this family (421)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070111201A1 (en) * 2001-04-30 2007-05-17 Benjamin Doranz Reverse transfection of cell arrays for structural and functional analyses of proteins
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6890867B2 (en) * 2003-02-25 2005-05-10 Micron Technology, Inc. Transistor fabrication methods comprising selective wet-oxidation
JP4251887B2 (ja) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 真空処理装置
JP4051063B2 (ja) * 2003-03-13 2008-02-20 富士通株式会社 半導体装置の製造方法
JP3974547B2 (ja) * 2003-03-31 2007-09-12 株式会社東芝 半導体装置および半導体装置の製造方法
US6806103B1 (en) * 2003-06-10 2004-10-19 Texas Instruments Incorporated Method for fabricating semiconductor devices that uses efficient plasmas
JP2005041835A (ja) * 2003-07-24 2005-02-17 Fuji Xerox Co Ltd カーボンナノチューブ構造体、その製造方法、カーボンナノチューブ転写体および溶液
JP3887364B2 (ja) * 2003-09-19 2007-02-28 株式会社東芝 半導体装置の製造方法
JP4593477B2 (ja) * 2003-11-14 2010-12-08 東京エレクトロン株式会社 基板処理方法
CN100485885C (zh) * 2003-12-18 2009-05-06 东京毅力科创株式会社 成膜方法
KR100829335B1 (ko) * 2004-08-31 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법
JP4579637B2 (ja) * 2004-10-01 2010-11-10 東京エレクトロン株式会社 半導体記憶装置及びその製造方法
US20060084220A1 (en) * 2004-10-15 2006-04-20 Freescale Semiconductor, Inc. Differentially nitrided gate dielectrics in CMOS fabrication process
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7501352B2 (en) 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
US7517814B2 (en) 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
JP4966582B2 (ja) 2006-05-02 2012-07-04 東京エレクトロン株式会社 基板処理方法、コンピュータ可読記録媒体、基板処理装置、および基板処理システム
CN101450014B (zh) * 2007-12-07 2011-04-20 王岩 可保留或重建前交叉韧带的人工膝关节置换假体
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) * 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
WO2011097178A2 (en) * 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013084918A (ja) * 2011-09-27 2013-05-09 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311067A1 (en) * 2014-04-24 2015-10-29 Applied Materials, Inc. Millisecond annealing in ammonia ambient for precise placement of nitrogen in thin film stacks
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101726259B1 (ko) 2015-03-26 2017-04-13 박상호 진열공간 확장형 푸드트럭
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6368743B2 (ja) * 2016-06-22 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20200038184A (ko) 2018-10-01 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10773210B2 (en) 2018-11-20 2020-09-15 Fujifilm Electronic Materials U.S.A., Inc. Systems and methods for purifying solvents
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP2023026115A (ja) * 2021-08-12 2023-02-24 キオクシア株式会社 半導体装置の製造方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60211847A (ja) * 1984-04-05 1985-10-24 Fuji Electric Corp Res & Dev Ltd 絶縁膜の形成方法
JPS60241269A (ja) * 1984-05-16 1985-11-30 Seiko Epson Corp 薄膜トランジスタの製造方法
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
EP0252179B1 (en) * 1986-07-11 1992-05-27 International Business Machines Corporation Process for producing undercut mask profiles
JPH04176126A (ja) * 1990-11-08 1992-06-23 Babcock Hitachi Kk 基板処理方法及びその装置
JPH05259153A (ja) * 1992-03-12 1993-10-08 Fujitsu Ltd シリコン酸化膜の製造方法と製造装置
CN1244891C (zh) * 1992-08-27 2006-03-08 株式会社半导体能源研究所 有源矩阵显示器
JPH0786271A (ja) * 1993-09-17 1995-03-31 Fujitsu Ltd シリコン酸化膜の作製方法
JP2705023B2 (ja) 1993-11-26 1998-01-26 ウシオ電機株式会社 被処理物の酸化方法
US5635408A (en) * 1994-04-28 1997-06-03 Canon Kabushiki Kaisha Method of producing a semiconductor device
JP2948110B2 (ja) 1994-09-19 1999-09-13 ウシオ電機株式会社 被処理物体表面または当該表面上の物質を減圧下で酸化する方法
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5830528A (en) * 1996-05-29 1998-11-03 Amcol International Corporation Intercalates and exfoliates formed with hydroxyl-functional; polyhydroxyl-functional; and aromatic compounds; composites materials containing same and methods of modifying rheology therewith
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6204388B1 (en) * 1996-12-03 2001-03-20 Sloan-Kettering Institute For Cancer Research Synthesis of epothilones, intermediates thereto and analogues thereof
JPH11121452A (ja) * 1997-10-13 1999-04-30 Shijie Xianjin Jiti Electric Co Ltd 活性窒素を使用して窒化膜を形成するアニール方法
US6331492B2 (en) * 1997-12-31 2001-12-18 Texas Instruments Incorporated Nitridation for split gate multiple voltage devices
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
US6127276A (en) * 1998-06-02 2000-10-03 United Microelectronics Corp Method of formation for a via opening
TW419732B (en) * 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
JP2000114245A (ja) * 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6303520B1 (en) * 1998-12-15 2001-10-16 Mattson Technology, Inc. Silicon oxynitride film
KR100455737B1 (ko) * 1998-12-30 2005-04-19 주식회사 하이닉스반도체 반도체소자의게이트산화막형성방법
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP3497098B2 (ja) * 1999-05-25 2004-02-16 シャープ株式会社 液晶表示素子
WO2000074122A1 (fr) * 1999-05-28 2000-12-07 Tokyo Electron Limited Dispositif de traitement a l'ozone pour systeme de fabrication de semi-conducteurs
US6161576A (en) * 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
JP4313470B2 (ja) * 1999-07-07 2009-08-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP3399413B2 (ja) * 1999-09-13 2003-04-21 日本電気株式会社 酸窒化膜およびその形成方法
WO2001046993A2 (en) * 1999-12-13 2001-06-28 Wisconsin Alumni Research Foundation Reduction of plasma charge-induced damage in microfabricated devices
JP2001185548A (ja) * 1999-12-22 2001-07-06 Fujitsu Ltd 半導体装置およびその製造方法
JP2001217415A (ja) * 2000-01-31 2001-08-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP3851752B2 (ja) * 2000-03-27 2006-11-29 株式会社東芝 半導体装置の製造方法
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6806211B2 (en) * 2000-08-11 2004-10-19 Tokyo Electron Limited Device and method for processing substrate
JP2002170825A (ja) * 2000-11-30 2002-06-14 Nec Corp 半導体装置及びmis型半導体装置並びにその製造方法
JP4367599B2 (ja) * 2000-12-19 2009-11-18 日本電気株式会社 高誘電率薄膜の成膜方法
JP2002208592A (ja) * 2001-01-09 2002-07-26 Sharp Corp 絶縁膜の形成方法、半導体装置、製造装置
US6723611B2 (en) * 2002-09-10 2004-04-20 International Business Machines Corporation Vertical hard mask

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1832130B (zh) * 2005-02-24 2011-08-03 瑞萨电子株式会社 半导体器件及其制造方法

Also Published As

Publication number Publication date
US6927112B2 (en) 2005-08-09
AU2002354103A1 (en) 2003-06-17
US20030170945A1 (en) 2003-09-11
WO2003049173A1 (fr) 2003-06-12
EP1453083A1 (en) 2004-09-01
KR100641762B1 (ko) 2006-11-06
EP1453083A4 (en) 2007-01-10
US20050170541A1 (en) 2005-08-04
TWI284940B (en) 2007-08-01
KR20040017338A (ko) 2004-02-26
US20080139000A1 (en) 2008-06-12
CN1254854C (zh) 2006-05-03
SG152910A1 (en) 2009-06-29
TW200307329A (en) 2003-12-01

Similar Documents

Publication Publication Date Title
CN1254854C (zh) 绝缘膜氮化方法、半导体装置及其制造方法、基板处理装置和基板处理方法
CN1254851C (zh) 基板处理方法和装置、半导体装置的制造装置
CN1685485A (zh) 基板处理装置
CN1860596A (zh) 电子器件材料的制造方法
CN1838387A (zh) 蚀刻方法和蚀刻装置
CN1574231A (zh) 半导体集成电路器件的制造方法
CN1685484A (zh) 基板处理装置
CN1291461C (zh) 电介质膜、半导体器件及它们的制造方法
CN1206736C (zh) 半导体装置、互补型半导体装置
CN1115720C (zh) 半导体集成电路器件的制造方法
CN1256755C (zh) 基板处理装置及处理方法
CN1194390C (zh) 在气体环境中执行曝光处理的基片处理系统
CN100352016C (zh) 半导体器件及其制造方法
CN1787187A (zh) 半导体器件制造方法
CN1662676A (zh) 用电子束硬化低介电常数膜的方法
CN101032006A (zh) 半导体器件的制造方法以及衬底处理装置
CN1692477A (zh) 基板处理装置和基板处理方法
CN1879203A (zh) 半导体装置的制造方法及衬底处理装置
CN1638061A (zh) 形成介电薄膜的方法
CN1056015C (zh) 金属薄膜形成方法
CN1663030A (zh) 等离子体处理方法
CN1957108A (zh) 低k纳米多孔膜的多阶段固化方法
CN1993813A (zh) 半导体装置的制造方法和等离子体氧化处理方法
CN100338744C (zh) 形成氧化物薄膜的方法及其装置
CN1438831A (zh) 等离子体处理方法及装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20060503

CX01 Expiry of patent term