CN1685485A - 基板处理装置 - Google Patents

基板处理装置 Download PDF

Info

Publication number
CN1685485A
CN1685485A CNA038228297A CN03822829A CN1685485A CN 1685485 A CN1685485 A CN 1685485A CN A038228297 A CNA038228297 A CN A038228297A CN 03822829 A CN03822829 A CN 03822829A CN 1685485 A CN1685485 A CN 1685485A
Authority
CN
China
Prior art keywords
film
substrate
oxide
heater
expression
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038228297A
Other languages
English (en)
Other versions
CN1685485B (zh
Inventor
堀口贵弘
桑嶋亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1685485A publication Critical patent/CN1685485A/zh
Application granted granted Critical
Publication of CN1685485B publication Critical patent/CN1685485B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Resistance Heating (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明的基板处理装置以稳定而且有效地进行被处理基板的成膜处理为目的,基板处理装置把被处理基板W支撑在面对加热器部的位置,同时使保持被处理基板W的保持部件旋转。此外加热器部把SiC加热器和热反射部件装在透明石英制的石英钟罩内部,通过使处理容器内部减压并使石英钟罩内部也减压,可以使石英钟罩的壁厚减薄,这样来自SiC加热器的热传导效率提高,而且可以防止因SiC加热器造成的污染。

Description

基板处理装置
技术领域
本发明涉及基板处理装置,特别是对基板实施成膜等处理的基板处理装置。
背景技术
到今天在超高速半导体处理装置中,在微细化工艺发展的同时,有可能发展成为0.1μm以下的栅极长。一般半导体装置的动作速度随着微细化而提高,但是在这样微细化的半导体装置中,随微细化造成的栅极长的缩短,按照比例定律有必要减小栅极绝缘膜的膜厚。
可是栅极长到0.1μm以下的话,在使用现有热氧化膜的情况下,栅极绝缘膜的厚度也有必要设定在1~2nm或以下,但是用这样非常薄的栅极绝缘膜,隧道电流增加,其结果不能避免栅极漏电流增加的问题。
根据这种情况,现在提出了介电常数远大于热氧化膜,因此把实际膜厚即使厚,换算成SiO2膜的情况下的膜厚也小的Ta2O5和Al2O3、ZrO2、HfO2以及ZrSiO4、HfSiO4这样的高电介质材料用于栅极绝缘膜的方案。通过使用这样的高电介质材料,栅极长在0.1μm以下的话,即使在非常短的超高速半导体装置中,也可以使用物理膜厚10nm左右的栅极绝缘膜,可以抑制隧道效应造成的栅极漏电流。
例如众所周知,现在用Ta(OC2H5)5和O2作为气相原料的CVD法可以形成Ta2O5膜。典型的情况是CVD工艺在减压的环境下、在约480℃或以上的温度实施。这样形成的Ta2O5膜还要在氧的氛围中进行热处理,其结果消除了膜中的氧缺损,此外膜本身晶体化。这样晶体化的Ta2O5膜显示大的介电常数。
从使沟道区域中的载体迁移率提高的观点,在高电介质栅极氧化膜和硅基板之间优选夹有1nm以下的厚度非常薄的基底氧化膜,更优选夹有0.8nm以下厚度非常薄的基底氧化膜。基底氧化膜必须非常薄,厚度厚的话,与在栅极绝缘膜上使用的高电介质膜的效果抵消。另一方面这样非常薄的基底氧化膜同样必须覆盖硅基板表面,此外要求不形成界面能级等缺陷。
现在一般薄栅极氧化膜用硅基板的急速热氧化(RTO)处理(例如参照专利文献1)形成,而要使热氧化膜形成所优选的1nm以下厚度的话,必须降低膜形成时的处理温度。可是用这样的低温形成的热氧化膜容易含有界面能级等缺陷,作为高电介质栅极氧化膜的基底氧化膜是不合适的。
图1表示具有高电介质栅极绝缘膜的高速半导体装置10的简单结构。
参照图1,半导体装置10在硅基板11上形成,在硅基板11上通过薄的基底氧化膜12形成Ta2O5、Al2O3、ZrO2、HfO2、ZrSiO4、HfSiO4等的高电介质栅极绝缘膜13,再在前述高电介质栅极绝缘膜13上形成栅极电极14。
在图1的半导体装置10中,在前述基底氧化膜层12表面部分在保持硅基板11和基底氧化膜12之间的界面平坦性的范围搀杂氮(N),形成氧氮化膜12A。通过在基底氧化膜12中形成介电常数比硅氧化膜大的氧氮化膜12A,可以使基底氧化膜12的热氧化膜换算膜厚进一步减小。
如前面已经说明的那样,在这样的高速半导体装置10中,优选前述基底氧化膜12的厚度尽可能薄。
可是使基底氧化膜12厚度在1nm以下,例如0.8nm以下,进而与对应于2~3个原子层的0.4nm左右的厚度一样,而且要稳定地形成,现在是非常困难的。
此外要发挥在基底氧化膜12上形成的高电介质栅极绝缘膜13的功能,要把堆积的高电介质膜13进行热处理而晶体化,此外必须进行氧缺损补偿,但在对高电介质膜13进行这样热处理的情况下,基底氧化膜12的膜厚增加,实际上抵消了通过使用高电介质栅极绝缘膜13造成绝缘膜膜厚减小的实际效果。
随这样热处理造成的基底氧化膜12的膜厚增加意味着在硅基板11和基底氧化膜12的界面上的氧原子和硅原子相互扩散,和伴随其形成硅酸盐过渡层,或氧侵入到硅基板中造成基底氧化膜12生长的可能性。伴随这样基底氧化膜12热处理造成膜厚增加的问题,特别是在基底氧化膜12的膜厚作为基底氧化膜优选减小到几个原子层厚以下的情况下,成为非常深刻的问题。
专利文献1特开平5-47687号公报
发明内容
本发明以提供解决了上述课题的新颖且有用的基板处理装置为目的。
本发明更详细的目的是提供可以在硅基板表面稳定形成非常薄的、典型的为2~3个原子层大小的厚度的氧化膜,再把它进行氮化,形成氧氮化膜的基板处理装置。
此外本发明更详细的目的是提供包括可以在硅基板表面稳定形成非常薄的、典型的为2~3个原子层厚度的氧化膜,再把它稳定地氮化的基板处理装置的组合(cluster)型的基板处理系统。
此外本发明的另外目的是在解决上述课题的同时,实现改善氧化膜的均匀性、生产率和防止污染这样构成的基板处理装置。
本发明为了实现上述目的,具有以下特性。
采用本发明的话,由于把插入处理空间的被处理基板加热到规定温度的加热器部是把发热体装入用石英作成的透明箱壳内部的结构,所以可以防止发热体造成的污染,同时使被处理基板的温度分布保持均匀,可以控制被处理基板的翘曲,由于被处理基板的成膜处理可以稳定而且有效地进行,所以可以提高生产率。
采用本发明的话,使处理容器内减压,并通过使透明箱壳内部空间减压,可以控制透明箱壳内外压力差,使透明箱壳以作用在透明箱壳上的力减轻的部分进行壁厚减薄,可以提高从发热体来的热传导效率。
此外采用本发明的话,在透明箱壳上面设置用发热体加热的SiC制的加热板,由于加热板的上面靠近并面对保持在保持部件上的被处理基板的下面,提高热传导,可以把被处理基板加热到700℃的温度,同时发热体难以产生温度差,可以防止发热体的裂纹。
采用本发明的话,发热体有第一SiC发热部或第二、三SiC发热部,通过选择切换向第一SiC发热部或第二、3SiC发热部的某一个或两个提供的电源,可以稳定地进行加热,使被处理基板的周边部分不翘曲。
采用本发明的话,通过在发热体下面设置热反射部件,可以对被处理基板的整个面进行有效而且均匀的加热。
附图说明
图1为表示具有高电介质栅极绝缘膜的半导体装置结构的图示。
图2为表示本发明的基板处理装置一个实施示例结构的主视图。
图3为表示本发明的基板处理装置一个实施示例结构的侧视图。
图4为沿图2中A-A线的横截面图。
图5为表示配置在处理容器22下面的机器结构的主视图。
图6为表示配置在处理容器22下面的机器结构的俯视图。
图7为表示配置在处理容器22下面的机器结构的侧视图。
图8A为表示排气通路32结构的俯视图。
图8B为表示排气通路32结构的主视图。
图8C为沿B-B线的纵截面图。
图9为把处理容器22和它周围机器放大表示的侧面纵截面图。
图10为从上面看取下盖部件82的处理容器22内部的俯视图。
图11为处理容器22的俯视图。
图12为处理容器22的主视图。
图13为处理容器22的仰视图。
图14为沿图12中C-C线的纵截面图。
图15为处理容器22的右侧视图。
图16为处理容器22的左侧视图。
图17为把安装紫外线光源86、87的结构放大表示的纵截面图。
图18为把气体喷嘴部93的结构放大表示的纵截面图。
图19为把气体喷嘴部93的结构放大表示的横截面图。
图20为把气体喷嘴部93的结构放大表示的主视图。
图21为把加热器部24的结构放大表示的纵截面图。
图22为把加热器部24放大表示的仰视图。
图23为把第二流入口170和第二流出口174的安装结构放大表示的纵截面图。
图24为把凸缘140的安装结构放大表示的纵截面图。
图25为把夹持机构190上端部分的安装结构放大表示的纵截面图。
图26为表示SiC加热器114和SiC加热器114的控制系统结构的图示。
图27A为表示石英钟罩112的结构的俯视图。
图27B为表示石英钟罩112的结构的纵截面图。
图28A为从上面看石英钟罩112的结构的立体图。
图28B为从下面看石英钟罩112的结构的立体图。
图29为表示减压系统的排气系统结构的系统图。
图30A为表示保持部件120结构的俯视图。
图30B为表示保持部件120结构的俯视图。
图31为表示配置在加热器24下面的旋转驱动部28结构的纵截面图。
图32把旋转驱动部28放大表示的纵截面图。
图33A为表示托座冷却机构234结构的横截面图。
图33B为表示托座冷却机构234结构的侧视图。
图34为表示旋转位置检测机构232结构的横截面图。
图35A为表示旋转位置检测机构232非检测状态的图示。
图35B为表示旋转位置检测机构232检测状态的图示。
图36A为表示旋转位置检测机构232的光接收元件268输出信号S的波形图。
图36B为表示从旋转位置判断电路270输出的脉冲信号P的波形图。
图37为用于说明控制电路执行的旋转位置控制处理的流程图。
图38为从上面看窗口75、76的安装位置的横截面图。
图39为表示把窗口75放大表示的横截面图。
图40为表示把窗口76放大表示的横截面图。
图41A为表示下部箱壳102结构的俯视图。
图41B为表示下部箱壳102结构的侧视图。
图42A为表示侧面箱壳104结构的俯视图。
图42B为表示侧面箱壳104结构的主视图。
图42C为表示侧面箱壳104结构的后视图。
图42D为表示侧面箱壳104结构的左视图。
图42E为表示侧面箱壳104结构的右视图。
图43A为表示上部箱壳106结构的仰视图。
图43B为表示上部箱壳106结构的侧视图。
图44A为表示圆筒形箱壳108结构的俯视图。
图44B为表示圆筒形箱壳108结构的侧面纵截面图。
图44C为表示圆筒形箱壳108结构的侧视图。
图45为把升降机构30放大表示的纵截面图。
图46为把升降机构30的密封结构放大表示的纵截面图。
图47A为表示使用图2的基板处理装置20进行被处理基板W的自由基氧化情况的侧视图和俯视图。
图47B为表示图47A结构的俯视图。
图48为表示使用基板处理装置20进行的基板氧化处理工序的图示。
图49为表示在本发明中使用的XPS膜厚测量方法的图示。
图50为表示在本发明中使用的XPS膜厚测量方法的另外的图示。
图51为简要表示用基板处理装置20形成氧化膜时观测的氧化膜膜厚生长的停留现象的图示。
图52A为表示在硅基板表面的氧化膜形成过程1的图示。
图52B为表示在硅基板表面的氧化膜形成过程2的图示。
图53为表示在本发明第一实施例中得到的氧化膜漏电流特性的图示。
图54A为说明图53的漏电流特性原因的图示。
图54B为说明图53的漏电流特性原因的图示。
图55A为在基板处理装置20中产生的氧化膜形成工序1的图示。
图55B为在基板处理装置20中产生的氧化膜形成工序2的图示。
图55C为在基板处理装置20中产生的氧化膜形成工序3的图示。
图56为表示在基板处理装置20中使用的远程等离子体源结构的图示。
图57为比较RF远程等离子体和微波等离子体特性的图示。
图58为比较RF远程等离子体和微波等离子体特性的另外的图示。
图59A为表示使用基板处理装置20进行的氧化膜的氮化处理的侧视图。
图59B为表示使用基板处理装置20进行的氧化膜的氮化处理的俯视图。
图60A为表示把利用基板处理装置20在Si基板上用热氧化处理形成2.0nm厚度的氧化膜,使用远程等离子体部27在表2所示的条件下进行氮化时,在上述氧化膜中氮浓度分布的图示。
图60B为表示在相同氧化膜中的氮浓度分布和氧浓度分布的关系的图示。
图61为简要表示在本发明中使用的XPS的图示。
图62为表示氧化膜的用远程等离子体的氮化时间和膜中氮浓度关系的图示。
图63为表示氧化膜的氮化时间和氮的膜内分布关系的图示。
图64为表示用氧化膜的氮化处理形成的氧氮化膜的每个晶片的膜厚变化的图示。
图65为表示伴随用本实施例的氧化膜的氮化处理产生的膜厚增加的图示。
具体实施方式
与下面的图一起对本发明的实施方式进行说明。
图2为表示本发明的基板处理装置的一个实施例结构的主视图。图3为表示本发明的基板处理装置的一个实施例结构的侧视图。图4为沿图2中A-A线的横截面图。
如图2到图4所示,基板处理装置20象后面叙述的那样,以可以连续进行硅基板的紫外线光自由基氧化处理、用这样的紫外线光自由基氧化处理形成的氧化膜的使用了高频远程等离子体的自由基氮化处理的方式构成。
基板处理装置20的主要结构由在内部形成处理空间的处理容器22、把插入处理容器22内部的被处理基板(硅基板)加热到规定温度的加热器部24、放在处理容器22上部的紫外线照射部26、提供氮自由基的远程等离子体部27、使被处理基板旋转的旋转驱动部28、使插入处理空间的被处理基板升降的升降机构30、用于使处理容器22内部减压的排气通路32、向处理容器22内部提供气体(氮气、氧气等的处理气体)的气体供给部34构成。
此外基板处理装置20具有支撑上述各主要构成部分的框架36。框架36是铁骨架立体组合而成的,由放在地板面上的台形底部框架38、从底部框架38后部垂直方向立起的垂直框架40、41、从垂直框架40的中间部向水平方向延伸的横向架设的中间框架42、从垂直框架40、41上端部向水平方向横向架设的上部框架44构成。
在底部框架38上放有冷却水供给部46、由电磁阀构成的排气用阀48a、48b、涡轮分子泵50、真空管路51、紫外线照射部26的电源单元52、升降机构30的驱动部136、气体供给部34等。
在垂直框架40内部形成各种插通缆线的电缆通道40a。此外在垂直框架41内部形成排气通道41a。此外固定在垂直框架40的中间部分的托架58上安装紧急停止开关60,在固定在垂直框架41的中间部分的托架62上安装由用冷却水进行温度调整的温度调整器64。
在中间框架42上支撑有上述处理容器22、紫外线照射部26、远程等离子体部27、旋转驱动部28、升降机构30、UV灯控制器57。此外上部框架44上放有连通从气体供给部34引出的多根气体管路58的气体盒66、离子压力计控制器68、进行压力控制的APC控制器70、控制涡轮分子泵50的TMP控制器72等。
图5为表示配置在处理容器22下面的机器结构的主视图。图6为表示配置在处理容器22下面的机器结构的俯视图。图7为表示配置在处理容器22下面的机器结构的侧视图。图8A为表示排气通路32结构的俯视图,图8B为表示排气通路32结构的主视图,图8C为沿B-B线的纵截面图。
如图5至图7所示,在处理容器22的后部下面设置有把处理容器22内部气体排出的排气通路32。安装此排气通路32,使此排气通路32与横向宽度尺寸和在处理容器22内部形成的处理空间的横向宽度尺寸大体相同的长方形排气孔74连通。
这样由于排气口74对应于处理容器22内部横向宽度尺寸的长度延伸形成,从处理容器22的前部22a一侧向内部提供的气体象后面要叙述的那样,通过处理容器22内部向后方流动,以一定的流速(层流)有效地向排气通路32排气。
如图8A~图8C所示,排气通路32具有与排气口74连通的长方形开口部32a、开口部32a左右侧面以锥形向下方锥形倾斜的锥形部32b、在锥形部32b下端通路面积缩小的底部32c、从底部32c向前方伸出的L字形的主排气管32d、在主排气管32d下端开口的排出口32e、在锥形部32b下部32f开口的旁路用排出口32g。排出口32e与涡轮分子泵50的吸气口连通。此外旁路用排出口32g与旁路管路51a连通。
如图5至图7所示,从处理容器22的排气口74排出的气体利用涡轮分子泵50的吸引力从作成长方形的开口部32a流入,通过锥形部32b到达底部32c,通过主排气管32d和排出口32e导向涡轮分子泵50。
涡轮分子泵50的吐出管50a通过阀门48a与真空管路51连通。因此打开阀门48a后,填充到处理容器22内部的气体通过涡轮分子泵50向真空管路51排出。此外在排气通路32的旁路用排出口32g连接旁路管路51a,此旁路管路51a利用阀门48b与真空管路51连通。
下面对构成本发明主要部分的处理容器22和它四周的机器的构成进行说明。
[处理容器22的结构]
图9为把处理容器22和它周围机器放大表示的侧面纵截面图。图10为从上面看取下盖部件82的处理容器22内部的俯视图。
如图9和图10所示,处理容器22以用盖部件82盖住室80的上部开口的方式构成,内部成为处理空间(处理空间)84。
处理容器22在前部22a形成提供气体的供给口22g,在后部22b形成输送口94。在供给口22g设置后面要叙述的气体喷嘴部93,后面要叙述的闸阀96被连通在输送口94上。
图11为处理容器22的俯视图。图12为处理容器22的主视图。
图13为处理容器22的仰视图。图14为沿图12中C-C线的纵截面图。
图15为处理容器22的右视图。图16为处理容器22的左视图。
如图11至图16所示,在处理容器22的底部22c上设置有插入加热器部24的开口73、前述的长方形开口的排气口74。前述排气通路32与排气口74连通。室80和盖部件82是通过例如把铝合金切削加工而加工成上述形状的部件。
此外在处理容器22的右侧面22e安装有用于窥视处理空间84的第一、第二窗口75、76、用于检测处理空间84温度的传感器单元77。
在本实施例中,由于在右侧面22e中央偏左侧配置形成为椭圆形的第一窗口75,在右侧面22e中央偏右侧配置形成为圆形的第二窗口76,由于可以从两个方向直接观察放置在处理空间84内的被处理基板W的状态,所以有利于对被处理基板W成膜情况等的观测。
窗口75、76以在插入热电偶等温度检测器具的情况下,可以从处理容器22取出的方式构成。
此外在处理容器22的左侧面22d安装有用于检测处理空间84压力的传感器单元85。在此传感器单元85上设置有检测范围不同的3个压力计85a~85c,可以高精度检测处理空间84的压力变化。
此外在形成处理空间84的处理容器22的内壁四个角设置有作成R形的弯曲部分22h,用此弯曲部分22h避免应力集中,同时具有使从气体喷嘴部93喷出的气流稳定的作用。
[紫外线照射部26的结构]
如图8至图11所示,紫外线照射部26被安装在盖部件82的上面。在此紫外线照射部26的框体26a内部以规定间隔平行配置作成圆筒形的2个紫外线光源(UV灯)86、87。
此紫外线光源86、87具有发射波长172nm紫外线的特性,被设置成以通过在盖部件82上形成的在横方向上延伸形成的长方形开口82a、82b,面对放置在处理空间84中的被处理基板W的上面的方式,使紫外线照射处理空间84的前侧半部分(图8的左半侧)区域。
此外从直线状延伸的紫外线光源86、87照射被处理基板W上的紫外线的强度分布是不一样的,根据被处理基板W的半径方向的位置而改变,一方面越向被处理基板W的外周侧越减小,另一方面越向内周侧越减小。这样紫外线光源86、87在被处理基板W上形成单独单调变化的紫外线强度分布,相对于被处理基板W的紫外线强度分布的变化方向相反。
因此通过用UV灯控制器57对紫外线光源86、87的驱动功率进行控制实现最佳化,可以实现在被处理基板W上非常均匀的紫外线强度分布。
这样的驱动功率的最佳值可以改变向紫外线光源86、87的驱动输出,用成膜结果求出最佳值。
被处理基板W和紫外线光源86、87的圆筒形的圆筒芯中心的距离例如设定为50~300mm,优选100~200mm左右。
图17为把安装紫外线光源86、87的安装结构放大表示的纵截面图。
如图17所示,紫外线光源86、87被保持在面对紫外线照射部26框体26a底部开口26b的位置。底部开口26b在面对保持在处理空间84中的被处理基板W的上面的位置开口,同时作成比紫外线光源86、87全长长的横向宽度尺寸的长方形。
在底部开口26b的周边部分26c上安装用透明石英作成的透明窗88。透明窗88使从紫外线光源86、87照射的紫外线透过处理空间84,同时具有承受在使处理空间84减压时的压力差的强度。
此外在透明窗88下面周边部分作成密封面88a,密封面88a与装在底部开口26b周边部分26c的槽内的密封部件(O型圈)89相接触。此密封面88a用保护密封部件89的涂层或黑石英制成。这样密封部件89的材质不分解,防止质量恶化,确保密封性能,同时防止密封部件89的材质侵入处理空间84。
不锈钢制的盖88b与透明窗88上面周边部分相接触,提高用紧固部件91夹持透明窗88时的强度,用紧固时的挤压力防止透明窗88破损。
此外在本实施例中,以向相对于从气体喷嘴93喷射的气体流动方向而垂直的方向延伸的形式设置紫外线光源86、87和透明窗88,但并不限定于此,例如也可以以在气体流动方向延伸的形式设置紫外线光源86、87和透明窗88。
[气体喷嘴部93的结构]
如图9和图10所示,处理容器22在开口于前部22a的供给口22g上设置向处理空间84内部喷射氮气或氧气的气体喷嘴部93。此气体喷嘴部93象后面要叙述的那样,在处理空间84横向宽度方向配置一排多个喷射口93a,从多个喷射口93a喷射的气体在处理空间84内部生成稳定的气流,以层流状态通过被处理基板W表面。
盖住处理空间84的盖部件82的下面和被处理基板W的距离例如设定为5~100mm,优选为25~85mm左右。
[加热器部24的结构]
如图9和图10所示,加热器部24是具有铝合金制的底座110、固定在底座110上的透明的石英钟罩112、装在石英钟罩112内部空间113中的SiC加热器114、用不透明的石英作成的热反射部件(反射器)116、用保持在石英钟罩112上面的SiC加热器114加热的SiC基座(加热部件)118的结构。
因此SiC加热器114和热反射部件116在石英钟罩112内部空间113被隔开,防止在处理空间84的污染。此外在净化工序中,由于仅净化在处理空间84内露出的SiC基座118就可以,可以省略了净化SiC加热器114和热反射部件116的麻烦。
被处理基板W被用保持部件120保持成与SiC基座118的上方面对。另一方面SiC加热器114载置在热反射部件116的上面,SiC加热器114的发热被放射到SiC基座118,同时用热反射部件116反射的热也放射到SiC基座118。再有本实施例的SiC加热器114在稍稍离开SiC基座118的状态下,能加热到700℃的温度。
SiC基座118由于导热率高,从SiC加热器114发出的热有效地传递到被处理基板W,消除被处理基板W周边部分和中心部分的温度差,防止被处理基板W因温度差造成翘曲。
[旋转驱动部28的结构]
如图9和图10所示,旋转驱动部28由在SiC基座118上方保持被处理基板W的保持部件120、固定在上述底座110下面的套管(casing)122、在由套管122划分的内部空间124内,使连接在保持部件120的轴120d上的陶瓷轴126旋转驱动的马达128、用于传递马达128旋转的磁性连接器(magnet coupling)130构成。
在旋转驱动部28中,由于是保持部件120的轴120d贯通石英钟罩112连接在陶瓷轴126上,在陶瓷轴126和马达128的旋转轴之间通过磁性连接器130以非接触式传递驱动力的结构,所以旋转驱动系统的结构紧凑,有利于整个装置小型化。
保持部件120具有从轴120d上端向水平方向呈放射状(圆周方向间隔120度)延伸的腕部120a~120c。被处理基板W呈保持在保持部件120的腕部120a~120c上的状态。这样保持的被处理基板W与保持部件120一起用马达128以一定的旋转速度旋转,这样使因SiC加热器114的发热造成的温度分布均匀化,同时从紫外线光源86、87照射的紫外线强度分布变得均匀,可以在表面上进行均匀成膜。
[升降机构30的结构]
如图9和图10所示,升降机构30被设置在室80的下方、而且在石英钟罩112的侧面,由插入室80内的升降臂132、连接在升降臂132上的升降轴134、使升降轴134升降的驱动部136构成。升降臂132例如用陶瓷或石英制成,如图10所示,具有连接升降轴134上端的连接部分132a、围住SiC基座118外围的环形部分132b。而在升降臂132上设有在圆周方向以120度间隔从环形部分132b的内周向中心延伸的3根接触销138a~138c。
接触销138a~138c是下降到与从SiC基座118的外周向中心延伸形成的槽118a~118c嵌合的位置,利用升降臂132升高移动到SiC基座118上方。此外接触销138a~138c被配置成不干扰从SiC基座118中心向外周一侧延伸形成的保持部件120的腕部120a。
在输送自动机98的机械手把被处理基板W取出之前,使上述接触销138a~138c与被处理基板W下面接触,升降臂132用保持部件120的腕部120a~120c抬起被处理基板W。这样输送自动机98的机械手可以移动到被处理基板W下面,升降臂132下降可以保持被处理基板W而输送。
(石英衬套100的结构)
如图9和图10所示,为了遮挡紫外线,在处理容器22内部装有例如用白色等不透明石英作成的石英衬套100。此外石英衬套100为把后面要叙述的下部箱壳102、侧面箱壳104、上部箱壳106、覆盖石英钟罩112外围的圆筒形箱壳108组合的结构。
此石英衬套100通过覆盖形成处理空间84的处理容器22和盖部件82的内壁,可以得到防止处理容器22和盖部件82热膨胀的隔热效果,同时防止处理容器22和盖部件82内壁因紫外线而氧化,而且具有防止金属污染的作用。
[远程等离子体部27的结构]
如图9和图10所示,向处理空间84提供氮自由基的远程等离子体部27安装在处理容器22的前部22a,通过供给管路90与处理容器22的供给口92连通。
用此远程等离子体部27提供Ar等非活性气体,同时提供氮气。通过把它用等离子体活化,可以形成氮自由基。这样形成的氮自由基沿被处理基板W表面流动,使表面氮化。
也可以实施除了氮气以外,使用O2、NO、N2O、NO2、NH3气体等的氧化、氧氮化自由基工艺。
[闸阀96的结构]
如图9和图10所示,在处理容器22后部设有用于输送被处理基板W的输送口94。此输送口94用闸阀96关闭,仅在要输送被处理基板W时,用闸阀96进行打开动作而开放。
在闸阀96后方设有输送自动机98。与闸阀96的打开动作一致,输送自动机98的机械手从输送口94进入到处理空间84内部,进行交换被处理基板W的操作。
[上述各构成部分的详细说明]
(1)下面对上述气体喷嘴部93的结构进行详细说明。
图18为把气体喷嘴部93的结构放大表示的纵截面图。图19为把气体喷嘴部93的结构放大表示的横截面图。图20为把气体喷嘴部93的结构放大表示的主视图。
如图18至图20所示,气体喷嘴部93在前面中央有连通上述远程等离子体部27供给管路90的连通孔92,在连通孔92的上方安装有多个喷射孔93a1~93an横方向配置为一排的喷嘴板93b1~93b3。喷射孔93a1~93an例如是直径1mm的小孔,隔10mm设置。
此外在本实施例中,设置了由小孔构成的喷射孔93a1~93an,但并不限定于此,例如也可以采用把细槽作为喷射孔设置的结构
此外喷嘴板93b1~93b3被固定在气体喷嘴部93的壁面上。因此从喷射孔93a1~93an喷射的气体从气体喷嘴部93的壁面流向前方。
例如喷射孔93a1~93an被设在管状喷嘴管路上的情况下,从喷射孔93a1~93an喷射的气体的一部分产生返回到喷嘴管路后方的流动,气体滞留在处理空间84内,会产生被处理基板W周围气流不稳定的问题。
可是在本实施例中,由于喷射孔93a1~93an是在气体喷嘴部93的壁面上形成的结构,这样的气体不产生向喷嘴后方返回的现象,可以使被处理基板W周围的气流保持稳定的层流状态。这样被处理基板W上的成膜均匀。
在面对喷嘴板93b1~93b3的内壁上形成起到作为气体滞留功能的凹部93c1~93c3。由于此凹部93c1~93c3设在喷射孔93a1~93an的上游,可以使从各喷射孔93a1~93an喷射的气体各自的流速均匀。这样可以使处理空间84整个区域的流速均匀。
还有凹部93c1~93c3与贯通气体喷嘴部93的气体供给孔93d1~93d3连通。中间的气体供给孔93d2以不与连通孔92交叉的方式在横方向上偏离的位置上形成,弯曲成曲柄形状。
把用第一质量流量控制器97a控制流量的气体通过气体供给管路992提供给中间的气体供给孔93d2。此外把用第二质量流量控制器97b控制流量的气体通过供给管路991、993提供给设置在气体供给孔93d2左右的气体供给孔93d1、93d3
此外第一质量流量控制器97a和第二质量流量控制器97b通过气体供给管路994、995与气体供给部34连接,把从气体供给部34提供的气体流量控制在预先设定的流量。
从第一质量流量控制器97a和第二质量流量控制器97b提供的气体通过气体供给管路991~993到达气体供给孔93d1~93d3,填充到凹部93c1~93c3后,从喷射孔93a1~93an向处理空间84喷射。
处理空间84的气体由于从在处理容器22的前部22a的横向宽度方向延伸的各喷嘴板93b1~93b3的喷射孔93a1~93an向处理空间84的整个宽度喷射,在处理空间84的整个区域以一定流速(层流)流向处理容器22的后部22b侧。
此外由于在处理容器22的后部22b一侧开有在后部22b横向宽度方向延伸的长方形排气孔74,处理空间84内的气体向后方流动,以一定流速(层流)向排气通路32排气。
在本实施例中,由于可以是2个系统的流量控制,例如也可以用第一质量流量控制器97a和第二质量流量控制器97b控制不同的流量。
这样也可以设定成向处理空间84提供的气体流量(流速)不同,使处理空间84内的气体浓度分布改变。也可以用第一质量流量控制器97a和第二质量流量控制器97b提供不同种类的气体,例如用第一质量流量控制器97a进行氮气流量控制,用第二质量流量控制器97b进行氧气流量控制。
使用的气体例如可以例举的有含氧的气体、含氮的气体、稀有气体等。
(2)下面对加热器24的结构进行详细说明。
图21为把加热器部24的结构放大表示的纵截面图。图22为把加热器部24放大表示的仰视图。
如图21和图22所示,把石英钟罩112保持在铝合金制的底座110上,通过凸缘140把加热器部24固定在处理容器22的底部22c上。在石英钟罩112的内部空间113中装入SiC加热器114和热反射部件116。因此SiC加热器114和热反射部件116成为与处理容器22的处理空间84隔开,与处理空间84的气体不接触,不产生污染的结构。
SiC基座118面对SiC加热器114保持在石英钟罩112上,用高温计119检测温度。此高温计119是利用伴随SiC基座118被加热产生的热电效应(热电效应:pyroelectric effect),检测SiC基座118温度的,在控制电路中从用高温计119检测的温度信号推测被处理基板w的温度,根据此推测的温度控制SiC加热器114的发热量。
在后面要叙述的那样使处理容器22的处理空间84减压时,同时减压系统动作,使石英钟罩112的内部空间113减压,以使石英钟罩112的内部空间113和处理空间84的压力差变小。因此石英钟罩112不必考虑减压工序时的压力差而确定壁厚(例如30mm左右),减小热容量后,提高此部分加热时的响应性能。
底座110作成圆盘形,在中央有插入保持部件120的轴120d的中心孔142,在内部设有沿周方向延伸的冷却水用的第一水道144。底座110由于是铝合金制的,热膨胀系数大,通过在第一水道144流过冷却水被冷却。
凸缘140是把夹在底座110和处理容器22底部22c之间的第一凸缘146和嵌合在第一凸缘146的内周上的第二凸缘148组合的结构。在第一凸缘146内周面上设有沿周向延伸形成的冷却水用的第二水道150。
从上冷却水供给部46供给的冷却水通过流经上述水道144和150,冷却因SiC加热器114发热而被加热的底座110和凸缘140,抑制底座110和凸缘140的热膨胀。
此外在底座110下面设有连通使冷却水流入水道144的第一流入管道152的第一流入口154、以及连通把通过水道144的冷却水排出的流出管道156的第一流出口158。在底座110下面外周附近在周向设有多个(例如8~12个部位左右)用于插入紧固第一凸缘146的螺栓160的安装孔162。
在底座110下面半径方向上的中间位置附近,设有由用于检测SiC加热器114温度的热电偶构成的温度传感器164、用于向SiC加热器114提供电源的电源电缆连接用端子(ソルトン端子:Solton terminal)166a~166f。在SiC加热器114中形成3个区域,电源电缆连接用端子166a~166f被设置成向各区域提供电源的+侧端子、-侧端子。
在凸缘140下面设有连通使冷却水流入水道150的第二流入管道168的第二流入口170、以及连通把通过水道150的冷却水排出的流出管道172的第二流出口174。
图23为把第二流入口170和第二流出口174的安装结构放大表示的纵截面图。图24为把凸缘140的安装结构放大表示的纵截面图。
如图23所示,在第一凸缘146上设有连通第二流入口170的L字形连通孔146a。此连通孔146a端部被连通在水道150上。此外第二流出口174也以与上述第二流入口170一样的结构被连通在水道150上。
水道150由于是在凸缘140内部在周向延伸形成,通过冷却凸缘140,也间接冷却了夹在第一凸缘146的台阶部146b和底座110之间的石英钟罩112的凸边部分112a的温度。这样可以抑制石英钟罩112的凸边部分112a在半径方向上的热膨胀。
如图23和图24所示,在石英钟罩112的凸边部分112a下面沿着周向以规定间隔设有多个定位孔178。此定位孔178是与拧在底座110上面的销176配合的孔,作成孔径比销176的外径大,使热膨胀系数大的底座110在半径方向产生热膨胀时,凸边部分112a不承受负荷。也就是允许底座110相对于石英钟罩112的凸边部分112a的热膨胀仅仅为销176和定位孔178的间隙部分。
此外由于石英钟罩112的凸边部分112a相对于第一凸缘146的台阶部146b设置有半径方向的间隙,从此点也可以仅仅允许底座110的此间隙部分的热膨胀。
在石英钟罩112的凸边部分112a下面用装在底座110上面的密封部件(O型圈)180密封,在石英钟罩112的凸边部分112a上面用装在第一凸缘146上密封部件(O型圈)182密封。
在第一凸缘146和第二凸缘148上面用装在处理容器22底部22c上的密封部件(O型圈)184、186密封。此外在第二凸缘148下面用装在底座110上面的密封部件(O型圈)188密封。
这样在底座110和凸缘140之间、凸缘140和处理容器22底部22c之间分别为双重密封的结构,即使某一个密封部件损坏,用另一个密封部件也能密封,所以更进一步提高了对处理容器22和加热器部24之间密封结构的可靠性。
例如石英钟罩112破裂的情况下,或在凸边部分112a产生细裂纹的情况下,用配置在比凸边112a更外侧的密封部件180也能确保石英钟罩112内部的气密性,能阻止处理容器22内的气体流到外部。
或者即使在加热器部24附近的密封部件180、182恶化的情况下,用装在离开加热器部24的位置外侧的密封部件186、188也能保持处理容器22和底座110之间的密封性能,所以可以防止长年时效变化造成的气体泄漏。
如图21所示,SiC加热器114在石英钟罩112内部空间113中被载置在热反射部件116上面,而且用在底座110上面立起的多个夹持机构190保持在规定高度。
此夹持机构190具有抵接在热反射部件116下面的外筒190a、贯通外筒190a抵接在SiC加热器114上面的轴190b、相对轴190b按压外筒190a的螺旋弹簧192。
而由于成为夹持机构190用螺旋弹簧192的弹力夹持SiC加热器114和热反射部件116的结构,例如即使在搬运时引起振动的情况下,SiC加热器114和热反射部件116也可以不与石英钟罩112接触。此外因一直作用有上述螺旋弹簧192的弹力,也可以防止因热膨胀造成弹簧的松弛,能保持SiC加热器114和热反射部件116没有松动的稳定状态。
此外各夹持机构190以相对于底座110可以任意调整SiC加热器114和热反射部件116高度位置的方式构成,利用多个夹持机构190高度位置调整,可以使SiC加热器114和热反射部件116保持水平状态。
用于与SiC加热器114的各端子和穿过底座110的电源电缆连接用端子166a~166f进行电连接的连接部件194a~194f(其中图21中表示了连接部件194a、194c)被安装在石英钟罩112的内部空间113中。
图25为把夹持机构190上端部分的安装结构放大表示的纵截面图。
如图25所示,夹持机构190利用紧固拧入到插通热反射部件116的插通孔116a和SiC加热器114的插通孔114e的轴190b上端的螺母193,通过垫片195把L字形垫片197、199向轴方向按压,夹持SiC加热器114。
SiC加热器114是L字形垫片197、199的圆筒部分197a、199a被插入到插通孔114e,夹持机构190的轴190b插通到圆筒部197a、199a内。L字形垫片197、199的凸边部分197b、199b与SiC加热器114的上面、下面抵接。
夹持机构190的轴190b被用上述螺旋弹簧192的弹力向下作用,而且夹持机构190的外筒190a被用上述螺旋弹簧192的弹力向上作用。这样螺旋弹簧192的弹力起到作为夹持力的作用,所以热反射部件116和SiC加热器114保持稳定,可以防止搬运时的振动造成的破损。
SiC加热器114的插通孔114e孔径比L字形垫片197a、197b的圆筒部分197c、197d大,设置间隙。因此在由于因SiC加热器114的发热产生的热膨胀,插通孔114e和轴190b的位置产生相对位置变化的情况下,插通孔114e可以在抵接L字形垫片197、199的凸边部分197b、199b的状态下在水平方向偏离,可以防止伴随热膨胀产生的应力。
(3)下面对SiC加热器114进行说明。
如图26所示,SiC加热器114由在中心部分形成圆形的第一发热部114a、围绕第一发热部114a外围形成圆弧形的第二、第三发热部114b、114c构成。此外在SiC加热器114的中心设置有保持部件120的轴120d插通的插通孔114d。
发热部114a~114c分别并列连接在加热器控制电路196上,用温度控制器198控制在设定的任意温度。用加热器控制电路196通过控制从电源200向发热部114a~114c提供的电压,控制从SiC加热器114放射的发热量。
此外因发热部114a~114c容量不同的话,电源200的负担增加,所以在本实施例中,以各发热部114a~114c的容量(2KW)相同的方式设定电阻。
加热器控制电路196可以选择使发热部114a~114c同时通电发热的控制方法I、根据被处理基板W的温度分布情况,使中心的第一发热部114a或使外侧的第二、第三发热部114b、114c的某一个发热的控制方法II、根据被处理基板W的温度变化情况,使发热部114a~114c同时发热,使第一发热部114a或第二、第三发热部114b、114c的某一个发热的控制方法III。
被处理基板W在用上述保持部件120保持的状态下边旋转,边用各发热部114a~114c的发热进行加热时,有时由于周边侧和中心部分的温度差造成周边部分向上翘曲。可是在本实施例中,SiC加热器114通过热传导率良好的SiC基座118加热被处理基板W,所以被处理基板W的整体用从SiC加热器114发射的热进行加热,可以抑制被处理基板W的周边部分和中心部分的温度差为小,防止被处理基板W翘曲。
(4)下面对石英钟罩112的结构进行详细说明。
图27A为表示石英钟罩112的结构的俯视图。图27B为表示石英钟罩112的结构的纵截面图。图28A为从上面看石英钟罩112的结构的立体图。图28B为从下面看石英钟罩112的结构的立体图。
如图27A、图27B和图28A、图28B所示,石英钟罩112用透明的石英作成,具有在前述的凸边部分112a的上方形成的圆筒部分112b、覆盖圆筒部分112b上方的顶板112c、从顶板112c中间向下延伸的中空部112d、横跨在凸边部分112a内侧形成的开口的用于增强的梁部112e。
凸边部分112a和顶板112c由于承受负荷,所以要作成比圆筒部分112b厚。此外石英钟罩112由于在纵方向延伸的中空部112d和在横方向延伸的梁部112e在内部交叉,所以能提高上下方向和半径方向的强度。
在梁部112e的中间位置与中空部112d下端部分连接,中空部112d内的插通孔112f也贯通梁部112e。保持部件120的轴120d插通此插通孔112f中。
前述SiC加热器114和热反射部件116插入石英钟罩112的内部空间113中。此外SiC加热器114和热反射部件116作成圆盘形,但是可分割成圆弧形的结构。避开梁部112e后,在插入内部空间113后组装。
在石英钟罩112的顶板112c上在3个部位伸出设置用于支撑SiC基座118的凸起部分112g~112i(间隔120度)。因此被支撑在凸起部分112g~112i上的SiC基座118被保持成仅仅从顶板112c浮起的状态。因此即使由于处理容器22的内部压力变化或产生温度变化,SiC基座118向下方变动的情况下,也能防止接触顶板112c。
此外石英钟罩112内部压力象后面要叙述的那样,由于进行基于减压系统的排气流量的控制,使与处理容器22的处理空间84的压力差在50Torr以下,所以可以使石英钟罩112的壁厚可以作得比较薄。这样由于可以使顶板112c的厚度减薄到6~10mm左右,所以石英钟罩112的热容量变小,提高了加热时的热传导效率,所以可以提高响应性能。本实施例的石英钟罩112被设计成可以承受100Torr压力的强度。
图29为表示减压系统的排气系统结构的系统图。
如图29所示,利用打开阀门48a通过连通排气口74的排气通路32,利用涡轮分子泵50的吸引力使处理容器22的处理空间84减压。此外连接涡轮分子泵50的排气口的真空管路51的下游与吸引被排放的气体的泵(MBP)201连通。
石英钟罩112的内部空间113通过排气管路202与旁路管路51a连接,由旋转驱动部28的套管122划分的内部空间124通过排气管路204与旁路管路51a连接。
检测内部空间113压力的压力计205、使石英钟罩112的内部空间113减压时被打开的阀门206被设置在排气管路202上。此外在旁路管路51a上如前所述设置阀门48b,而且设置把阀门48b旁路的分支管路208。在此分支管路208上设置有用于在减压工序初期阶段打开的阀门210、与阀门48b相比用于减小流量的可变节流阀211。
此外在涡轮分子泵50的排气一侧设置有开闭用的阀门212、检测排气一侧压力的压力计214。在涡轮轴净化用的N2管线被连通在涡轮分子泵50上的涡轮管路216上设置有逆止阀218、节流阀220、阀门222。
上述阀门206、210、212、222由电磁阀构成,用从控制电路来的控制信号打开阀门。
用上述结构的减压系统在进行处理容器22、石英钟罩112、旋转驱动部28的减压工序的情况下,不是一下子减压,而是分阶段减压,逐渐减压到接近真空。
首先打开设在石英钟罩112的排气管路202上的阀门206,石英钟罩112的内部空间113和处理空间84之间通过排气通路32成连通状态,进行压力均匀化。这样在减压工序开始阶段的石英钟罩112的内部空间113和处理空间84之间的压力差变小。
然后使设在上述分支管路208上的阀门210打开,用由可变节流阀211缩小的小流量进行减压。此后使设在旁路管路51a上的阀门48b打开,使排气流量分阶段增加。
而且,比较用压力计205检测的石英钟罩112的压力和用传感器单元85的压力计85a~85c检测的处理空间84的压力,两压力差在50Torr以下时,打开阀门48b。这样在减压工序中,缓和加在石英钟罩112的内外压力差,以在石英钟罩112上不作用有不必要的应力的方式进行减压工序。
经过规定时间后,使阀门48a打开,使用涡轮分子泵50的吸引力造成的排气流量增加,把处理容器22、石英钟罩112、旋转驱动部28内部减压到真空。
(5)下面对上述保持部件120的结构进行说明。
图30A为表示保持部件120结构的俯视图。图30B为表示保持部件120结构的俯视图。
如图30A、图30B所示,保持部件120由支撑被处理基板W的腕部120a~120c、与腕部120a~120c连接的轴120d构成。为了防止在处理空间84中的污染,而且不遮挡从SiC基座118来的热,腕部120a~120c用透明石英作成,以轴120d的上端为中心轴,以120度的间隔在水平方向上放射状延伸。
在腕部120a~120c长度方向的中间位置突出有抵接在被处理基板W下面的凸起部分120e~120g。因此被处理基板W由与凸起部分120e~120g抵接的3个点支撑。
这样由于保持部件120是以点接触支撑被处理基板W的结构,所以可以把被处理基板W保持在稍稍离开SiC基座118一点距离的位置。SiC基座118和被处理基板W的分开的间距例如为1~20mm,优选为3~10mm左右。
也就是被处理基板W以浮在SiC基座118的上方的状态旋转,与直接保持在SiC基座118上的情况相比,从SiC基座118来的热均匀放射,难以产生周边部分和中心部分的温度差,可以防止因温度差造成的被处理基板W的翘曲。
由于被处理基板W保持在离开SiC基座118的位置上,即使产生因温度差造成的翘曲,也不与SiC基座118接触,伴随稳定时的温度均匀化,可以恢复到原来的水平状态。
保持部件120的轴120d用不透明的石英作成棒状,插通上述SiC基座118和石英钟罩112的插通孔112f,向下方延伸。这样保持部件120是在处理空间84内保持被处理基板W的部件,由于是用石英作成,所以与金属相比,不担心污染。
(6)下面对上述旋转驱动部28的结构进行详细说明。
图31为表示配置在加热器24下面的旋转驱动部28结构的纵截面图。图32把旋转驱动部28放大表示的纵截面图。
如图31和图32所示,用于支撑旋转驱动部28的托座230被紧固在加热器24的底座110下面。此托座230设置有旋转位置检测机构232、托座冷却机构234。
保持部件120的轴120d插通固定后的陶瓷轴126插入到托座230的下方,保持支撑陶瓷轴126可以旋转的陶瓷轴承236、237的固定一侧套管122被用螺栓240固定在托座230的下方。
在套管122内由于旋转部分由陶瓷轴126和陶瓷轴承236、237构成,可以防止金属的污染。
套管122具有插通螺栓240的凸缘242、从凸缘238向下方延伸形成的有底筒形的隔壁244。在隔壁244的外周面设置有连通前述减压系统的排气管路204的排气口246,套管122的内部空间124的气体在用前述的减压系统进行的减压工序中,被排气减压。因此可以防止处理空间84内的气体沿保持部件120的轴120d流向外面。
在内部空间124中装有磁性连接器130的从动侧磁体248。为了防止污染,此从动侧磁体248由与陶瓷轴126外周嵌合的磁体盖250覆盖,被安装成与内部空间124内的气体不接触。
磁体盖250是用铝合金作成的环形盖,形成装入内部的环形空间。在内部装入成没有松动的状态。此外磁体盖250的接缝部分用电子束焊接,连成没有间隙,以不象钎焊那样银流出而产生污染的方式进行处理。
在套管122的外周上嵌合方式地设置有筒形形成的大气侧旋转部分252,通过轴承254、255可旋转地支撑。而在大气侧旋转部252内周安装磁性连接器130的驱动侧磁体256。
大气侧旋转部252下端部分252a通过传动部件257与马达128的驱动轴128a连接。因此马达128的旋转驱动力通过设在大气侧旋转部252的驱动侧的磁体256和设在套管122的内部的从动侧磁体248之间的磁力,传递给陶瓷轴126,传递到保持部件120和被处理基板W。
在大气侧旋转部252外侧设有检测大气侧旋转部252的旋转的旋转检测单元258。此旋转检测单元258由安装在大气侧旋转部252下端部分外周上的圆盘形槽板260、261和光学检测槽板260、261旋转量的光断续器262、263构成。
光断续器262、263用托架264固定在固定侧的套管122上。由于用旋转检测单元258同时检测来自一对光断续器262、263对应于旋转速度的脉冲,通过对两个脉冲的比较,可以提高旋转检测精度。
图33A为表示托座冷却机构234结构的横截面图。图33B为表示托座冷却机构234结构的侧视图。
如图33A、图33B所示,托座冷却机构234在托座230内部形成在周方向延伸的冷却水用的水道230a。冷却水供给口230b与水道230a的一端连通,冷却水排出口230c与水道230a的另一端连通。
由冷却水供给部46提供的冷却水从冷却水供给口230b流过水道230a后,从冷却水排出口230c排出,所以可以冷却整个托座230。
图34为表示旋转位置检测机构232结构的横截面图。
如图34所示,托座230的一侧面上安装有发光元件266,在托座230的另一侧面上安装有接受来自发光元件266的光的光接收元件268。
此外在托座230中间上下贯通有保持部件120的轴120d穿过的中央孔230d,与此中央孔230d交叉地设置横方向贯通的贯通孔230e、230f。
发光元件266被插入在一贯通孔230e的端部,光接收元件268被插入在另一贯通孔230f的端部。由于在贯通孔230e和230f之间插入轴120d,从光接收元件268的输出变化可以检测轴120d的旋转位置。
(7)下面对旋转位置检测机构232的结构和作用进行详细说明。
图35A为表示旋转位置检测机构232非检测状态的图示。图35B为表示旋转位置检测机构232的检测状态的图示。
如图35A所示,保持部件120的轴120d实施在外周上切线方向的倒角加工。此倒角部120i在发光元件266和光接收元件268的中间位置转动时,变成与从发光元件266发射的光平行。
此时从发光元件266发射的光通过倒角部120i的侧面照射到光接收元件268。这样光接收元件268的输出信号S变成接通,提供给旋转位置判断电路270。
如图35B所示,保持部件120的轴120d转动,倒角部120i的位置偏离中间位置后,从发光元件266发射的光被轴120d遮挡,向旋转位置判断电路270发送的输出信号S变为断开。
图36A为表示旋转位置检测机构232的光接收元件268的输出信号S的波形图。图36B为表示从旋转位置判断电路270输出的脉冲信号P的波形图。
如图36A所示,光接收元件268根据轴120d的转动位置,来自发光元件266的光的受光量(输出信号S)呈抛物线形变化。用旋转位置判断电路270设定对此输出信号S的阀值H,输出信号S在阀值H以上时输出脉冲P。
此脉冲P作为检测保持部件120的旋转位置的检测信号被输出。也就是旋转位置判断电路270如图10所示,判断保持部件120的腕部120a~120c是在不干扰升降臂132的接触销138a~138c,而且不干扰输送自动机98的机械手的位置,输出此检测信号(脉冲P)。
(8)下面对控制电路根据来自上述旋转位置判断电路270输出的检测信号(脉冲P)而实施的旋转位置控制处理进行说明。
图37为用于说明控制电路执行的旋转位置控制处理的流程图。
如图37所示,控制电路在S11中有指示被处理基板W的旋转的控制信号后,进入S12,使马达128启动。随后进入S13,检查光接收元件268的信号是否接通。在S13光接收元件268的信号为接通时,进入S14,从检测信号(脉冲P)的周期计算保持部件120和被处理基板W的转数。
然后进入S15,检查保持部件120和被处理基板W的转数n是不是预先设定的目标转数na。在S15中,在保持部件120和被处理基板W的转数n没有达到目标转数na时,返回到上述S13,再次检查马达128的转数是不是提高了。
在上述S15中n=na时,由于保持部件120和被处理基板W的转数n达到目标转数na,进入S17,检查是不是有马达停止的控制信号。在S17中没有马达停止的控制信号时,返回到上述S13,有马达停止的控制信号时,进入S18,使马达128停止。然后在S19检查光接收元件268的信号是否接通,反复进行到光接收元件268的信号变为接通。
这样保持部件120的腕部120a~120c可以停止在不干扰升降臂132的接触销138a~138c,而且不干扰输送自动机98的机械手的位置。
在上述旋转位置控制处理中,对使用从来自光接收元件268的输出信号的周期求出转数的方法的情况进行了说明,例如累计从前述的光断续器262、263输出的信号也可以求出转数。
(9)下面对在处理容器122侧面形成的窗口75、76的结构进行详细说明。
图38为从上面看窗口75、76的安装位置的横截面图。图39为表示把窗口75放大表示的横截面图。图40为表示把窗口76放大表示的横截面图。
如图38和图39所示,第一窗口75用于把气体提供给处理容器122内部形成的处理空间84,并减压到真空,是气密性更高的结构。
窗口75为具有透明石英272和遮挡紫外线的UV玻璃274的双重结构。透明石英272以抵接在窗口安装部276上的状态,用小螺钉277将第一窗框278拧住固定在窗口安装部276上。在窗口安装部276外面与透明石英272之间装有进行气密封的密封部件(O型圈)280。此外以使UV玻璃274抵接的状态用小螺钉284将第二窗框282拧住固定在第一窗框278外面。
这样窗口75用UV玻璃274遮挡从紫外线光源(UV灯)86、87照射的紫外线,防止向处理空间84外部泄漏,同时用密封部件280的密封效果防止提供给处理空间84的气体流到外部。
此外贯通处理容器22侧面的开口286以向处理容器22的中央,也就是向保持在保持部件120上的被处理基板W的中心倾斜的方式贯通。因此窗口75设置在偏离处理容器22侧面中心的位置,而作成向横方向可以看得更宽的椭圆形,可以从外部辨认被处理基板W的状态。
此外第二窗口76为与上述窗口75相同的结构,具有透明石英292和遮挡紫外线的UV玻璃294的双重结构。透明石英292以抵接在窗口安装部296上的状态,用小螺钉297将第一窗框298拧住固定在窗口安装部296上。在窗口安装部296外面与透明石英292之间装有进行气密封的密封部件(O型圈)300。此外以使UV玻璃294抵接的状态用小螺钉304将第二窗框302拧住固定在第一窗框298外面。
这样窗口76用UV玻璃294遮挡从紫外线光源(UV灯)86、87照射的紫外线,防止向处理空间84外部泄漏,同时用密封部件300的密封效果防止提供给处理空间84的气体流到外部。
在本实施例中,把在处理容器22侧面配置一对窗口75、76的结构作为一个示例进行了说明,但是并不限定于此,当然设置3个以上的窗口也可以,或在除了侧面以外的部位设置也可以。
(10)下面对构成石英衬套100的各箱壳102、104、106、108进行说明。
如图9和图10所示,石英衬套100是把下部箱壳102、侧面箱壳104、上部箱壳106、圆筒形箱壳108组合构成,分别用不透明的石英作成,以对铝合金制的处理容器22进行气体和紫外线的防护,同时防止因处理容器22造成的金属污染为目的而设置。
图41A为表示下部箱壳102结构的俯视图。图41B为表示下部箱壳102结构的侧视图。
如图41A、图41B所示,下部箱壳102作成轮廓形状对应于处理容器22内壁形状的板状,在它的中央形成面对SiC基座118和被处理基板W的圆形开口310。此圆形开口310作成圆筒形箱壳108可以插入的尺寸,在内周边以120度间隔设置用于插入保持部件120的腕部120a~120c的前端部分的凹部310a~310c。
凹部310a~310c的位置为保持部件120的腕部120a~120c不干扰升降臂132的接触销138a~138c,而且不干扰输送自动机98的机械手的位置。
在下部箱壳102上设置面对在处理容器22底部形成的排气口74的长方形开口312。下部箱壳102在下面非对称位置地设置定位用凸起314a、314b。
在上述圆形开口310的内周边形成用于与后面要叙述的圆筒形箱壳108的凸起嵌合的凹部310d。在下部箱壳102的周边部位设有与侧面箱壳104嵌合的台阶部(段部)315。
图42A为表示侧面箱壳104结构的俯视图。图42B为表示侧面箱壳104结构的主视图。图42C为表示侧面箱壳104结构的后视图。图42D为表示侧面箱壳104结构的左视图。图42E为表示侧面箱壳104结构的右视图。
如图42A~图42E所示,侧面箱壳104作成外形对应于处理容器22内壁形状的四角作成R形的大体为方形的框架形状,在内侧形成处理空间84。
侧面箱壳104在正面104a上设置有面对前述气体喷嘴部93的多个喷射口93a的在横方向延伸的细长形状的狭缝316、设置在面对与远程等离子体部27连通的连通孔92的位置上的U字形开口317。在本实施例中为狭缝316和开口317连通的结构,但也可以形成作为各自独立的开口。
此外侧面箱壳104在背面104b在面对输送口94的位置上形成用于前述输送自动机98的机械手通过的凹部318。
侧面箱壳104在左侧面104c上形成面对前述的传感器单元85的圆形孔319,在右侧面104d上形成面对前述的窗口75、76和传感器单元77的孔320~322。
图43A为表示上部箱壳106结构的仰视图。图43B为表示上部箱壳106结构的侧视图。
如图43A、图43B所示,上部箱壳106形成轮廓形状与处理容器22的内壁形状对应的板状,在面对紫外线光源(UV灯)86、87的位置形成长方形开口324、325。此外在上部箱壳106的周边部分设置与侧面箱壳104嵌合的台阶部(段部)326。
此外上部箱壳106设置有对应于盖部件82形状的圆形孔327~329和长方形的方孔330。
图44A为表示圆筒形箱壳108结构的俯视图。图44B为表示圆筒形箱壳108结构的侧面纵截面图。图44C为表示圆筒形箱壳108结构的侧视图。
如图44A~图44C所示,圆筒形箱壳108形成覆盖石英钟罩112四周的筒形,在上端边缘设置插入升降臂132的接触销138a~138c的凹部108a~108c。此外圆筒形箱壳108在上端部分的外周边形成与下部箱壳102的凹部310d嵌合的位置调整用凸起108d。
(11)下面对升降机构30的密封结构进行说明。
图45为把升降机构30放大表示的纵截面图。图46为把升降机构30的密封结构放大表示的纵截面图。
如图45和图46所示,升降机构30以用驱动部136使升降轴134升降,在使插入室80内的升降臂132升降时,以插入室80的贯通孔80a内的升降轴134的外围用蛇腹形波纹管332覆盖,防止在室80内的污染的方式构成。
蛇腹形波纹管332为蛇腹部可以伸缩的形状,例如用镍铬铁耐热耐蚀合金(inconel,因科镍合金)和哈斯特洛依耐蚀耐热镍基合金(Hastelloy)制成。此外贯通孔80a用插通了升降轴134的盖部件340封闭。
此外圆筒形陶瓷盖338被嵌合固定在升降轴134的上端用螺栓334紧固的升降臂132的连接部件336上。此陶瓷盖338由于从连接部件336向下方延伸形成,所以被设置成通过覆盖波纹管332四周而不在室80内直接露出。
因此波纹管332在处理空间84中使升降臂132上升时,向上方伸开,用陶瓷作成的圆筒形盖338覆盖。因此波纹管332利用可以升降地插入贯通孔80a的圆筒形盖338,使处理空间84的气体和热不直接暴露,可以防止气体和热造成的恶化。
(12)下面对使用基板处理装置20进行的被处理基板W表面的紫外线光自由基氧化处理和此后进行的远程等离子体自由基氮化处理进行说明。
[紫外线自由基氧化处理]
图47A为表示使用图2的基板处理装置20进行被处理基板W的自由基氧化情况的侧视图和俯视图。图47B为表示图47A结构的俯视图。
如图47A所示,从气体喷嘴部93向前述处理空间84提供氧气,沿被处理基板W表面流动后,通过排气口74、涡轮分子泵50和泵201排气。通过使用涡轮分子泵50把前述处理空间84的处理压力设定为基板W的用氧自由基形成的氧化需要的10-3~10-6Torr范围。
与此同时,优选通过驱动产生172nm波长的紫外线光的紫外线光源86、87,在这样形成的氧气流中形成氧自由基。形成的氧自由基沿前述被处理基板W表面流动时,使转动中的基板表面氧化。通过这样的被处理基板W的基于氧自由基形成的氧化,可以稳定地再现性良好地在硅基板表面形成1nm以下的膜厚非常薄的氧化膜,特别是相当于2~3原子层的约0.4nm的膜厚的氧化膜。
如图47B所示,可以看出,紫外线光源86、87是在与氧气流动方向交叉的方向上延伸的管状的光源,涡轮分子泵50通过排气口74对处理空间84排气。另一方面从前述排气口74直接到泵50的图47B中用虚线表示的排气通路利用关闭阀门48b切断。
图48为表示在图2的基板处理装置20中使用图47A、图47B的工序,设定基板温度为450℃,使紫外线照射强度和氧气流量或氧气分压进行各种变化的情况下,在硅基板表面形成硅氧化膜时的膜厚和氧化时间的关系。但是在图48的试验中,在自由基氧化前除去硅基板表面上的自然氧化膜,此外根据情况不同在紫外线激发氮自由基中除去在基板表面上残留的碳,再通过在Ar气的氛围中在约950℃进行高温热处理,使基板表面平坦化。此外作为前述紫外线光源86、87,使用波长为172nm的激元灯(excimer lamp)。
参照图48,系列1的数据表示把紫外线光照射强度设定为紫外线光源24B的窗面上的基准强度(50mW/cm2)的5%,在设定处理压力为665mPa(5mTorr)、氧气流量为30SCCM的情况下的氧化时间和氧化膜厚的关系,系列2的数据表示把紫外线光照射强度设定为零,在设定处理压力为133Pa(1Torr)、氧气流量为3SLM的情况下的氧化时间和氧化膜厚的关系。系列3的数据表示把紫外线光照射强度设定为零,在设定处理压力为2.66Pa(20mTorr)、氧气流量为150SCCM的情况下的氧化时间和氧化膜厚的关系,系列4的数据表示把紫外线光照射强度设定为100%,也就是前述基准强度,在设定处理压力为2.66Pa(20mTorr)、氧气流量为150SCCM的情况下的氧化时间和氧化膜厚的关系。系列5的数据表示把紫外线光照射强度设定为基准强度的20%,在设定处理压力为2.66Pa(20mTorr)、氧气流量为150SCCM的情况下的氧化时间和氧化膜厚的关系,系列6的数据表示把紫外线光照射强度设定为基准强度的20%,在设定处理压力为67Pa(0.5Torr)、氧气流量为0.5SLM的情况下的氧化时间和氧化膜厚的关系。系列7的数据表示把紫外线光照射强度设定为基准强度的20%,在设定处理压力为665Pa(5Torr)、氧气流量为2SLM的情况下的氧化时间和氧化膜厚的关系,系列8的数据表示把紫外线光照射强度设定为基准强度的5%,在设定处理压力为2.66Pa(20mTorr)、氧气流量为150SCCM的情况下的氧化时间和氧化膜厚的关系。
在图48的试验中,氧化膜的厚度用XPS法求出,现在还没有这样求出降低到1nm以下的非常薄的氧化膜的统一的方法。
本发明的发明人对图49所示观察的Si2p轨道的XPS的谱线进行背景修正和3/2和1/2自旋状态的分离修正,以得到此结果的图50所示的Si2p 3/2XPS谱线为基础,按照Lu等(Z.H.Lu,et al.,Appl.Phys,Lett.71(1997),pp.2764)的指教,使用公式(1)所示的公式和系数,求出氧化膜的膜厚d。
d=λsinα·ln[IX+/(βI0+)+1]    (1)
λ=2.96
β=0.75
其中公式(1)中的α为图55所示的XPS谱线的检测角,在图示的例中设定为30°。此外式1中IX+为对应于氧化膜的谱线峰值的积分强度(IIX+I2X+I3X+I4X),对应于图50中在102~104eV的能量区域中看到的峰值。另一方面I0+为对应于100eV附近的能量区域的、因硅基板产生的谱线峰值的积分强度。
再参照图48认识到,在按紫外线照射功率形成的氧自由基密度小的情况(系列1、2、3、8)下,最初氧化膜的氧化膜厚是0nm,随氧化时间的增加氧化膜厚逐渐增加,与此相反,在紫外线光照射功率设定为基准强度的20%以上的系列4、5、6、7的情况下,象图51简要表示的那样,氧化膜生长在生长开始后,大约达到0.4nm的膜厚时停止,经过一定的停留时间后再重新急剧生长。
图48或图51的关系表明,在硅基板表面的氧化处理中,可以稳定形成0.4nm左右膜厚的非常薄的氧化膜。此外象在图48可以看到的那样,从这样的停留时间一定程度的继续可以看出,形成的氧化膜具有同样的厚度。也就是采用本发明的话,可以在硅基板上以同样的厚度形成约0.4nm厚度的氧化膜。
图52A、图52B简要表示向这样的硅基板上形成薄的氧化膜过程。在这些图中,应该注意到硅(100)基板上的结构非常简单。
参照图52A,在硅基板表面上每1个硅原子和2个氧原子结合,形成1个原子层的氧层。在此代表性的状态下,由基板内部的2个硅原子和基板表面的2个氧原子配位,基板表面的硅原子形成低氧化物(suboxide)。
与此相反,在图52B的状态下,硅基板最上部的硅原子由4个氧原子配位,得到稳定的Si4+状态。其原因可以认为在图52A的状态下氧化快速进行,到了图52B状态氧化停留。在图52B的状态下的氧化膜的厚度约为0.4nm,这与在图48中观察到的停留状态下的氧化膜厚非常一致。
在图50的XPS谱线中,在氧化膜厚为0.1nm或0.2nm的情况下,在101~104eV的能量范围可以看到的低的峰值对应于图52A的低氧化物,氧化膜厚度超过0.3nm的情况下,在此能量区域表现的峰值为Si4+产生的,认为表示形成超过1原子层的氧化膜。
这样的0.4nm的膜厚中的氧化膜厚的停留现象不限定在图47A、图47B的UVO2自由基氧化工艺中,认为只要是同样可以高精度形成薄氧化膜的氧化膜形成方法,是同样可以看到的现象。
从图52B的状态再继续氧化的话,氧化膜的厚度再增加。
图53表示在通过这样使用了基板处理装置20的图47A、图47B的紫外线光自由基氧化工艺而形成的氧化膜上,形成厚度为0.4nm的ZrSiOx膜和电极膜(参照后面说明的图54B),对于得到的层叠结构求出的热氧化膜换算膜厚Teq和漏电流Ig的关系。但是图53的漏电流特性是在上述电极膜和硅基板之间以平带电压Vfb为基准,在施加Vfb-0.8V的电压状态下测定。为了进行比较,图53中也表示热氧化膜的漏电流特性。此外图中所示的换算膜厚是对氧化膜和ZrSiOx膜合在一起的结构的换算膜厚。
参照图53可以看出,在省掉氧化膜的情况下,也就是在氧化膜的膜厚为0nm的情况下,漏电流密度超过热氧化膜的漏电流密度,此外热氧化膜换算膜厚Teq也是约为1.7nm左右比较大的值。
与此相反,使氧化膜的膜厚从0nm增加到0.4nm后,热氧化膜换算膜厚Teq的值开始减少。以这样的状态氧化膜夹在硅基板和ZrSiOx膜之间,物理膜厚实际上理应增加,但换算膜厚Teq却减小,这表明在硅基板上直接形成ZrO2膜的情况下,如图54A所示,大规模产生Zr向硅基板中扩散或Si向ZrSiOx膜中扩散,在硅基板和ZrSiOx膜之间形成厚的界面层。与此相反,如图54B所示,可以认为通过厚度为0.4nm的氧化膜夹在中间,抑制形成这样的界面层,其结果换算膜厚减小。可以看出随之漏电流值也和氧化膜的厚度一起减小。但是图54A、图54B表示这样形成的试样的简单断面,表示了在硅基板441上形成氧化膜442,在氧化膜442上形成ZrSiOx膜443的结构。
另一方面上述氧化膜的膜厚超过0.4nm后,热氧化膜换算膜厚的值重新开始增加。在氧化膜的膜厚超过0.4nm的范围内,膜厚增加的同时,漏电流值也减少,换算膜厚的增加认为是因为氧化膜的物理膜厚增加的原因。
这样可以看出,在图48观察到的氧化膜生长停留的0.4nm附近的膜厚对应于由氧化膜和高电介质膜构成的系列的换算膜厚的最小值,用图52(B)所示的稳定的氧化膜可以有效地阻止Zr等的金属元素向硅基板中的扩散,此外在此以上即使使氧化膜的厚度增加,阻止金属元素的扩散效果不会提高那么多。
使用0.4nm厚度的氧化膜的情况下的漏电流值要比对应厚度的热氧化膜的漏电流值小二个数量级,可以看出通过把这样结构的绝缘膜用于MOS晶体管的栅极绝缘膜,可以使栅极漏电流最小化。
在图48和图51中说明的氧化膜生长的在0.4nm的停留现象的结果如图55A所示,即使在硅基板441上形成的氧化膜442存在最初的膜厚变化以至凹凸,氧化膜生长时膜厚的增加如图55B所示在0.4nm附近停留,所以在停留期间氧化膜生长继续进行,可以得到图55C所示的非常平坦的同样膜厚的氧化膜442。
如前面所说明的那样,对于非常薄的氧化膜现在没有统一的膜厚检测方法。因此图55C的氧化膜442的膜厚本身因检测方法不同有可能不同。可是由于前面说明的原因,知道了氧化膜生长中产生生长停留的厚度为2个原子层的厚度,因此可以认为优选的氧化膜442的膜厚为约2个原子层的厚度。此优选的厚度也包括部分形成3个原子层厚度的区域,以确保2个原子层的厚度遍及整个氧化膜442。也就是可以认为优选氧化膜的厚度实际为2~3个原子层的范围。
[远程等离子体自由基氮化处理]
图56为表示在基板处理装置20中使用的远程等离子体部27的结构的图示。
如图56所示,远程等离子体部27包括在内部形成气体循环通路27a和与它连通的气体入口27b和气体出口76c的、典型的由铝构成的块体27A,在前述块体27A的一部分上形成铁氧体磁心27B。
前述气体循环通路27a和气体入口27b、气体出口27c的内面实施涂布氟树脂27d,通过向前述铁氧体磁心27B上缠绕的线圈提供频率为400kHz的高频电,在前述气体循环通路27a内形成等离子体27C。
随等离子体27C的激发,在前述气体循环通路27a中形成氮自由基和氮离子,氮离子在上述循环通路27a中循环时消失,从上述气体出口27c主要释放出氮自由基N2*。再有通过在图56的结构中在前述气体出口27c设置接地的离子过滤器27e,除去以氮离子为主的带电粒子,仅把氮自由基提供给处理空间84。此外即使在前述离子过滤器27e不接地的情况下,前述离子过滤器27e的结构起到作为扩散板的作用,可以充分除去以氮离子为主的带电粒子。
图57为表示用远程等离子体部27形成的离子数和电子能量的关系,与微波等离子体源的情况进行对比。
如图57所示,用微波激发等离子体的情况下,促进氮分子的离子化,形成大量的氮离子。与此相反,用500kHz以下高频激发等离子体的情况下,形成的氮离子数量大幅度减少。用微波进行等离子体处理的情况下,如图58所示,需要1.33×10-3~1.33×10-6Pa(10-1~10-4Torr)的高真空,而高频等离子体处理在13.3~13.3kPa(0.1~100Torr)的比较高的压力下就可以实施。
下面的表1表示在用微波激发等离子体的情况和由高频激发等离子体的情况之间,离子化能量变换效率、可以放电的压力范围、等离子体消耗的电能、处理气体流量的对比。
表1
离子化能量变换效率 可以放电的压力范围 等离子体消耗的电能 处理气体流量
微波 1.00×10-2 0.1m~0.1Torr 1~500W 0~100SCCM
高频 1.00×10-7 0.1~100Torr 1~10kW 0.1~10SLM
参照表1可以看出,离子化能量变换效率在用微波激发等离子体的情况下,约为1×10-2左右,与此相反在RF激发的情况下,减少到约为1×10-7,此外可以放电的压力范围在用微波激发的情况下为0.1mTorr~0.1Torr(133mPa~13.3Pa),与此相反在RF激发的情况下,为0.1~100Torr(13.3Pa~13.3kPa)左右。随之等离子体消耗的电能RF激发的情况比用微波激发的情况大,处理气体流量是RF激发的情况也远大于用微波激发的情况。
在基板处理装置20中,不是用氮离子而是氮自由基N2 *进行氧化膜的氮化处理,因此优选被激发的氮离子数少的一方。从使加在被处理基板上的损伤最小的观点,也优选激发的氮离子数少。此外用基板处理装置20适合于被激发的氮离子数少,使高电介质栅极氧化膜下的非常薄的尽可能只有2~3原子层程度厚度的基底氧化膜的氮化。
图59A、图59B为分别表示使用基板处理装置20进行的被处理基板W的自由基氮化情况的侧视图和俯视图。
如图59A、图59B所示,向远程等离子体部27提供Ar气和氮气,通过用数100kHz的频率高频激发等离子体,形成氮自由基。形成的氮自由基沿前述被处理基板W表面流动,通过前述排气口74和泵201排气。其结果前述处理空间84被设定为适合基板W自由基氮化的1.33Pa~13.3kPa(0.01~100Torr)范围的处理压力。这样形成的氮自由基沿前述被处理基板W表面流动时,使被处理基板W表面氮化。
在图59A、图59B的氮化工序中,在氮化工序之前的净化工序中,打开前述阀门48a和212,利用关闭阀门48a使前述处理空间84的压力被减压到1.33×10-1~1.33×10-4pa的压力,净化残留在处理空间84中的氧和水分,在此后的氮化处理中,关闭阀门48a和212,涡轮分子泵50不包括在处理空间84的排气通路中。
这样通过使用基板处理装置20,在被处理基板W表面形成薄的氧化膜,可以再使此氧化膜表面氮化。
图60A为表示把利用基板处理装置20在Si基板上用热氧化处理形成2.0nm厚度的氧化膜,使用远程等离子体部27在表2所示的条件下进行氮化的情况下,在上述氧化膜中的氮浓度分布,图60B为表示在相同氧化膜中的氮浓度分布和氧浓度分布的关系。
表2
氮流量 Ar流量  等离子体功率  压力  温度
微波 15SCCM -  120W  8.6mTorr  500℃
高频 50SCCM  2SLM  2kW  1Torr  700℃
参照表2,使用基板处理装置20的RF氮化处理时,使氮以50SCCM的流量、此外使Ar以2SLM的流量提供给前述处理空间84,氮化处理在1Torr(133Pa)的压力下进行,但是,氮化处理开始前暂时把处理空间84的内压减压到10-6Torr(1.33×10-4pa)的程度,充分净化残留在内部的氧和水分。因此在前述1Torr左右的压力下进行的氮化处理时,用Ar和氮稀释在处理空间84中的残留氧,按照残留氧浓度,残留氧的热力学活度变得非常小。
与此相反,在使用微波等离子体的氮化处理中,氮化处理时的处理压力为与净化压力相同的程度,因此可以认为在等离子体氛围中残留氧有高的热力学活度。
参照图60A可以看出,用微波激发的等离子体氮化的情况下,限制了导入氧化膜中的氮浓度,氧化膜的氮化实际上不进行。与此相反,对用本实施例这样的RF激发的等离子体进行氮化的情况下,在氧化膜中氮浓度随着深度呈直线变化,靠近表面达到接近20%的浓度。
图61为简要表示使用XPS(X射线分光光谱)进行的图60A的检测原理。
参照图61,在硅基板411上形成有氧化膜412的试样上以规定的角度倾斜照射X射线,用检测器DET1、DET2在各个角度下检测激发的X射线谱线。此时例如在设定在90°的深检测角的检测器DET1中,激发的X射线在氧化膜412内的路径短,因此用前述检测器DET1检测的X射线谱线中含有更多氧化膜412下部的信息,在设定在浅的检测角的检测器DET2,激发的X射线在氧化膜412内的路径长,因此检测器DET2主要检测氧化膜412表面附近的信息。
图60B为表示在相同氧化膜中的氮浓度分布和氧浓度分布的关系。其中图60B中氧浓度用对应于O1s轨道上的X射线强度表示。
参照图60B可以看出,象本发明那样用RF远程等离子体进行氧化膜氮化的情况下,随氮浓度的增加氧浓度减少,在氧化膜中氮原子置换氧原子。与此相反,用微波等离子体进行氧化膜氮化的情况下,没有发现这样的置换关系,没能看到随着氮浓度而氧浓度降低的关系。此外特别是在图60B中,用微波氮化导入5~6%的氮的示例中,发现氧浓度增加,这表明这是由于与氮化同时的氧化膜的增膜引起的。伴随这样微波氮化的氧浓度的增加可以考虑是微波氮化在高真空中进行,因此残留在处理空间中的氧或水分不是象高频远程等离子体氮化的情况那样用Ar和氮气稀释,在氛围中有高活度造成的。
图62为表示在基板处理装置20中形成4(0.4nm)和7(0.7nm)厚的氧化膜,把它用前述远程等离子体部27按图59、59B的氮化工序进行氮化的情况下,氮化时间和膜中氮浓度关系。此外图63表示随图62的氮化处理的氮向氧化膜表面的偏析状态。在图62和图63中也表示利用快速热氧化处理形成5(0.5nm)和7(0.7nm)厚的氧化膜的情况。
参照图62,膜中的氮浓度在所有的氧化膜中都是随氮化处理时间的增加同时升高,特别是具有对应于用紫外线光自由基氧化形成的2原子层的0.4nm膜厚的氧化膜的情况下或者是具有与此接近的0.5nm膜厚的热氧化膜的情况,由于氧化膜薄,在同一成膜条件下膜中的氮浓度升高。
图63表示在图61中使检测器DET1和DET2分别设定在30°和90°的检测角而检测到氮浓度后的结果。
从图63可以看出,图63的纵轴为把从用在30°的检测角得到的偏析在膜表面的氮原子发出的X射线谱线的强度用从在90°的检测角得到的分散在整个膜上的氮原子发出的X射线谱线的强度去除的值,把它定义为氮偏析率。此值在1以上的情况下,产生氮向表面的偏析。
参照图63可以认为,利用紫外线光激发氧自由基处理形成7膜厚的氧化膜情况下,氮偏析率为1以上,氮原子偏析在初始表面上,成为图1中氧氮化膜12A的状态。此外可以看出,进行90秒钟的氮化处理后,膜中几乎为相同的分布。可以看出即使使用其他的膜,用90秒钟的氮化处理,氮原子在膜中的分布几乎相同。
在图64的试验中,在基板处理装置20中,对10块体晶片(晶片#1~晶片#10)反复进行前述紫外线光自由基氧化处理和远程等离子体氮化处理。图64表示这样得到的氧氮化膜的每个晶片的膜厚的变化。其中图64的结果为在基板处理装置20中驱动紫外线光源86、87进行的紫外线光自由基氧化处理时,形成用XPS检测求出的氧化膜膜厚为0.4nm的氧化膜,然后把这样形成的氧化膜通过驱动前述远程等离子体部27进行的氮化处理,变换成含约4%氮原子的氧氮化膜的情况。
参照图64可以看出,纵轴表示对这样得到的氧氮化膜用偏振光分析测定法求出的膜厚,象从图64可以看出的那样,得到的膜厚大致为8(0.8nm),是一定的。
图65为表示用基板处理装置20由使用紫外线光源86、87的自由基氧化处理形成0.4nm的氧化膜后,把它用远程等离子体部27进行氮化的情况下,调查因氮化造成膜厚增加的结果。
参照图65可以看出,初始(进行氮化处理前)膜厚约0.38nm的氧化膜因氮化处理导入4~7%的氮原子时,膜厚约增加到0.5nm。另一方面因氮化处理导入约15%的氮原子的情况下,膜厚约增加到1.3nm,认为这种情况下导入的氮原子通过氧化膜浸入到硅基板中,形成氮化膜。
图65中对于在厚度为0.4nm的氧化膜中仅导入一层的理想模式结构的氮浓度和膜厚的关系用▲表示。
参照图65,在此理想的模式结构中氮原子导入后的膜厚变成约0.5nm,此情况下膜厚的增加约0.1nm,氮浓度约为12%。以此模式为基准的话,用基板处理装置20进行氧化膜的氮化的情况下,结论是膜厚的增加优选抑制在相同程度的0.1~0.2nm。此外此时进入膜中的氮原子的量估计最多为12%左右。
在以上说明中,说明了使用基板处理装置20形成非常薄的基底氧化膜的示例,但是本发明并不限定这样特定的实施例,在硅基板或硅层上可以形成所要求膜厚的氧化膜、氮化膜或氧氮化膜。
以上对本发明利用优选实施例进行了说明,但本发明并不限定于上述特定的实施例,在专利权利要求范围中所述的要点内可以进行各种各样的变化-变更。

Claims (10)

1.一种基板处理装置,其特征在于,具有:
在内部划成有处理空间的处理容器;
把插入所述处理空间中的被处理基板加热到规定温度的加热器部;和
把所述被处理基板保持在所述加热器部上方的保持部件,
所述加热器部使发热体收容在用石英形成的透明箱壳内部。
2.如权利要求1所述的基板处理装置,其特征在于,
具有使所述处理容器内部减压,并使所述透明箱壳的内部空间减压的减压部件。
3.如权利要求1所述的基板处理装置,其特征在于,
在所述透明箱壳上面设置用所述发热体加热的SiC制加热板,此加热板的上面靠近并面对由所述保持部件保持的所述被处理基板的下面。
4.如权利要求1所述的基板处理装置,其特征在于,
所述发热体在被处理基板具有以所述保持部件的轴为中心的作成圆形的第一SiC发热部、围在所述第一发热部外周边形成的第二、三SiC发热部,
选择切换向第一SiC发热部或第二、三SiC发热部的某一个或两个提供的电源。
5.如权利要求1所述的基板处理装置,其特征在于,
所述透明箱壳设置底座上,具有:
抵接在所述底座上的圆筒部;和
覆盖此圆筒部的上面的顶板,
以与所述底座一起覆盖所述内部空间的方式形成。
6.如权利要求1所述的基板处理装置,其特征在于,
所述保持部件具有由透明石英作成的多个腕部,
用此多个腕部支撑所述被处理基板的下面。
7.如权利要求3所述的基板处理装置,其特征在于,
所述加热板以面对支撑在所述保持部件的多个腕部上的所述被处理基板下面的方式载置在所述透明箱壳的顶板上。
8.如权利要求1所述的基板处理装置,其特征在于,
把从所述发热体发射的热反射到上方的热反射部件设置在所述发热体下方。
9.如权利要求8所述的基板处理装置,其特征在于,
所述热反射部件以面对所述发热体下方的状态被夹持。
10.如权利要求5所述的基板处理装置,其特征在于,
所述透明箱壳具有沿所述圆筒部的周方向提供冷却水的水道。
CN038228297A 2002-09-24 2003-09-22 基板处理装置 Expired - Fee Related CN1685485B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP278198/2002 2002-09-24
JP2002278198A JP3887291B2 (ja) 2002-09-24 2002-09-24 基板処理装置
PCT/JP2003/012084 WO2004030064A1 (ja) 2002-09-24 2003-09-22 基板処理装置

Publications (2)

Publication Number Publication Date
CN1685485A true CN1685485A (zh) 2005-10-19
CN1685485B CN1685485B (zh) 2013-01-16

Family

ID=32040422

Family Applications (1)

Application Number Title Priority Date Filing Date
CN038228297A Expired - Fee Related CN1685485B (zh) 2002-09-24 2003-09-22 基板处理装置

Country Status (8)

Country Link
US (1) US7771536B2 (zh)
EP (1) EP1544903A4 (zh)
JP (1) JP3887291B2 (zh)
KR (1) KR100722087B1 (zh)
CN (1) CN1685485B (zh)
AU (1) AU2003266564A1 (zh)
TW (1) TWI237303B (zh)
WO (1) WO2004030064A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101796898B (zh) * 2007-09-05 2012-07-04 高美科株式会社 基板支撑单元以及具有该支撑单元的基板处理装置
CN105408046A (zh) * 2013-07-23 2016-03-16 千住金属工业株式会社 软钎焊装置和真空软钎焊方法

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3877157B2 (ja) * 2002-09-24 2007-02-07 東京エレクトロン株式会社 基板処理装置
JP4870604B2 (ja) * 2007-03-29 2012-02-08 株式会社ニューフレアテクノロジー 気相成長装置
JP2008297615A (ja) * 2007-06-01 2008-12-11 Tokyo Electron Ltd 基板載置機構及び該基板載置機構を備えた基板処理装置
US7911213B2 (en) 2007-10-05 2011-03-22 Lam Research Corporation Methods for measuring dielectric properties of parts
US7777500B2 (en) * 2007-10-05 2010-08-17 Lam Research Corporation Methods for characterizing dielectric properties of parts
JP5565892B2 (ja) * 2008-06-13 2014-08-06 芝浦メカトロニクス株式会社 プラズマ処理装置、プラズマ処理方法、および電子デバイスの製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101128737B1 (ko) * 2009-12-30 2012-03-23 엘아이지에이디피 주식회사 증착장치
KR101121429B1 (ko) * 2009-12-30 2012-03-16 엘아이지에이디피 주식회사 증착장치
KR101128738B1 (ko) * 2009-12-30 2012-03-23 엘아이지에이디피 주식회사 증착장치
JP5802052B2 (ja) * 2011-05-19 2015-10-28 株式会社ニューフレアテクノロジー 半導体製造装置及び半導体製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101493449B1 (ko) * 2011-09-05 2015-02-16 엘아이지에이디피 주식회사 샤워헤드 및 이를 이용한 화학기상 증착장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN110355016A (zh) * 2018-04-09 2019-10-22 普罗科技有限公司 晶片级分配器
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7411699B2 (ja) 2022-01-28 2024-01-11 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0756863B2 (ja) * 1989-07-04 1995-06-14 日新電機株式会社 気相成長装置
JPH0377315A (ja) 1989-08-21 1991-04-02 Daiwa Handotai Sochi Kk Mo―cvd法による半導体製造装置
JP2662722B2 (ja) * 1990-01-12 1997-10-15 東京エレクトロン株式会社 バッチ式熱処理装置
JP3179806B2 (ja) 1991-08-16 2001-06-25 東京エレクトロン株式会社 熱処理方法および熱処理装置
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
TW444922U (en) * 1994-09-29 2001-07-01 Tokyo Electron Ltd Heating device and the processing device using the same
DE4437361C2 (de) * 1994-10-19 1997-05-15 Ast Elektronik Gmbh Verfahren und Vorrichtung für die optische Schnellheizbehandlung empfindlicher elektronischer Bauelemente, insbesondere Halbleiterbauelemente
JPH0930893A (ja) * 1995-05-16 1997-02-04 Hitachi Electron Eng Co Ltd 気相成長装置
DE19622322C2 (de) * 1995-06-15 1999-02-25 Toshiba Ceramics Co Vorrichtung zum Züchten aus der Dampfphase
JPH093893A (ja) 1995-06-22 1997-01-07 Yoshio Tanaka 回転式杭圧入及び引き抜き装置における杭のチャッキング装置
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
WO1997031389A1 (fr) * 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
JPH09260364A (ja) * 1996-03-26 1997-10-03 Tokyo Electron Ltd 熱処理方法および熱処理装置
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
CN1177830A (zh) * 1996-09-23 1998-04-01 三星电子株式会社 半导体晶片热处理设备
JPH10270436A (ja) 1997-03-27 1998-10-09 Hitachi Ltd 半導体製造装置
US6372048B1 (en) * 1997-06-09 2002-04-16 Tokyo Electron Limited Gas processing apparatus for object to be processed
TW452826B (en) * 1997-07-31 2001-09-01 Toshiba Ceramics Co Carbon heater
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6300600B1 (en) * 1998-08-12 2001-10-09 Silicon Valley Group, Inc. Hot wall rapid thermal processor
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
US6554905B1 (en) * 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101796898B (zh) * 2007-09-05 2012-07-04 高美科株式会社 基板支撑单元以及具有该支撑单元的基板处理装置
CN105408046A (zh) * 2013-07-23 2016-03-16 千住金属工业株式会社 软钎焊装置和真空软钎焊方法
CN105408046B (zh) * 2013-07-23 2017-07-21 千住金属工业株式会社 软钎焊装置和真空软钎焊方法

Also Published As

Publication number Publication date
EP1544903A4 (en) 2010-04-28
JP2004119521A (ja) 2004-04-15
TW200416810A (en) 2004-09-01
US7771536B2 (en) 2010-08-10
KR100722087B1 (ko) 2007-05-25
WO2004030064A1 (ja) 2004-04-08
KR20050069998A (ko) 2005-07-05
TWI237303B (en) 2005-08-01
EP1544903A1 (en) 2005-06-22
CN1685485B (zh) 2013-01-16
JP3887291B2 (ja) 2007-02-28
AU2003266564A1 (en) 2004-04-19
US20060048710A1 (en) 2006-03-09

Similar Documents

Publication Publication Date Title
CN1685485A (zh) 基板处理装置
CN1685484A (zh) 基板处理装置
CN1254854C (zh) 绝缘膜氮化方法、半导体装置及其制造方法、基板处理装置和基板处理方法
CN1115720C (zh) 半导体集成电路器件的制造方法
CN1574231A (zh) 半导体集成电路器件的制造方法
CN1254851C (zh) 基板处理方法和装置、半导体装置的制造装置
CN100342488C (zh) 在气体环境中执行曝光处理的基片处理系统
CN1124369C (zh) 阳极化处理设备和与该设备有关的方法
CN1036860C (zh) 使用烷基铝氢化物形成以铝作为主成份的金属沉积膜的方法
CN1670912A (zh) 等离子体处理设备和等离子体处理方法
CN101040235A (zh) 温度调整方法、热处理设备以及半导体器件的制造方法
CN100339945C (zh) 等离子处理装置及其清洗方法
CN1838387A (zh) 蚀刻方法和蚀刻装置
CN101032006A (zh) 半导体器件的制造方法以及衬底处理装置
CN1540738A (zh) 等离子体处理装置、聚焦环和基座
CN1789488A (zh) 反应容器
CN1860596A (zh) 电子器件材料的制造方法
CN1455434A (zh) 基板处理装置及反应容器
CN1966762A (zh) 汽化器、使用汽化器的各种装置以及汽化方法
CN1692477A (zh) 基板处理装置和基板处理方法
CN1759474A (zh) 等离子加工装置和方法
CN1367407A (zh) 衬底处理装置和处理方法
CN1873914A (zh) 基板处理方法、基板处理程序及存储介质
CN1934679A (zh) 硅粒形成方法和硅粒形成装置
CN100342501C (zh) 基板处理装置和基板处理方法、高速旋转阀、清洁方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130116

Termination date: 20180922

CF01 Termination of patent right due to non-payment of annual fee