CN101032006A - 半导体器件的制造方法以及衬底处理装置 - Google Patents

半导体器件的制造方法以及衬底处理装置 Download PDF

Info

Publication number
CN101032006A
CN101032006A CNA2006800008685A CN200680000868A CN101032006A CN 101032006 A CN101032006 A CN 101032006A CN A2006800008685 A CNA2006800008685 A CN A2006800008685A CN 200680000868 A CN200680000868 A CN 200680000868A CN 101032006 A CN101032006 A CN 101032006A
Authority
CN
China
Prior art keywords
mentioned
film
reactive material
process chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800008685A
Other languages
English (en)
Inventor
宫博信
丰田一行
水野谦和
佐藤武敏
境正宪
浅井优幸
奥田和幸
堀田英树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN101032006A publication Critical patent/CN101032006A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开一种半导体器件的制造方法,包括如下步骤:第1步骤,对收容于处理室内的衬底供给第1反应物质,使存在于上述衬底的表面的作为反应点的配位基与该第1反应物质的配位基发生配位基交换反应;第2步骤,从上述处理室除去剩余的上述第1反应物质;第3步骤,对上述衬底供给第2反应物质,使由上述第1步骤交换后的配位基对反应点发生配位基交换反应;第4步骤,从上述处理室除去剩余的上述第2反应物质;第5步骤,对上述衬底供给由等离子所激励的第3反应物质,使在上述第3步骤中未对反应点进行交换反应的配位基对反应点发生配位基交换反应,反复进行上述第1~第5步骤预定次数,直到在上述衬底的表面形成所希望厚度的膜。

Description

半导体器件的制造方法以及衬底处理装置
技术领域
本发明涉及半导体器件的制造方法以及衬底处理装置。
背景技术
为了制造半导体器件,进行利用CVD(Chemical Vapor Deposition:化学气相沉积)法或ALD(Atomic Layer Deposition:原子层沉积)法在低温下在半导体衬底上形成电介质膜、金属氧化膜等薄膜。
然而,在低温(600℃以下)形成的薄膜中,出现蚀刻速度的增大(检验膜质时,对所生成的膜进行蚀刻来评价,若是不致密的膜,则蚀刻速度变大)、实施高温处理时的膜收缩等问题。因此,希望有制造高质量膜的方法以及装置。
因此,本发明的主要目的在于提供一种即使在低温下形成薄膜时也能形成高质量的薄膜的半导体器件的制造方法以及衬底处理装置。
发明内容
根据本发明的一个方式,提供一种半导体器件的制造方法,
包括:
第1步骤,对收容于处理室内的衬底供给第1反应物质,使存在于上述衬底表面上的作为反应点的配位基、与该第1反应物质的配位基发生配位基交换反应;
第2步骤,从上述处理室除去剩余的上述第1反应物质;
第3步骤,对上述衬底供给第2反应物质,使由上述第1步骤交换后的配位基对反应点发生配位基交换反应;
第4步骤,从上述处理室除去剩余的上述第2反应物质;以及
第5步骤,对上述衬底供给由等离子激励了的第3反应物质,使在上述第3步骤中未对反应点进行交换发应的配位基对反应点发生配位基交换反应,
反复进行上述第1~第5步骤预定次数,直到在上述衬底表面形成所希望厚度的膜。
根据本发明的另一方式,提供一种半导体器件的制造方法,
包括薄膜形成步骤和等离子处理步骤,反复进行上述薄膜形成步骤和上述等离子处理步骤预定次数,直到形成所希望厚度的薄膜,
其中,上述薄膜形成步骤包括:
向收容有衬底的处理室内供给第1反应物质,使上述第1物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;以及
从上述处理室除去剩余的上述第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给由等离子激励了的气体。
根据本发明的另一方式,提供一种半导体器件的制造方法,
包括薄膜形成步骤和等离子处理步骤,反复进行上述薄膜形成步骤和上述等离子处理步骤预定次数,直到形成所希望厚度的薄膜,
其中,上述薄膜形成步骤反复进行以下步骤预定次数,在上述衬底上形成数原子层的薄膜,即
向收容有衬底的处理室内供给第1反应物质,使上述第1物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;
从上述处理室除去剩余的上述第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给含有氧原子的气体。
根据本发明的另一方式,提供一种半导体器件的制造方法,
包括氧化膜形成步骤和等离子氮化处理步骤,
其中,上述薄膜形成步骤反复进行以下步骤预定次数,在硅膜上形成所希望膜厚的氧化膜,即,
对收容了表面露出了上述硅膜的衬底的处理室内供给第1反应物质,使上述第1反应物质吸附于上述硅膜的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述硅膜上的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;
从上述处理室除去剩余的第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了将上述氧化膜的表面氮化而使用含有氮原子的气体。
根据本发明的另一方式,提供一种衬底处理装置,
具有:
收容衬底的处理室;
向上述处理室内供给第1反应物质的第1供给单元;
向上述处理室内供给第2反应物质的第2供给单元;
向上述处理室内供给第3反应物质的第3供给单元;
对上述处理室内进行排气的排出单元;
由等离子激励上述第3反应物质的激励单元;以及
控制上述第1~第3供给单元、上述排出单元以及上述激励单元的控制单元,
通过上述控制单元对上述第1~第3供给单元、上述排出单元以及上述激励单元的控制,反复进行以下步骤预定次数,直到在上述衬底的表面形成所希望厚度的膜,即,
第1步骤,对收容于处理室内的上述衬底供给第1反应物质,使存在于上述衬底的表面的作为反应点的配位基、与上述第1反应物质的配位基发生配位基交换反应;
第2步骤,从上述处理室除去剩余的上述第1反应物质;
第3步骤,对上述衬底供给第2反应物质,使由上述第1步骤交换后的配位基对反应点发生配位基交换反应;
第4步骤,从上述处理室除去剩余的上述第2反应物质;
第5步骤,对上述衬底供给由等离子激励的第3反应物质,使在上述第3步骤中未对反应点进行交换发应的配位基对反应点发生配位基交换反应。
根据本发明的另一方式,提供一种衬底处理装置,
具有:
收容衬底的处理室;
向上述处理室内供给第1反应物质的第1供给单元;
向上述处理室内供给第2反应物质的第2供给单元;
向上述处理室内供给第3反应物质的第3供给单元;
对上述处理室内进行排气的排出单元;
由等离子激励上述第3反应物质的激励单元;以及
控制上述第1~第3供给单元、上述排出单元以及上述激励单元的控制单元,
通过上述控制单元对上述第1~第3供给单元、上述排出单元以及上述激励单元的控制,反复进行薄膜形成步骤和等离子处理步骤预定次数,直到在上述衬底的表面形成所希望厚度的薄膜,
其中,上述薄膜形成步骤包括:
向收容有衬底的处理室内供给第1反应物质,使上述第1物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;以及
从上述处理室除去剩余的上述第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给由等离子激励了的第3反应物质。
根据本发明的另一方式,提供一种衬底处理装置,
具有:
收容衬底的处理室;
向上述处理室内供给第1反应物质的第1供给单元;
向上述处理室内供给第1反应物质的第2供给单元;
排出上述处理室内的气氛的排出单元;
向上述处理室内供给含有氧原子的气体的第3供给单元;
将上述含有氧原子的气体等离子化的等离子化单元;以及
控制上述第1~第3供给单元、上述排出单元以及上述等离子化单元的控制单元,
通过上述控制单元对上述第1~第3供给单元、上述排出单元以及上述等离子化单元的控制,反复进行薄膜形成步骤和等离子处理步骤预定次数,直到形成所希望厚度的薄膜,
该薄膜形成步骤包括:
向上述处理室内供给第1反应物质,使上述第1物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成1个原子层的薄膜的步骤;以及
从上述处理室除去剩余的上述第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给含有氧原子的气体。
附图说明
图1是用于说明本发明的实施例1的衬底处理装置的立式衬底处理炉的示意纵剖视图。
图2是用于说明本发明的实施例1的衬底处理装置的立式衬底处理炉的示意横剖视图。
图3是用于说明本发明的实施例1的ALD顺序的图。
图4是用于说明比较用的ALD顺序的图。
图5是用于说明在本发明的实施例1中对AlO膜实施O2等离子处理的效果的图。
图6是用于说明在本发明的实施例2中应用等离子氮化处理的电容器结构的示意纵剖视图。
图7是用于说明本发明的实施例2中的等离子氮化处理效果的图。
图8是用于说明应用了本发明的实施例3的栅极间隔物的示意纵剖视图。
图9是用于说明应用了本发明的实施例3的STI(Shallow TrenchIsolation:浅沟隔离)的内衬的示意纵剖视图。
图10是用于说明本发明的实施例3中的等离子氮化处理和热氮化的氮分布的图。
图11是示出NH3轰击时间和膜应力的关系的图。
图12是示出NH3轰击时间和膜中CI浓度、H浓度的关系的图。
图13是用于说明以往的ALD成膜方法的顺序与实施例4的使用了H2等离子的ALD成膜方法的顺序的图。
图14是用于说明实施例4的使用了H2等离子的ALD成膜时的膜中Cl浓度和膜应力的图。
图15是用于说明实施例4的使用了H2等离子的ALD成膜方法的顺序的图。
图16是示出由ALD法以及LPCVD法成膜的膜中的Na浓度的图。
图17是示出在NH3等离子轰击时,以Na+状态存在的Na进入膜中的模型的图。
图18是示出在N2等离子轰击时,从膜除去以Na+状态存在的Na的模型的图。
图19是示出等离子轰击时间和膜中的Na浓度的关系的图。
图20是示出等离子激励的高频(RF)功率和Na浓度的关系的图。
图21是示出由ALD法成膜的膜中的采用SIMS的Na浓度分布的测量结果的图。
图22是示出由LPCVD法成膜的膜中的采用SIMS的Na浓度分布的测量结果的图。
图23是用于说明N2电离气体的供给方法的图。
图24是示出N2电离气体的供给方法和膜中的Na浓度的关系的图。
图25是示出对有意地进行了Na污染的晶片轰击NH3等离子时、和轰击N2等离子时的膜中的Na浓度的图。
图26是用于说明实施例6的第1步骤的流程图。
图27是用于说明实施例6的第2步骤的流程图。
图28是示出实施例6的第2步骤中的等离子轰击时的压力约为0.3~0.4Torr时的异物数的图。
图29是示出实施例6的第2步骤中的等离子轰击时的压力约为0.5Torr以上时的异物数的图。
图30是用于说明本发明的优选实施例的衬底处理装置的示意立体图。
图31是用于说明本发明的优选实施例的衬底处理装置的示意纵剖视图。
具体实施方式
下面,说明本发明的优选实施例。
在本发明的优选实施例中,为了在低温下形成高质量的膜,在同一处理室内连续进行成膜和等离子处理。
如此,对于ALD处理也能容易地应对。ALD法是通过反复进行多次如下步骤而在衬底上沉积薄膜的方法,即,向收容有衬底的处理室内供给第1反应物质,使第1反应物质吸附到衬底表面的步骤;从处理室除去剩余的第1反应物质的步骤;对处理室内供给第2反应物质,使其与吸附在衬底表面的第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;以及从处理室除去剩余的第2反应物质的步骤,但在本发明的优选实施例中,在被成膜表面,第1反应物质与第2反应物质发生反应,在1个原子层1个原子层地进行了薄膜形成后进行等离子处理来改善膜质,或在形成了几个原子层后,进行等离子处理来改善膜质。由等离子来改善膜质,由于能够在低温下实施该处理,因此能够不产生高温处理时的扩散层扩大等问题。
在本发明的优选实施例中,作为等离子处理,使用O2、N2O、NO、NO2、H2O等氧或氧的氮化物的等离子。或者,使用N2、NH3等氮或氮的氢化物的等离子、Ar、H2的等离子。
在本发明的优选实施例中,不仅包括在每次由ALD法形成1层或多层的薄膜时进行等离子处理的例子,还包括在由ALD法形成了预定厚度的薄膜后、或者在由ALD法形成预定厚度的薄膜前,进行等离子处理的例子。
在ALD法中,例如,考虑通过交替供给TMA(Al(CH3)3、三甲基铝)和O3(臭氧)来形成Al2O3(氧化铝)膜的情况,当TMA吸附在基底时,在TMA的Al的2个键结合了甲基(CH3基)的状态下,与剩下的一个键结合的甲基通过与作为衬底表面的反应点起作用的配位基、即OH基发生配位基交换反应而脱离,与基底结合。并且,当在该状态下供给O3时,作为配位基的2个甲基与作为配位基除去剂起作用的O3发生配位基交换反应(详细地说是配位基除去反应),成为H2O以及CO2而脱离,并且成为在TMA的Al的2个键结合了作为反应点起作用的OH基的状态。其后,当供给TMA时,通过配位基交换反应而结合了2个OH基(作为反应点的配位基)的H与TMA的甲基(配位基),成为甲烷而脱离,并且在脱离了H的2个O基结合了脱离了甲基后的Al的键。如此进行成膜而形成了Al2O3。然而,当由于一些理由而产生不完全的氧化反应(配位基除去反应)时,例如,即使供给O3,2个甲基中仅1个甲基与O3发生反应,成为H2O以及CO2而脱离,成为在TMA的Al的1个键上结合了OH基(配位基交换反应)、而剩下1个甲基保持不变的状态。在这样的状态下,当供给TMA时,未发生与OH基的配位基交换反应的甲基由分子量较大的原料、即TMA覆盖,下一步骤的O3不会到达上述甲基。在该状态下继续ALD生成时,出现上述甲基在膜中的残留,视情况不同而在该部分出现空位。
但是,若轰击比O3氧化性能高的O2等离子,则O2等离子能够进入到上述甲基,其结果,上述甲基从膜中脱离而置换为OH基,与周围正常地发生反应的部位相比,多少(1层左右)有些沉积延迟(表面粗糙),但在其后的处理步骤中,由上述OH基进行反应,使膜质变得良好。上述现象是反复进行交替供给TMA和O3至少2次(2个循环)以上、至少形成了第2层膜以后的现象。可以认为,若对每1层(气体供给的每1个循环)进行等离子处理,则残留的甲基也由于O2等离子而大部分置换为OH基,一边随时对每1层修复不完全的氧化反应一边进行沉积,所以C成分几乎不会残留在膜中。
另一方面,在由CVD法进行成膜时,由于在气相中TMA与O3发生反应所生成的AlxOy落到衬底上而沉积的现象,所以,除了Al2O3之外,即使含有C的中间生成体(ex、CO、CO2、CH3、分子量大的生成体)的杂质进入膜中,也在衬底上进行膜的沉积。其结果,会以ALD的10倍量级混入杂质。因此,即使在生成了1~10μm左右的薄的膜后进行等离子处理,也成为上述杂质从膜脱出的形态,并且,由于其杂质的量较多,所以膜会存在较多的空位部。此外,有时该杂质也不从膜中脱出,C成分进入膜中。其结果,即使用CVD法生成了1~10μm左右的薄的膜后进行等离子处理,依然是膜质较差,在膜质提高上存在极限。
出于上述理由,可以认为,与用CVD法生成了1~10μm左右的薄的膜后进行等离子处理的情况相比,组合ALD法的薄膜形成和等离子处理的方法明显能形成高质量的膜。
下面参照附图,更详细地说明本发明的实施例。
实施例1
图1是用于说明本实施例的衬底处理装置的立式衬底处理炉的示意纵剖视图,图2是用于说明本实施例的衬底处理装置的立式衬底处理炉的示意横剖视图。
在作为加热单元的加热器207的内侧,作为对衬底、即晶片200进行处理的反应容器设有反应管203,该反应管203的下端卡合有例如由不锈钢等制成的歧管209,并且其下端开口由作为盖体的密封盖219通过作为气密部件的O形密封圈220而气密地闭塞。至少由该加热器207、反应管203、歧管209以及密封盖219形成处理炉202。由反应管203、歧管209、密封盖219以及后述的形成于反应管203内的缓冲室237形成处理室201。该歧管209固定于保持单元(以下为加热器基座251)。
反应管203的下端部以及歧管209的上部开口端部分别设有环状的凸缘,在这些凸缘之间配置有气密部件(以下为O形密封圈220),二者之间被气密地密封。
在密封盖219上隔着石英盖218立设有作为衬底保持单元的舟217,石英盖218成为保持舟217的保持体。舟217插入到处理炉202中。在舟217上以水平姿势沿管轴方向呈多层地装填有要进行批处理的多个晶片200。加热器207将被插入到处理炉202的晶片200加热到预定温度。
在处理室201设有作为供给气体的供给管的3根气体供给管232a、232b、232e。气体供给管232b以及232e在处理室201外汇流,成为气体供给管232g。气体供给管232a以及232g贯通歧管209下部地设置。气体供给管232g在处理室201内与1根多孔喷嘴233连通。
反应气体(TMA)从气体供给管232b通过作为流量控制单元的质量流量控制器241b、作为开闭阀的阀252、TMA容器260、以及作为开闭阀的阀250,并通过后述的气体供给部249被供给到处理室201。在从TMA容器260到歧管209的气体供给管232b上设有加热器300,将气体供给管232b保持为50~60℃。
反应气体(O3)从气体供给管232a通过作为流量控制单元的质量流量控制器241a以及作为开闭阀的阀243a,并通过气体供给管232g、多孔喷嘴233以及后述的形成于反应管203内的缓冲室237被供给到处理室201。
氧等离子从气体供给管232e通过作为流量控制单元的质量流量控制器241e以及作为开闭阀的阀255,并通过气体供给管232g、多孔喷嘴233以及后述的形成于反应管203内的缓冲室237被供给到处理室201。
在气体供给管232b,惰性气体的管线232c通过开闭阀253与阀250的下游侧连接。在气体供给管232a,惰性气体的管线232d通过开闭阀254与阀243a的下游侧连接。
从反应管203的下部到上部沿晶片200的装填方向配设有喷嘴233。在喷嘴233上设有多个作为供给气体的供给孔的气体供给孔248b。
在反应管203的内壁与晶片200之间的圆弧状的空间中,在从反应管203的下部到上部的内壁沿晶片200的装填方向设有作为气体分散空间的缓冲室237。在缓冲室237的与晶片200相邻的内侧的壁的端部附近设有作为供给气体的供给孔的气体供给孔248a。该气体供给孔248a朝向反应管203的中心开口。该气体供给孔248a沿晶片200的装填方向从下部到上部在预定的长度范围内分别具有相同的开口面积,还以相同的开口节距设置。
并且,在缓冲室237的与设有气体供给孔248a的端部相反一侧的端部附近仍然是从反应管203的下部到上部沿晶片200的装填方向设有喷嘴233。在喷嘴233上设有多个作为供给气体的供给孔的气体供给孔248b。
使从气体供给孔248b喷出的气体向缓冲室237喷出而暂时导入到缓冲室237,能够使气体的流速差均匀化。
即,在缓冲室237中,从各气体供给孔248b喷出的气体在缓冲室237缓和了各气体的粒子速度后,从气体供给孔248a喷出到处理室201。在此期间,从各气体供给孔248b喷出的气体能够在从各气体供给孔248a喷出时成为具有均匀流量和流速的气体。
在缓冲室237,具有细长结构的棒状电极269以及棒状电极270从上部到下部被保护电极的保护管、即电极保护管275保护地设置,该棒状电极269或棒状电极270中的任一电极通过匹配器272与高频电源273连接,另一电极与作为基准电位的地线连接。其结果,在棒状电极269以及棒状电极270之间的等离子生成区域224生成等离子。
该电极保护管275具有能够以将棒状电极269以及棒状电极270分别与缓冲室237的气氛隔离的状态插入到缓冲室237的结构。在此,当电极保护管275的内部是与外界气体(大气)相同的气氛时,分别插入到电极保护管275的棒状电极269以及棒状电极270会因加热器207的加热而氧化。因此,电极保护管275的内部设有惰性气体净化机构,用于填充氮等惰性气体或净化,将氧浓度抑制得足够低,以防止棒状电极269以及棒状电极270氧化。
在从气体供给孔248a的位置绕反应管203的内周120°左右的内壁上设有气体供给部249。该气体供给部249是在ALD法的成膜中将多种气体一种一种地交替供给到晶片200时与缓冲室237分担气体供给种类的供给部。
该气体供给部249也与缓冲室237同样,在与晶片相邻的位置以相同节距具有供给气体的供给孔、即气体供给孔248c,在下部连接着气体供给管232b。
处理室201借助作为将气体排气的排气管的气体排气管231通过阀243d与作为排气单元的真空泵246连接,以进行真空排气。该阀243d是能够通过对阀进行开闭来进行处理炉202的真空排气或停止真空排气、并能通过调节阀开度来进行压力调整的开闭阀。
在反应管203内的中央部设有呈多层地以相同间隔沿铅直方向载置多张晶片200的舟217,该舟皿217能够通过省略图示的舟皿升降机构出入反应管203。此外,为了处理的均匀性,设有用于旋转舟皿217的旋转单元、即舟皿旋转机构267,通过旋转舟皿旋转机构267来旋转石英盖218所保持的舟皿217。
作为控制单元的控制器321与质量流量控制器241a、241b、241e、阀243a、243d、250、252、253、254、255、加热器207、真空泵246、舟皿旋转机构267、省略图示的舟皿升降机构连接,进行质量流量控制器241a、241b、241e的流量调整、阀243a、250、252、253、254、255的开闭动作、阀243d的开闭动作以及压力调整动作、加热器207的温度调节、真空泵246的启动或停止、舟皿旋转机构267的旋转速度调节、舟皿升降机构的升降动作控制等的控制。
接着,作为ALD法的成膜例子,说明使用TMA、O3气体以及O2等离子形成Al2O3膜的情况。图3是用于说明本实施例的ALD顺序的图,图4是用于说明比较用的ALD顺序的图。
首先,将要成膜的半导体硅晶片200装入舟皿217中,搬入到处理炉202。搬入后,依次实施下面5个步骤。
[步骤1]
在步骤1中,通入TMA气体。TMA在常温下是液体,要供给到处理炉202的话,有进行加热使其气化后进行供给的方法,或使被称为载体气体的氮或稀有气体等惰性气体通过TMA容器260中而将气化的部分TMA气体与该载体气体一起供给到处理炉的方法等,但作为例子,用后者的情况进行说明。首先,一起打开设于载体气体供给管232b上的阀252、设于TMA容器260和处理炉202之间的阀250、以及设于气体排气管231上的阀243d,由质量流量控制器241b进行了流量调节后的载体气体从载体气体供给管232b通过TMA容器260中,作为TMA与载体气体的混合气体从气体供给部249的气体供给孔248c供给到处理室201,同时从气体排气管231排气。通入TMA气体时,适当调整阀243d,使处理室201内压力维持在10~900Pa范围的预定压力。用质量流量控制器241a控制的载体气体的供给流量为10000sccm以下。将用于供给TMA的时间设定为1~4秒。为了其后进一步吸附,也可以将在上升的压力气氛中暴露的时间设定为0~4秒。此时的加热器207的温度设定成使晶片的温度为50~450℃。
同时,能够防止在打开开闭阀254使惰性气体从与气体供给管232a的中途连接的惰性气体的管线232d流出时TMA卷入到O3侧。
此时,在处理室201内通入的气体仅是TMA和N2、Ar等惰性气体,不存在O3。因此,TMA不会发生气相反应,而是与晶片200上的底膜发生表面反应。
[步骤2]
在步骤2中,关闭气体供给管232b的阀250,停止供给TMA。在打开气体排气管231的阀243d的状态下通过真空泵246进行排气,使得处理室201为20Pa以下,从处理室201排出残留TMA。此时,打开开闭阀253使作为惰性气体的N2气体从与气体供给管232b的中途连接的惰性气体的管线232c流出,同时打开开闭阀254使作为惰性气体的N2气体从与气体供给管232a的中途连接的惰性气体的管线232d流出,使N2气体流到处理室201内。
[步骤3]
在步骤3中,通入O3气体。首先,一起打开设于气体供给管232a上的阀243a、以及设于气体排气管231上的阀243d,由质量流量控制器241a进行了流量调节后的O3气体从气体供给管232a通过喷嘴233,从缓冲室237的气体供给孔248a供给到处理室201,同时从气体排气管231进行排气。通入O3气体时,适当调节阀243d,使处理炉202内压力维持在10~100Pa范围的预定压力。用质量流量控制器241a控制的O3的供给流量为1000~10000sccm范围的预定流量。将晶片200暴露在O3中的时间为2~120秒。此时的晶片温度与供给TMA时相同,是250~450℃。
同时,能够防止在打开开闭阀253使惰性气体从与气体供给管232b的中途连接的惰性气体的管线232c流出时O3气体蔓延到TMA侧。
此时,流到处理炉202内的气体仅是O3和N2、Ar等惰性气体,不存在TMA。因此,O3不会引起气相反应,在晶片200上吸附了TMA而形成的底膜与O3发生表面反应,在晶片200上形成Al2O3膜。
[步骤4]
在步骤4中,关闭气体供给管232a的阀243a,停止供给O3气体。并且,在打开气体排气管231的阀243d的状态下由真空泵246进行排气,使得处理室201为20Pa以下,从处理室201排出残留O3。此时,打开开闭阀254使作为惰性气体的N2气体从与气体供给管232a的中途连接的惰性气体的管线232d流出,同时打开开闭阀253使作为惰性气体的N2气体从与气体供给管232b的中途连接的惰性气体的管线232c流出,使N2气体流到处理室201内。
[步骤5]
在步骤5中,关闭惰性气体的管线232d的开闭阀254、以及惰性气体的管线232c的开闭阀253,停止供给N2气体。打开设于气体供给管232e上的阀255,由质量流量控制器241e进行了流量调整后的O2气体从气体供给管232e通过喷嘴233的气体供给孔248b向缓冲室237喷出,在棒状电极269和棒状电极270之间由高频电源273通过匹配器272施加高频功率来等离子激励O2,作为活性粒种供给到处理室201,同时从气体排气管231进行排气。通过等离子激励O2气体使其作为活性粒种通入时,适当调整阀243d,使处理室201内的压力维持在10~900Pa范围的预定压力。用质量流量控制器241e控制的O2的供给流量为1~10,000sccm范围的预定流量。将晶片200暴露在通过等离子激励O2而得到的活性粒种中的时间为0.1~600sec。此时的加热器207的温度设定成与晶片进行AlO成膜的温度相同。
然后,关闭气体供给管232e的阀255,停止供给O2气体,也停止从高频电源273施加高频功率。在打开气体排气管231的阀243d的状态下由真空泵246进行排气,使得处理室201为20Pa以下,从处理室201排出残留O2。此时,打开开闭阀254使作为惰性气体的N2气体从与气体供给管232a的中途连接的惰性气体的管线232d流出,同时打开开闭阀253使作为惰性气体的N2气体从与气体供给管232b的中途连接的惰性气体的管线232c流出,使N2气体流到处理室201内。
以上述步骤1~5为1个循环,通过反复进行多次这样的循环,在晶片200上形成预定膜厚的Al2O3膜(参照图3)。
在图5中示出使用本实施例制造的Al2O3膜的电容膜、和使用仅反复进行多次循环而不进行O2等离子处理就在晶片200上形成的Al2O3膜的电容膜的漏电流测量结果,其中,上述循环如图4所示那样以上述步骤1~4为1个循环。可知,通过进行O2等离子处理,显著减少了漏电流。如此,通过进行O2等离子处理,能够谋求降低EOT(Equivalent Oxide Thickness:氧化膜换算膜厚:以介电常数为基础换算成氧化膜时的膜厚)和降低漏电流。
优选如本实施例那样,以上述步骤1~5为1个循环,通过反复进行多次这样的循环,在每次由ALD法形成1个原子层时进行O2等离子处理,也可以在每次由ALD法形成2~5个原子层时进行O2等离子处理。若在每次形成这些以上的原子层时进行O2等离子处理,则即使是O2等离子处理也难以去除碳化合物等杂质,因此不优选。
在本实施例中,从气体供给管232e供给O2气体来进行O2等离子处理,但也可以从气体供给管232e供给代替O2气体的N2O、NO、NO2或H2O来进行等离子处理。还可以进行Ar或N2的等离子处理。
实施例2
在形成电容膜时对Si表面进行氮化,然后形成氧化铝膜(Al2O3膜),但在本实施例中,作为该氧化铝膜的基底形成方法进行等离子氮化。
如图6所示,在本实施例中,使用与实施例1相同的装置,首先,打开设于气体供给管232e上的阀255,由质量流量控制器241e进行了流量调节后的NH3气体从气体供给管232e通过喷嘴233的气体供给孔248b向缓冲室237喷出,在棒状电极269以及棒状电极270之间由高频电源273通过匹配器272施加高频功率来等离子激励NH3,作为活性粒种供给到处理室201,同时从气体排气管231进行排气。如此,在掺杂多晶硅401上形成阻挡SiN膜402。
然后,以实施例1的步骤1~4为1个循环,通过反复进行多次这样的循环,用ALD法,在阻挡SiN膜402上形成Al2O3膜403。
然后,形成TiN404,做成电容器。
图7示出这样形成的电容器、和不形成阻挡SiN膜402而在掺杂多晶硅401上直接形成0Al2O3膜而成的电容器的绝缘击穿电压(breakdown voltage)。可知使用了如本实施例那样形成的阻挡SiN膜402的电容器具有非常高的绝缘击穿电压。
在本实施例中,从气体供给管232e供给NH3气体,等离子激励NH3来形成阻挡SiN膜402,但也可以从气体供给管232e供给N2气体,等离子激励N2来形成阻挡SiN膜402。
实施例3
在本实施例中,对由ALD法形成的氧化膜表面进行等离子氮化处理。作为栅极间隔物、STI(Shallow Trench Isolation:浅沟隔离)的内衬部的氧化膜的氮化处理,以往是使用NO、N2O等氧化剂进行800~900℃左右的热处理,但由于氮的分布集中到SiO2/Si的界面,导致迁移率降低,所以希望有对SiO2表面进行等离子氮化的技术。
参照图8说明应用本实施例适当做成的半导体器件的一种、即MOS晶体管。该MOS晶体管是在形成于硅层411上的元件分离412所包围的区域做成的。在形成于硅层411上的栅极氧化膜417以及在等离子氮化膜418上形成有由掺杂多晶硅419和金属硅化物420构成的栅极电极430。在栅极430的侧面形成有由SiO2构成的栅极间隔物421,在其上形成有等离子氮化膜423。在硅层411上夹着栅极430形成有源极413、414、漏极415、416。覆盖如此形成的MOS晶体管地形成有绝缘膜422。
接着,参照图9说明应用本实施例适当做成的STI(Shallow TrenchIsolation:浅沟隔离)的内衬部。在形成有沟443的硅层440上形成由SiO2构成的氧化膜441,在其上形成有等离子氮化膜442。在沟443内为了形成元件分离区域而用氧化膜(未图示)填充,但为了不使氧化膜扩展,在氧化膜形成前形成了等离子氮化膜442。
在本实施例中,用与实施例1相同的装置,将实施例1的TMA变更为DCS(二氯硅烷:SiH2CI2),将实施例1的O2变更为NH3,在形成MOS晶体管时,交替供给DCS和O3而由ALD法形成希望厚度的栅极间隔物421,其后,由NH3的等离子对栅极间隔物421的表面进行等离子氮化,形成等离子氮化膜423,在形成STI时,交替供给DCS和O3而由ALD法形成氧化膜441,然后对氧化膜441的表面进行等离子氮化,形成等离子氮化膜442。
在本实施例中,如此对SiO2的表面进行等离子氮化。图10示出热氮化处理和在本实施例进行处理时的氮分布。可知,在本实施例中,在Si和SiO2的界面,在600℃以下的低温处理时几乎不存在氮,在SiO2的表面附近存在氮化浓度的峰值,可对SiO2的表面进行氮化。
在本实施例中,供给NH3气体,等离子激励NH3来形成等离子氮化膜,但也可以供给N2气体,等离子激励N2来形成等离子氮化膜。
实施例4
要在Si晶片上由ALD法形成氮化硅膜,其原料使用NH3和DCS(SiH2CI2)。
成膜顺序如以下所示。
(1)将Si晶片移载到石英舟皿上。
(2)将石英舟皿插入到300℃的处理室内。
(3)插入石英舟皿结束后,对处理室内抽真空,将处理室内升温到450℃左右。
(4)以轰击DCS(3秒)→N2净化(5秒)→轰击被等离子激励的NH3(6秒)→N2净化(3秒)为1个循环,反复进行循环直到得到预定的膜厚。此时,每1循环生成的膜厚约为1(=0.1nm)。
(5)在处理室内引进反应气体的同时,将处理室温度降低到300℃左右。
(6)将处理室内恢复到大气压,从处理室取出石英舟皿。
近年的半导体器件结构,为了缓和应变而要求1.8Gpa左右的膜应力,但经上述步骤成膜的膜应力是1.2Gpa左右,低于目标值。
为此,为了增加应力,采用延长NH3轰击时间的方法。通过延长NH3轰击时间,能将膜应力增加到1.5Gpa。图11示出延长了NH3轰击时间时的膜应力的结果,虽然通过延长激励的NH3的轰击时间,增加了膜应力,但不能得到1.5Gpa以上的膜应力。
如此,在以往的延长NH3轰击时间的方法中,所得到的膜应力最大值是1.5Gpa,不能达到目标1.8Gpa。当晶体管部的氮化膜的膜应力较低时,会出现ON电流变低等问题。
在本实施例中,用与实施例1相同的装置,将实施例1的TMA变更为DCS(二氯硅烷:SiH2CI2),将O3变更为NH3自由基,将O2变更为H2,交替供给DCS和NH3自由基而由ALD法形成希望厚度的Si3N4膜,其后,由H2的等离子进一步改善Si3N4膜的膜应力。
ALD法的反应机制如下所示。
(1)通过DCS轰击,在表面上吸附Si和Cl。
(2)接着为了置换气体(为了防止DCS和NH3混合),进行N2净化。
(3)再通过轰击所激励的NH3,使在(1)吸附的Cl成为HCl而脱离,吸附N和H。
反复进行上述循环(1)至(3),直到达到预定的膜厚。
因此,在膜中除了具有作为ALD氮化膜的主要成分的Si、N之外,还有H、Cl的杂质。
使用SIMS(Secondary Ion Mass Spectrometry:二级离子质谱)测量了膜中的H(氢)、Cl(氯)浓度的结果示于图12,可知,当延长NH3轰击时间时,H浓度恒定,而Cl浓度降低。
Cl从作为其原料的DCS进入表面,但在轰击NH3的过程中从表面脱离。因此,越延长NH3轰击时间,Cl的脱离效果越好,降低了膜中的Cl浓度。然而,不能降低到1E20(1×1020)atoms/cm3以下。
假定膜应力取决于Cl浓度,研究进一步降低Cl浓度的方法。供给DCS时,在膜表面存在Si-Cl键和Si-H键。关于各个键能,Si-Cl键的情况为397KJ/mol,Si-H键的情况为318KJ/mol,Si-Cl键的键能较大。在轰击NH3自由基的情况下,Si-H键替换为N-H键,但由于Si-Cl键的键能较大,所以在含Cl的状态下成膜向前进展。
为了除去该Cl,尝试使用H2等离子使其以HCl的形式脱离的试验。
图13分别示出以往的ALD成膜方法的顺序与使用了本实施例的H2等离子的ALD成膜方法的顺序。在任一情况下,都将激励的NH3的轰击时间延长到20sec。此外,在使用了H2等离子的ALD成膜时,H2等离子的轰击时间是10sec。
图14示出由以往的ALD成膜方法进行成膜时、和使用本实施例的H2等离子的ALD成膜方法进行成膜时的膜中Cl浓度的SIMS分析结果、和以以往的ALD成膜方法的顺序为基准的膜应力。
从SIMS分析结果可知,通过使用H2等离子,能够降低膜中Cl浓度。
根据膜应力测量结果确定,通过使用H2等离子,能够使膜应力为1.3倍。
在此,如图13所示,在每次循环实施H2等离子,但如图15所示,即使每多个循环实施也能得到同样的效果。每5个循环和每10个循环实施H2等离子处理的结果一并示于图14。可知在这些情况下也降低了膜中Cl浓度,也改善了膜应力。也可知,通过将实施H2等离子处理的间隔在1~10个循环之间调整,能够使膜应力可变。
在图13中,在轰击NH3自由基前后,设有N2净化步骤,但也可以省略这些N2净化步骤。这是由于在H2等离子轰击步骤和NH3自由基轰击步骤中都生成H2等离子,所以即使不用N2净化除去也可以。而且,还由于即使不频繁地使放电ON、OFF,也能够连续地进行。
在图13中,每次轰击DCS以及NH3就轰击H2等离子,但也可以是在NH3轰击后仅进行1次H2等离子轰击(即,每1次循环轰击H2等离子)。
鉴于以上结果,在本实施例中,用与实施例1相同的装置,将实施例1的TMA变更为DCS(二氯硅烷:SiH2CI2),将O3变更为NH3自由基,将O2变更为H2,交替供给DCS和NH3自由基而由ALD法形成希望厚度的Si3N4膜,其后,由H2的等离子进行Si3N4膜的改性。
实施例5
在本实施例中,用与实施例1相同的装置,将实施例1的TMA变更为DCS(二氯硅烷:SiH2CI2),将O3变更为NH3自由基,将O2变更为N2,交替供给DCS和NH3自由基而由ALD法形成希望厚度的Si3N4膜,其后,由H2的等离子进行Si3N4膜的改性。
要在Si晶片上由ALD法形成氮化硅膜,其原料使用NH3和DCS(SiH2CI2)。
成膜顺序如以下所示。
(1)将Si晶片移载到石英舟皿上。
(2)将石英舟皿插入到300℃的处理室内。
(3)插入石英舟皿结束后,对处理室内抽真空,将处理室内升温到450℃左右。
(4)以轰击DCS(3秒)→N2净化(5秒)→轰击被等离子激励的NH3(6秒)→N2净化(3秒)为1个循环,反复进行循环直到得到预定的膜厚。此时,每1个循环生成的膜厚约为1(=0.1nm)。
(5)在处理室内引进反应气体的同时,将处理室温度降低到300℃左右。
(6)将处理室内恢复到大气压,从处理室取出石英舟皿。
在经上述步骤成膜的膜中,每100含有Na3E10(3×1010)(atoms/cm3)左右。Na的浓度使用CPMS(感应耦合等离子质量分析法)测量。3E10(atoms/cm3)不是近年在半导体行业容许的值,需要降低。
当Na进入MOS晶体管的氧化膜中等时,由于不能进行晶体管输出电流的栅极控制,所以需要降低Na浓度。通常要求是1E10(atoms/cm3)左右的值。
比较在使用相同的处理室分别进行由ALD法成膜和由LPVCD(Low Pressure Chemical Vapor Deposition:低压化学气相沉积)法成膜时所成膜的膜中的Na浓度,则在LPCVD中检测出的Na格外少。图16是表示成膜的膜中的Na浓度的图,左侧表示在高频功率为300W、NH3轰击时间为30秒的条件下由ALD法进行成膜时的Na浓度,右侧表示在760℃由LPCVD法进行成膜时的Na浓度。图中,“TOP”是指装载于石英舟皿上部的Si晶片,“Center”是指装载于石英舟皿中央部的Si晶片,“Bottom”是指装载于石英舟皿下部的Si晶片。参照图16可知,在LPCVD中检测出的Na格外较少。
ALD法与CVD法的较大差异在于,ALD法是交替通入DCS和使用等离子电离了的NH3,而CVD法是同时通入DCS和未电离的NH3
着眼于该电离气体,假定Na在反应形态中以Na+的离子化状态存在。
从上述ALD法与CVD法的比较能够判断,Na不是从气体供给系统、虚设晶片等产生的。
在反应形态中以Na+的状态存在的Na向膜中进入的模型如图17所示来考虑。
首先,推测在轰击用等离子电离了的NH3期间,在Si晶片表面上存在NH4 -(带负电)和NH2 +(带正电)的2种电离气体。由于Na+被NH4 -吸引,所以由于NH4-的存在而容易吸附Na+。即,在产生等离子期间,成为容易吸附Na的状态。
支持该现象的数据如下所示。
(1)图19示出比较了取决于等离子轰击时间的膜中的Na浓度的结果。可知,等离子轰击时间越长,Na浓度越高。即,因为NH4 -的存在时间长,所以Na的吸附量多。
(2)图20示出比较了取决于等离子激励的高频(RF)功率的膜中的Na浓度的结果。可知,高频(RF)功率越强,Na浓度越高。即,由于NH4 -的存在量多,Na的吸附量多。
(3)图21示出基于SIMS的Na在膜中的浓度分布的结果。可知,Na在膜中均匀分布。在图22中示出由LPCVD法成膜的膜的基于SIMS的Na在膜中的浓度分布的结果。可知,在LPCVD中,Na格外少。
从这些可知,虽然不能确定Na的发生部位(也可以认为从进行等离子放电的电极产生Na),但Na进入膜中的图17所示的模型具有合理性。
鉴于以上所述,作为用于减少Na进入膜中的对策,考虑了除去吸附的Na的方法。可以认为要除去Na,在Na吸附后轰击带正电的电离气体是有效的。作为带正电的电离气体,选择了N2。推测N2通过电离产生N+的电离气体。可以认为Na+被N+所排斥而脱离。参照图18。
图25示出试验结果。在图25示出对有意地进行了Na污染的晶片(相当于图中的“Ref”)轰击NH3等离子时、和轰击N2等离子时的膜中的Na浓度。其结果,认为通过轰击N2等离子能谋求减少Na浓度,N2等离子轰击是有效的。
作为N2电离气体的供给方法,研究了图23所示的方法。
TEST0是没有N2等离子处理的以往条件。
TEST1在ALD成膜前后(实施预定次数的循环前后)实施N2等离子,目的在于除去成膜前后的Na吸附。
TEST2是在ALD成膜所需的NH3等离子轰击中也同时轰击N2等离子来除去成膜中的吸附Na的方法。
TEST3是在ALD成膜的每个循环实施N2等离子处理来除去成膜中的吸附Na的方法。
结果示于图24。
观察TEST1和TEST3,发现通过实施N2等离子,可得到Na降低的效果。
在TEST3中,N2等离子时间是10秒×100个循环=1000秒(17分钟),比TEST1长,因此可以认为其Na浓度降低效果较大。可以认为,在TEST1中,主要除去仅膜表面的Na,除去膜中的Na非常少。另一方面,TEST3是每1个循环轰击N2等离子,所以可以认为比TEST1的Na除去效率高。
在TEST2中,同时轰击NH3等离子和N2等离子,能够判断没有Na降低的效果。其原因可以认为是仍然存在NH4-的负电荷来进行Na的吸附,因此为了除去吸附Na必须暂时停止轰击NH3等离子。
实施例6
在本实施例中,用与实施例1相同的装置,将实施例1的TMA变更为DCS(二氯硅烷:SiH2CI2),将O3变更为NH3自由基,将O2变更为N2与NH3的混合气体,交替供给DCS和NH3自由基而由ALD法形成希望厚度的Si3N4膜,由H2与NH3的混合气体的等离子进行Si3N4膜的改性。
更具体而言,通过反复实施以下步骤,能降低由异物造成的污染。即,通过反复进行DCS气体轰击步骤与NH3气体轰击步骤而以沉积速度为3nm/min以上的速度在Si衬底上沉积几nm的SiN薄膜的成膜步骤、和为了除去在第1步骤产生的异物而使用N2与NH3的混合气体产生等离子性气体并轰击到Si衬底上的异物除去步骤。
N2与NH3的混合气体的混合比率为1∶1~6∶1,在0.5Torr以下的压力下产生等离子,将Si衬底暴露于该等离子性气体中,由此除去附着于Si衬底上的异物。
作为半导体制造步骤之一,例如在衬底温度为550℃以下的低温下使用DCS(二氯硅烷)和NH3(氨)等离子进行利用ALD法的非晶硅氮化膜(以下简称为SiN)的形成。在衬底上形成SiN是由DCS轰击处理、NH3等离子轰击处理构成的。通过反复该2个处理(以下成为循环处理),能够在衬底上进行预定膜厚的SiN的沉积。然而,在这样的ALD法中,也具有在衬底以外的接触气体部累积地沉积有薄膜这样的缺点。因此,容易发生以下所示那样的问题。
该问题就是由累积膜的微裂纹发生所引起的剥离异物污染。SiN沉积时的衬底温度越低、或者沉积速度越快、或者累积膜厚越厚,该异物污染越容易产生。可以认为,衬底温度越低、或沉积速度越快,混入到累积膜中的杂质量越多,因连续成膜处理的热量而退火,杂质脱离,由于反复收缩和膨胀,产生了微裂纹,导致了剥离异物污染。若加快沉积速度,则容易受杂质脱离的影响。在上述循环处理中,由于杂质脱离,而引起气相反应,容易增加气相异物。因此,该问题成为提高装置生产量和膜质量的大障碍。
本实施例提出用于解决该问题的方案。
本实施例由以下2个步骤构成,反复进行2个步骤对被处理衬底进行处理。(以往通过反复进行第1步骤来沉积SiN)
第1步骤:成膜原料轰击处理+改性等离子轰击处理(相当于以往的1个循环处理)
第2步骤:由等离子进行的异物除去步骤
通过上述2个步骤,能够相对于现有技术高速地形成降低了异物污染的SiN薄膜。以下,说明在各步骤中如何形成SiN薄膜、如何除去异物。
第1步骤(成膜原料轰击处理+改性等离子轰击处理)
在图26中示出该步骤的衬底处理流程的一个例子。
第1步骤的1个循环相当于以往的循环处理步骤的1个循环。在图1、图2所示那样的装置中,将Si晶片200装填到舟皿217上后,将舟皿217插入到反应管203中,开始步骤A1的衬底的加热处理。该步骤A1的处理例如由以下的处理构成。最好根据Si晶片200的表面状态来实施。
(1)减压处理
由真空泵246降低反应管203内的压力,由此使附着在晶片200表面上的杂质脱离。
(2)惰性气体循环净化处理
经由气体供给管232g将惰性气体周期性地导入到被减压处理了的反应管203内,使附着于衬底表面的杂质溶入惰性气体中而除去。最好一边对晶片200进行加热一边实施该处理。
(3)等离子表面处理(等离子表面氧化处理、等离子表面还原处理)
该处理是这样的处理:一边由气体供给管232g对被减压的反应管203导入表面处理气体,一边由高频电源273在棒状电极269与棒状电极270之间发生放电,在缓冲室237内产生等离子。通过该处理,被等离子处理的表面处理气体经由设于缓冲室237上的气体供给孔248a而轰击到晶片200上。本处理是用于在实施了上述处理(1)、(2)后进一步除去附着于晶片200表面上的杂质的处理,最好一边由舟皿旋转机构267使晶片200旋转一边实施该处理。等离子表面氧化处理时的表面处理气体主要是O2,是具有作为氧化剂的作用的改性气体。而等离子表面还原处理时的表面处理气体主要是H2,是具有作为还原剂的作用的改性气体。H2和O2的供给系统未图示。
加热处理通过将舟皿217插入到反应管203而开始。由加热器207将反应管203的温度控制为恒定,对晶片200进行加热处理,能将其维持在预定温度。其维持温度优选如后述那样适合成膜原料的成膜温度。
后述的步骤B3的等离子处理与上述等离子表面处理相同,仅供给到缓冲室237的气体种类不同。
接着,实施步骤B1~B4的处理,在晶片上形成薄膜。在由ALD法进行SiN沉积中,例如优选是成膜原料为DCS,成膜温度(晶片温度)优选450℃以下。这是为了能在预先形成于晶片上的电路图案上,不造成热损伤地形成阶梯覆盖度良好的SiN薄膜。
步骤B1的成膜原料轰击处理是在晶片表面上附着成膜原料的处理、或是将通过成膜原料的热分解过程而产生的反应中间体附着到晶片表面上的处理。步骤B2的惰性气体净化处理是谋求附着的成膜原料的均匀化的处理、或是用于排出未附着的成膜原料的成分(由于也包括中间体,所以称为成分)的处理。步骤B3的改性等离子轰击处理是使附着的成膜原料与等离子激励的改性气体发生反应来沉积原子层级别的薄膜的处理。步骤B4的惰性气体净化处理是用于从处理室排出在步骤B3产生的反应副生成物的处理。
参照图1、图2,说明例如成膜原料为DCS、改性等离子为NH3等离子时的例子。在步骤B1的成膜原料轰击处理中,由气体供给管232b将DCS供给到反应管203内。其后,在步骤B2的惰性气体净化处理中,停止了DCS后,由气体供给管232b将N2气体供给到反应管203内。在步骤B3的改性等离子轰击处理中,由气体供给管232a将NH3气体供给到反应管203内。该步骤B3处理中,高频电源273供给功率而在棒状电极269与棒状电极270之间产生等离子。在步骤B4的惰性气体净化处理中,停止了NH3供给和等离子后,由气体供给管232a将N2气体供给到反应管203内。以往通过反复进行以上步骤B1~B4的处理形成SiN薄膜。所形成的SiN薄膜成为由包含Si、N、Cl、H的元素构成的非晶硅薄膜。
在此,在第1步骤(相当于以往处理)中,为了提高ALD法的薄膜的沉积速度,需要使1个循环缩短。在步骤B1的成膜原料轰击处理中,成膜原料与包括衬底表面在内的接触气体部相互作用而处于吸附状态。在此所说的吸附状态是指原料被限制在接触气体部的表面出现的薄的相互作用层中的状态,可以推测,在其相互作用层的内部,成膜原料反复进行吸附和脱离而移动。此时,有成膜原料的一部分取决于衬底温度通过热分解而成为中间体(例如称为自由基)的情况。成为中间体时,其分子结构通常失去了电中性而极性加强,上述相互作用(电吸引作用)加强,处于难以移动的状态。例如,成膜原料为DCS时,衬底温度达到450℃以上时,该中间体的生成量变多,1个循环中的吸附量增加,沉积速度上升。但是,移动变少,结果容易失去台阶覆盖性。另一方面,在400℃以下的低温中,成膜原料为DCS时,难以生成中间体,有吸附量(残留量)为恒定的倾向,沉积速度为恒定。
然而,在吸附状态下,在相互作用层中如上述那样反复进行吸附和脱离,所以通过在接着的步骤B2的惰性气体净化而促进了脱离。因此,若延长步骤B2的时间,则减少了吸附量,降低了沉积速度。因此,为了提高沉积速度,需要缩短步骤B2的时间。然而,若缩短该步骤B2的时间,则成膜原料的吸附量、即腔室内的原料的残留量变多,在接下来的步骤B3的改性等离子轰击处理中,由气相反应产生的异物产生量增加。因此,增加步骤B2中的惰性气体的供给速度,使得成膜原料与改性等离子不发生气相反应。但是,在上述的相互作用层中,成膜原料的吸附分子不是静止的,一部分处于脱离状态,因此通过缩短步骤B2的时间,增加了由气相反应产生的异物。
如以上所述,若缩短1个循环的时间,会导致异物增加,因此用以往的方法难以得到3nm/分钟以上的沉积速度。
在本实施例中,接着上述第1步骤,为了解决以往方法的问题点而实施用于除去气相反应异物的第2步骤。第1步骤假定是高速化而产生了气相异物。其一例示于图27。
在成膜原料为DCS、改性等离子为NH3时,上述气相异物是粉状的SiN,但其大部分由于第1步骤的步骤B3的改性等离子轰击处理而带负电或带正电。由于晶片带负电,所以仅气相异物中带正电的部分和电中性的部分附着于晶片,其他带负电的部分不能附着于晶片上。图27的步骤C1的N2+NH3等离子处理(由等离子激励N2气体和NH3气体的混合气体而成的物质进行的处理)是用于使带正电或中性的异物带负电的处理。
因此,在步骤C1的处理后,晶片上的异物不能维持电附着状态,所以通过其后的步骤C2的惰性气体净化处理能够进行排气。
图28示出使用图1、图2那样的装置,对于附着了500~900个左右的0.1μm异物的衬底施加第2步骤的条件,测量了异物的减少幅度的结果。
由该结果可知,由N2与NH3的混合气体进行的等离子轰击对异物除去有效。并判断出,即使混合比为6∶1也有效。
接着,图29示出等离子轰击时的压力为0.5Torr以上时的0.1~0.13μm的异物数量。
由该结果可知,即使是由N2与NH3的混合气体进行的等离子轰击,也在压力较高时丧失异物除去效果。
如以上所述,在本实施例中,相对于现有技术,能够在容易产生异物的工艺、即高速化的ALD法的薄膜沉积中高效率地除去异物。
如以上所述,在本发明的优选实施例1~6中,能够一起对多张晶片进行等离子处理,并能用成膜处理以及等离子处理一体化了的装置结构来实施处理。因此,能够提高生产率。
接着,参照图30、31简要说明本发明的优选实施例的衬底处理装置。
在壳体101内部的前面侧设有作为保持器授受部件的料盒台105,该保持器授受部件在与未图示的外部输送装置之间进行作为衬底容纳容器的料盒100的授受,在料盒台105的后侧设有作为升降单元的料盒升降机115,在料盒升降机115上安装有作为输送单元的料盒移载机114。在料盒升降机115的后侧设有作为料盒100的载置单元的料盒架109,并在料盒台105的上方设有预备料盒架110。在预备料盒架110的上方设有清洁单元118,使清洁空气在壳体101的内部流通。
在壳体101的后部上方设有处理炉202,在处理炉202的下方设有作为使舟皿217在处理炉202升降的升降单元的舟皿升降机121,上述舟皿217作为以水平姿势呈多层地保持作为衬底的晶片200的衬底保持单元,在安装于舟皿升降机121上的升降部件122的顶端部安装有作为盖体的密封盖219,垂直支承舟皿217。在舟皿升降机121和料盒架109之间设有作为升降单元的移载升降机113,在移载升降机113上安装有作为输送单元的晶片移载机112。在舟皿升降机121的横向设有作为具有开闭机构、气密地闭塞处理炉202下侧的闭塞单元的炉口挡板116。
装填有晶片200的料盒100,从未图示的外部输送装置以晶片200面朝上的姿势搬入料盒台105,由料盒台105旋转90°使晶片200成为水平姿势。料盒100通过料盒升降机115的升降动作、横行动作以及料盒移载机114的进退动作、旋转动作的协同动作,从料盒台105输送到料盒架109或预备料盒架110。
料盒架109上有容纳作为晶片移载机112的输送对象的料盒100的移载架123,用于移载晶片200的料盒100通过料盒升降机115、料盒移载机114而被移载到移载架123。
当料盒100被移载到移载架123时,通过料盒移载机112的进退动作、旋转动作以及移载升降机113的升降动作的协同动作,将晶片200从移载架123移载到下降状态的舟皿217上。
将预定张数的晶片200移载到舟皿217上后,通过舟皿升降机121将舟皿217插入处理炉202,由密封盖219将处理炉202气密地闭塞。在被气密闭塞的处理炉202内加热晶片200,并且向处理炉202内供给处理气体,对晶片200进行处理。
对晶片200的处理完成时,晶片200按照与上述动作相反的顺序,从舟皿217移载到移载架123的料盒100,料盒100通过料盒移载机114从移载架123被移载到料盒台105,通过未图示的外部输送装置搬出到壳体101的外部。炉口挡板116在舟皿217为下降状态时气密地闭塞处理炉202的下表面,防止外部气体卷入处理炉202内。
料盒移载机114等的输送动作由输送控制单元124来进行控制。
包括说明书、权利要求书、附图以及摘要的2005年2月17日提出的日本专利申请2005-40501号公报的全部公开内容直接引用并编入于此。
工业上的可利用性
如以上所述,根据本发明的优选实施方式,提供半导体器件的制造方法以及衬底处理装置,能够在用ALD法形成薄膜时形成高质量的薄膜。
其结果,本发明尤其适合用于使用半导体硅衬底的半导体器件的制造方法以及半导体硅衬底处理装置。

Claims (18)

1.一种半导体器件的制造方法,
包括:
第1步骤,对收容于处理室内的衬底供给第1反应物质,使存在于上述衬底表面的作为反应点的配位基、与该第1反应物质的配位基发生配位基交换反应;
第2步骤,从上述处理室除去剩余的上述第1反应物质;
第3步骤,对上述衬底供给第2反应物质,使由上述第1步骤交换后的配位基对反应点发生配位基交换反应;
第4步骤,从上述处理室除去剩余的上述第2反应物质;以及
第5步骤,对上述衬底供给由等离子激励了的第3反应物质,使在上述第3步骤中未对反应点进行交换发应的配位基对反应点发生配位基交换反应,
反复进行上述第1~第5步骤预定次数,直到在上述衬底表面形成所希望厚度的膜。
2.根据权利要求1所述的半导体器件的制造方法,
上述第3步骤和第5步骤的各配位基交换反应,是进行除去存在于上述衬底表面的配位基而形成反应点的配位基除去反应的步骤。
3.根据权利要求1所述的半导体器件的制造方法,
在上述第5步骤中发生了交换反应后生成的上述反应点和在其后的第1步骤中供给的第1反应物质的配位基之间进行配位基交换反应。
4.一种半导体器件的制造方法,
包括薄膜形成步骤和等离子处理步骤,反复进行上述薄膜形成步骤和上述等离子处理步骤预定次数,直到形成所希望厚度的薄膜,
其中,上述薄膜形成步骤包括:
向收容有衬底的处理室内供给第1反应物质,使上述第1反应物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;以及
从上述处理室除去剩余的上述第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给被等离子激励了的气体。
5.根据权利要求4所述的半导体器件的制造方法,
上述被等离子激励了的气体是含有氧原子的气体。
6.根据权利要求5所述的半导体器件的制造方法,
上述第1反应物质是含有铝的气体,上述第2反应物质是臭氧气体,上述被等离子激励了的气体是氧等离子气体,所形成的上述薄膜是氧化铝的薄膜。
7.根据权利要求4所述的半导体器件的制造方法,
上述被等离子激励了的气体是含有氮原子的气体。
8.根据权利要求7所述的半导体器件的制造方法,
上述第1反应物质是含有硅的气体,上述第2反应物质是含有氮的气体,所形成的上述薄膜是氮化硅的薄膜,在被供给上述第1反应物质的步骤与被供给上述第2反应物质的步骤之间实施上述等离子处理。
9.根据权利要求4所述的半导体器件的制造方法,
上述第1反应物质是含有硅的气体,上述第2反应物质是含有氮的气体,上述被等离子激励了的气体是被等离子激励了的含有氮的气体,所形成的上述薄膜是氮化硅的薄膜。
10.根据权利要求9所述的半导体器件的制造方法,
上述被等离子激励了的气体是被等离子激励了的氮气和被等离子激励了的氨气。
11.根据权利要求10所述的半导体器件的制造方法,
上述被等离子激励了的气体,是等离子激励了混合气体的气体,其中,上述混合气体以1∶1~6∶1的混合比混合了氮气和氨气。
12.根据权利要求10所述的半导体器件的制造方法,
上述等离子处理步骤是在0.5Torr以下的压力下进行等离子处理的步骤。
13.一种半导体器件的制造方法,
包括薄膜形成步骤和等离子处理步骤,反复进行上述薄膜形成步骤和上述等离子处理步骤预定次数,直到形成所希望厚度的薄膜,
其中,上述薄膜形成步骤反复进行以下步骤预定次数,在衬底上形成几个原子层的薄膜,即
向收容有衬底的处理室内供给第1反应物质,使上述第1反应物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;
从上述处理室除去剩余的上述第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给含有氧原子的气体。
14.根据权利要求12所述的半导体器件的制造方法,
在上述薄膜形成步骤中形成2~5个原子层的薄膜。
15.一种半导体器件的制造方法,
包括氧化膜形成步骤和等离子氮化处理步骤,
其中,上述氧化膜形成步骤反复进行以下步骤预定次数,在硅膜上形成所希望厚度的氧化膜,即,
对收容有表面露出了上述硅膜的衬底的处理室内供给第1反应物质,使上述第1物质吸附于上述硅膜的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述硅膜上的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;
从上述处理室除去剩余的上述第2反应物质的步骤,
上述等离子氮化处理步骤,在上述氧化膜形成步骤后,为了对上述氧化膜的表面进行氮化而使用含有氮原子的气体。
16.一种衬底处理装置,
具有:
收容衬底的处理室;
向上述处理室内供给第1反应物质的第1供给单元;
向上述处理室内供给第2反应物质的第2供给单元;
向上述处理室内供给第3反应物质的第3供给单元;
对上述处理室内进行排气的排出单元;
由等离子激励上述第3反应物质的激励单元;以及
控制上述第1~第3供给单元、上述排出单元以及上述激励单元的控制单元,
通过上述控制单元对上述第1~第3供给单元、上述排出单元以及上述激励单元的控制,反复进行以下步骤预定次数,直到在上述衬底的表面形成所希望厚度的膜,即
第1步骤,对收容于处理室内的上述衬底供给第1反应物质,使存在于上述衬底的表面的作为反应点的配位基与该第1反应物质的配位基发生配位基交换反应;
第2步骤,从上述处理室除去剩余的上述第1反应物质;
第3步骤,对上述衬底供给上述第2反应物质,使由上述第1步骤交换后的配位基对反应点发生配位基交换反应;
第4步骤,从上述处理室除去剩余的上述第2反应物质;
第5步骤,对上述衬底供给由等离子激励了的第3反应物质,使在上述第3步骤中未对反应点进行交换反应的配位基对反应点发生配位基交换反应。
17.一种衬底处理装置,
具有:
收容衬底的处理室;
向上述处理室内供给第1反应物质的第1供给单元;
向上述处理室内供给第2反应物质的第2供给单元;
向上述处理室内供给第3反应物质的第3供给单元;
对上述处理室内进行排气的排出单元;
由等离子激励上述第3反应物质的激励单元;以及
控制上述第1~第3供给单元、上述排出单元以及上述激励单元的控制单元,
通过上述控制单元对上述第1~第3供给单元、上述排出单元以及上述激励单元的控制,反复进行薄膜形成步骤和等离子处理步骤预定次数,直到在上述衬底的表面形成所希望厚度的薄膜,
其中,上述薄膜形成步骤包括:
向收容有衬底的处理室内供给第1反应物质,使上述第1物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成至少1个原子层的薄膜的步骤;以及
从上述处理室除去剩余的第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给由等离子激励了的上述第3反应物质。
18.一种衬底处理装置,
具有:
收容衬底的处理室;
向上述处理室内供给第1反应物质的第1供给单元;
向上述处理室内供给第1反应物质的第2供给单元;
排出上述处理室内的气氛的排出单元;
向上述处理室内供给含有氧原子的气体的第3供给单元;
将上述含有氧原子的气体等离子化的等离子化单元;以及
控制上述第1~第3供给单元、上述排出单元以及上述等离子化单元的控制单元,
通过上述控制单元对上述第1~第3供给单元、上述排出单元以及上述等离子化单元的控制,反复进行薄膜形成步骤和等离子处理步骤预定次数,直到形成所希望厚度的薄膜,
其中,上述薄膜形成步骤包括:
向上述处理室内供给第1反应物质,使上述第1反应物质吸附于上述衬底的表面的步骤;
从上述处理室除去剩余的上述第1反应物质的步骤;
向上述处理室内供给第2反应物质,使其与吸附于上述衬底的表面的上述第1反应物质发生反应,形成1个原子层的薄膜的步骤;以及
从上述处理室除去剩余的第2反应物质的步骤,
上述等离子处理步骤,在上述薄膜形成步骤后,为了改善上述薄膜的膜质而向上述处理室内供给含有氧原子的气体。
CNA2006800008685A 2005-02-17 2006-02-15 半导体器件的制造方法以及衬底处理装置 Pending CN101032006A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP040501/2005 2005-02-17
JP2005040501 2005-02-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN200910128305XA Division CN101527263B (zh) 2005-02-17 2006-02-15 半导体器件的制造方法

Publications (1)

Publication Number Publication Date
CN101032006A true CN101032006A (zh) 2007-09-05

Family

ID=36916466

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200910128305XA Active CN101527263B (zh) 2005-02-17 2006-02-15 半导体器件的制造方法
CNA2006800008685A Pending CN101032006A (zh) 2005-02-17 2006-02-15 半导体器件的制造方法以及衬底处理装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200910128305XA Active CN101527263B (zh) 2005-02-17 2006-02-15 半导体器件的制造方法

Country Status (6)

Country Link
US (4) US7779785B2 (zh)
JP (3) JP4546519B2 (zh)
KR (2) KR100841866B1 (zh)
CN (2) CN101527263B (zh)
TW (1) TW200631080A (zh)
WO (1) WO2006088062A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104701152A (zh) * 2013-12-05 2015-06-10 台湾积体电路制造股份有限公司 具有矩形轮廓的间隔件及其制造方法
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN107863289A (zh) * 2016-09-21 2018-03-30 株式会社日立国际电气 半导体装置的制造方法、基板处理装置和存储介质
CN111074235A (zh) * 2018-10-19 2020-04-28 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
CN112369465A (zh) * 2020-10-10 2021-02-19 浙江农林大学 一种覆膜装置及其覆膜方法
CN113169066A (zh) * 2018-11-30 2021-07-23 东京毅力科创株式会社 基片处理方法和基片处理系统

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505680B1 (ko) * 2003-03-27 2005-08-03 삼성전자주식회사 루테늄층을 갖는 반도체 메모리 소자의 제조방법 및루테늄층제조장치
US7842581B2 (en) * 2003-03-27 2010-11-30 Samsung Electronics Co., Ltd. Methods of forming metal layers using oxygen gas as a reaction source and methods of fabricating capacitors using such metal layers
KR100841866B1 (ko) * 2005-02-17 2008-06-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
US20070292974A1 (en) * 2005-02-17 2007-12-20 Hitachi Kokusai Electric Inc Substrate Processing Method and Substrate Processing Apparatus
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
EP2007915A2 (en) * 2006-04-20 2008-12-31 Shell Erneuerbare Energien GmbH Thermal evaporation apparatus, use and method of depositing a material
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
JP4963455B2 (ja) * 2007-09-04 2012-06-27 国立大学法人北海道大学 半導体基板の表面に絶縁膜を形成する方法と装置
JP4905315B2 (ja) * 2007-10-19 2012-03-28 東京エレクトロン株式会社 半導体製造装置、半導体製造方法及び記憶媒体
JP2009277899A (ja) * 2008-05-15 2009-11-26 Hitachi Kokusai Electric Inc 基板処理方法
JP5616591B2 (ja) 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2010027702A (ja) * 2008-07-16 2010-02-04 Hitachi Kokusai Electric Inc 基板処理装置及び薄膜生成方法
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5173878B2 (ja) * 2009-02-10 2013-04-03 三井造船株式会社 原子層成長装置および方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5564311B2 (ja) * 2009-05-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び基板の製造方法
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5571410B2 (ja) * 2010-02-23 2014-08-13 日本電信電話株式会社 特性劣化防止方法
JP5247781B2 (ja) * 2010-09-07 2013-07-24 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8822350B2 (en) * 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8840958B2 (en) * 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
CN102787304B (zh) 2011-05-18 2015-07-29 东京毅力科创株式会社 成膜方法和成膜装置
JP5602711B2 (ja) * 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
KR101361673B1 (ko) * 2011-10-07 2014-02-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6088178B2 (ja) * 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8785303B2 (en) * 2012-06-01 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for depositing amorphous silicon
CN104395992A (zh) * 2012-07-13 2015-03-04 株式会社村田制作所 晶体管以及晶体管的制造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6245643B2 (ja) 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6011420B2 (ja) * 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
KR101551199B1 (ko) * 2013-12-27 2015-09-10 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP5968996B2 (ja) 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP5963893B2 (ja) 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US20160254145A1 (en) * 2015-02-27 2016-09-01 Globalfoundries Inc. Methods for fabricating semiconductor structure with condensed silicon germanium layer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6086942B2 (ja) * 2015-06-10 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
EP3245313B1 (en) * 2015-07-13 2018-12-05 Applied Materials, Inc. Evaporation source.
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US20170089915A1 (en) * 2015-09-30 2017-03-30 Agilent Technologies, Inc. Methods of analyte derivatization and enhanced soft ionization
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
JP6478330B2 (ja) * 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10366900B2 (en) * 2016-03-25 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6656103B2 (ja) * 2016-07-15 2020-03-04 東京エレクトロン株式会社 窒化膜の成膜方法および成膜装置
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102453245B1 (ko) * 2017-02-23 2022-10-07 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 처리 용기
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
JP6778144B2 (ja) 2017-04-25 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6920262B2 (ja) * 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6879435B2 (ja) 2018-09-26 2021-06-02 日立金属株式会社 熱電変換材料、およびそれを用いた熱電変換モジュール、並びに熱電変換材料の製造方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2019071497A (ja) * 2019-02-13 2019-05-09 豊田合成株式会社 半導体装置およびその製造方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7209568B2 (ja) * 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
KR20220044357A (ko) 2019-09-20 2022-04-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기록 매체 및 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
JP7314016B2 (ja) * 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7222946B2 (ja) * 2020-03-24 2023-02-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7455013B2 (ja) 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2763100B2 (ja) * 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JP3046643B2 (ja) * 1991-06-10 2000-05-29 富士通株式会社 半導体装置の製造方法
JPH06326030A (ja) 1993-05-13 1994-11-25 Canon Inc 半導体製造方法及び製造装置
JP2000195820A (ja) 1998-12-25 2000-07-14 Sony Corp 金属窒化物膜の形成方法およびこれを用いた電子装置
DE10049257B4 (de) * 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
JP4449226B2 (ja) 2000-05-22 2010-04-14 東京エレクトロン株式会社 金属酸化膜の改質方法、金属酸化膜の成膜方法及び熱処理装置
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100467366B1 (ko) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
JPWO2002023614A1 (ja) 2000-09-18 2004-01-22 東京エレクトロン株式会社 ゲート絶縁体の成膜方法、ゲート絶縁体の成膜装置、クラスターツール
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
KR100474847B1 (ko) * 2001-05-07 2005-03-08 삼성전자주식회사 다성분계 박막 및 그 형성 방법
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100407381B1 (ko) 2001-06-29 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 커패시터 형성방법
ATE524574T1 (de) 2001-10-02 2011-09-15 Nat Inst Of Advanced Ind Scien Verfahren zur herstellung vom dünnen metalloxidfilm
JP2004047948A (ja) 2002-03-26 2004-02-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体製造装置
JP4695343B2 (ja) * 2002-04-11 2011-06-08 株式会社日立国際電気 縦型半導体製造装置
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
JP4677166B2 (ja) * 2002-06-27 2011-04-27 三洋電機株式会社 半導体装置及びその製造方法
JP2004095900A (ja) 2002-08-30 2004-03-25 Fujitsu Ltd アルミナ膜の成膜方法
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
US20060124058A1 (en) * 2002-11-11 2006-06-15 Hitachi Kokusai Electric Inc. Substrate processing device
JP3815566B2 (ja) 2003-03-13 2006-08-30 オムロン株式会社 基板検査装置
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
CN100517599C (zh) * 2004-10-07 2009-07-22 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
KR100841866B1 (ko) * 2005-02-17 2008-06-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104701152A (zh) * 2013-12-05 2015-06-10 台湾积体电路制造股份有限公司 具有矩形轮廓的间隔件及其制造方法
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN107863289A (zh) * 2016-09-21 2018-03-30 株式会社日立国际电气 半导体装置的制造方法、基板处理装置和存储介质
CN111074235A (zh) * 2018-10-19 2020-04-28 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
CN111074235B (zh) * 2018-10-19 2024-01-05 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
CN113169066A (zh) * 2018-11-30 2021-07-23 东京毅力科创株式会社 基片处理方法和基片处理系统
CN113169066B (zh) * 2018-11-30 2024-05-31 东京毅力科创株式会社 基片处理方法和基片处理系统
CN112369465A (zh) * 2020-10-10 2021-02-19 浙江农林大学 一种覆膜装置及其覆膜方法
CN112369465B (zh) * 2020-10-10 2022-09-13 浙江农林大学 一种覆膜装置及其覆膜方法

Also Published As

Publication number Publication date
CN101527263A (zh) 2009-09-09
US8039404B2 (en) 2011-10-18
KR20080049853A (ko) 2008-06-04
JP4922335B2 (ja) 2012-04-25
JPWO2006088062A1 (ja) 2008-07-03
US8105957B2 (en) 2012-01-31
US20080124945A1 (en) 2008-05-29
CN101527263B (zh) 2013-03-20
KR100841866B1 (ko) 2008-06-27
US20100233887A1 (en) 2010-09-16
US7779785B2 (en) 2010-08-24
US8227346B2 (en) 2012-07-24
KR20070088512A (ko) 2007-08-29
TW200631080A (en) 2006-09-01
JP2012069998A (ja) 2012-04-05
JP2009152640A (ja) 2009-07-09
US20120077350A1 (en) 2012-03-29
KR100924055B1 (ko) 2009-10-27
JP5276156B2 (ja) 2013-08-28
US20120034790A9 (en) 2012-02-09
US20090280652A1 (en) 2009-11-12
WO2006088062A1 (ja) 2006-08-24
JP4546519B2 (ja) 2010-09-15

Similar Documents

Publication Publication Date Title
CN101032006A (zh) 半导体器件的制造方法以及衬底处理装置
CN1115720C (zh) 半导体集成电路器件的制造方法
CN1036860C (zh) 使用烷基铝氢化物形成以铝作为主成份的金属沉积膜的方法
CN1879203A (zh) 半导体装置的制造方法及衬底处理装置
CN1574231A (zh) 半导体集成电路器件的制造方法
CN1181220C (zh) 涂层工件的制造方法、方法的应用及其装置
CN1180457C (zh) 半导体器件的制造方法和电子设备的制造方法
CN100339945C (zh) 等离子处理装置及其清洗方法
CN1717791A (zh) 基板处理容器的清洗方法
CN1860596A (zh) 电子器件材料的制造方法
CN1511337A (zh) 基板处理方法和装置、半导体装置的制造装置
CN1941324A (zh) 用于制造半导体集成电路器件的方法
CN1531753A (zh) 汽化器、使用汽化器的各种装置以及汽化方法
CN1672248A (zh) 氧化膜形成方法及氧化膜形成装置
CN1440417A (zh) 有机金属化合物及其作为形成金属或金属衍生物薄膜和粉末前体的用途
CN1670913A (zh) 绝缘膜成形方法、绝缘膜成形装置和等离子体膜成形装置
CN1917219A (zh) 源极/漏极电极、薄膜晶体管衬底及其制备方法和显示器件
CN101061253A (zh) 使用批式制程腔室的基材处理装置
CN1838387A (zh) 蚀刻方法和蚀刻装置
CN1934679A (zh) 硅粒形成方法和硅粒形成装置
CN1909249A (zh) 具有薄膜晶体管的半导体器件及其制造方法
CN1692477A (zh) 基板处理装置和基板处理方法
CN1126155C (zh) 介电常数降低的改进二氧化硅绝缘膜及其形成方法
CN101032007A (zh) 铜配线的形成方法
CN1789487A (zh) 淀积金属化合物层的方法和用于淀积金属化合物层的设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication