JP4546519B2 - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法 Download PDF

Info

Publication number
JP4546519B2
JP4546519B2 JP2007503684A JP2007503684A JP4546519B2 JP 4546519 B2 JP4546519 B2 JP 4546519B2 JP 2007503684 A JP2007503684 A JP 2007503684A JP 2007503684 A JP2007503684 A JP 2007503684A JP 4546519 B2 JP4546519 B2 JP 4546519B2
Authority
JP
Japan
Prior art keywords
plasma
gas
film
reactant
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007503684A
Other languages
English (en)
Other versions
JPWO2006088062A1 (ja
Inventor
博信 宮
一行 豊田
武敏 佐藤
優幸 浅井
謙和 水野
正憲 境
和幸 奥田
英樹 堀田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JPWO2006088062A1 publication Critical patent/JPWO2006088062A1/ja
Application granted granted Critical
Publication of JP4546519B2 publication Critical patent/JP4546519B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Description

本発明は半導体デバイスの製造方法に関する。
半導体デバイスを製造するために、CVD(Chemical Vapor Deposition)法やALD(Atomic Layer Deposition)法により誘電体膜、金属酸化膜等の薄膜を低温度で半導体基板に形成することが行われている。
しかしながら、低温(600℃以下)形成された薄膜においては、エッチング速度の増大(膜質をチェックする際、生成された膜をエッチングして評価するが、緻密でない膜だとエッチング速度が大きくなる)、高温プロセス実施時の膜収縮などの問題が生ずる。そのため、高品質な膜を製造する方法が望まれている。
従って、本発明の主な目的は、低温で薄膜を形成した場合であっても、高品質な薄膜が形成できる半導体デバイスの製造方法を提供することにある。
本発明の一態様によれば、
処理室内に収容された基板に第1の反応物質を供給し、前記基板の表面に存在する反応サイトとしての配位子と、該第1の反応物質の配位子とを、配位子交換反応させる第1の工程と、
前記処理室から余剰な前記第1の反応物質を除去する第2の工程と、
前記基板に第2の反応物質を供給し、前記第1の工程により交換された後の配位子を反応サイトへ配位子交換反応させる第3の工程と、
前記処理室から余剰な前記第2の反応物質を除去する第4の工程と、
前記基板にプラズマにより励起された第3の反応物質を供給し、前記第3の工程で反応サイトへと交換反応が行われなかった配位子を、反応サイトへ配位子交換反応させる第5の工程と、を含み、
前記基板表面に所望の厚さの膜が形成されるまで前記第1〜第5の工程を所定回数繰り返す半導体デバイスの製造方法が提供される。
本発明の他の態様によれば、
基板が収容された処理室内に第1の反応物質を供給し、前記基板の表面に前記第1の反応物質を吸着させる工程と、
前記処理室から余剰な前記第1の反応物質を除去する工程と、
前記処理室内に第2の反応物質を供給し、前記基板の表面に吸着した前記第1の反応物質と反応させて少なくとも1原子層の薄膜を形成する工程と、
前記処理室から余剰な第2の反応物質を除去する工程と、から成る薄膜形成工程と、
前記薄膜形成工程後に、前記薄膜の膜質改善を行うため前記処理室内にプラズマ励起されたガスを供給してのプラズマ処理工程と、を有し、
前記薄膜形成工程と前記プラズマ処理工程とを所望の厚さの薄膜が形成されるまで所定回数繰り返してなり、
前記プラズマ励起されたガスは、窒素ガス:アンモニアガスを1:1〜6:1の混合比率にて混合した混合ガスがプラズマ励起されたガスである半導体デバイスの製造方法が提供される。
本発明のさらに他の態様によれば、
基板が収容された処理室内に第1の反応物質を供給し、前記基板の表面に前記第1の反応物質を吸着させる工程と、
前記処理室から余剰な前記第1の反応物質を除去する工程と、
前記処理室内に第2の反応物質を供給し、前記基板の表面に吸着した前記第1の反応物質と反応させて少なくとも1原子層の薄膜を形成する工程と、
前記処理室から余剰な第2の反応物質を除去する工程と、
を所定回数繰り返し、前記基板に数原子層の薄膜を形成する薄膜形成工程と、
前記薄膜形成工程後に、前記薄膜の膜質改善を行うため前記処理室内に酸素原子含有ガスを供給してのプラズマ処理工程と、を有し、
前記薄膜形成工程と前記プラズマ処理工程とを所望の厚さの薄膜が形成されるまで所定回数繰り返してなる半導体デバイスの製造方法が提供される。
本発明のさらに他の態様によれば、
表面にシリコン膜が露出した基板を収容した処理室内に第1の反応物質を供給し、前記シリコン膜の表面に前記第1の反応物質を吸着させる工程と、
前記処理室から余剰な前記第1の反応物質を除去する工程と、
前記処理室内に第2の反応物質を供給し、前記シリコン膜上に吸着した前記第1の反応物質と反応させて少なくとも1原子層の薄膜を形成する工程と、
前記処理室から余剰な第2の反応物質を除去する工程と、
を所定回数繰り返し、前記シリコン膜上に所望の厚さの酸化膜を形成する酸化膜形成工程と、
前記酸化膜形成工程後に、前記酸化膜の表面を窒化するため窒素原子含有ガスを用いてのプラズマ窒化処理工程と、を有する半導体デバイスの製造方法が提供される。
本発明のさらに他の態様によれば、
基板を収容する処理室と、
前記処理室内に第1の反応物質を供給する第1の供給手段と、
前記処理室内に第2の反応物質を供給する第2の供給手段と、
前記処理室内に第3の反応物質を供給する第3の供給手段と、
前記処理室内を排気する排出手段と、
前記第3の反応物質をプラズマにより励起する励起手段と、
前記第1〜第3の供給手段、前記排出手段および前記励起手段を制御する制御手段と、を備え
前記制御手段の前記第1〜第3の供給手段、前記排出手段および前記励起手段の制御により、
前記処理室内に収容された前記基板に前記第1の反応物質を供給し、前記基板の表面に存在する反応サイトとしての配位子と、前記第1の反応物質の配位子とを、配位子交換反応させる第1の工程と、
前記処理室から余剰な前記第1の反応物質を除去する第2の工程と、
前記基板に前記第2の反応物質を供給し、前記第1の工程により交換された後の配位子を反応サイトへ配位子交換反応させる第3の工程と、
前記処理室から余剰な前記第2の反応物質を除去する第4の工程と、
前記基板にプラズマにより励起された前記第3の反応物質を供給し、前記第3の工程で反応サイトへと交換反応が行われなかった配位子を、反応サイトへ配位子交換反応させる第5の工程と、
を、前記基板表面に所望の厚さの膜が形成されるまで所定回数繰り返すように前記制御手段を構成してなる基板処理装置が提供される。
本発明のさらに他の態様によれば、
基板を収容する処理室と、
前記処理室内に第1の反応物質を供給する第1の供給手段と、
前記処理室内に第2の反応物質を供給する第2の供給手段と、
前記処理室内に第3の反応物質を供給する第3の供給手段と、
前記処理室内を排気する排出手段と、
前記第3の反応物質をプラズマにより励起する励起手段と、
前記第1〜第3の供給手段、前記排出手段および前記励起手段を制御する制御手段と、を備え
前記制御手段の前記第1〜第3の供給手段、前記排出手段および前記励起手段の制御により、
基板が収容された処理室内に第1の反応物質を供給し、前記基板の表面に前記第1の反応物質を吸着させる工程と、
前記処理室から余剰な前記第1の反応物質を除去する工程と、
前記処理室内に第2の反応物質を供給し、前記基板の表面に吸着した前記第1の反応物質と反応させて少なくとも1原子層の薄膜を形成する工程と、
前記処理室から余剰な第2の反応物質を除去する工程と、から成る薄膜形成工程と、
前記薄膜形成工程後に、前記薄膜の膜質改善を行うため前記処理室内にプラズマ励起された前記第3の反応物質を供給してのプラズマ処理工程と、
を所望の厚さの薄膜が形成されるまで所定回数繰り返すように前記制御手段を構成してなる基板処理装置が提供される。
本発明のさらに他の態様によれば、
基板を収容する処理室と、
前記処理室内に第1の反応物質を供給する第1の供給手段と、
前記処理室内に第1の反応物質を供給する第2の供給手段と、
前記処理室内の雰囲気を排出する排出手段と、
前記処理室内に酸素原子含有ガスを供給する第3の供給手段と、
前記酸素原子含有ガスをプラズマ化するプラズマ化手段と、
前記第1〜第3の供給手段、前記排出手段および前記プラズマ化手段を制御する制御手段と、を備え
前記制御手段の前記第1〜第3の供給手段、前記排出手段および前記プラズマ化手段の制御により、
前記処理室内に前記第1の反応物質を供給し、前記基板の表面に前記第1の反応物質を吸着させる工程と、
前記処理室から余剰な前記第1の反応物質を除去する工程と、
前記処理室内に第2の反応物質を供給し、前記基板の表面に吸着した前記第1の反応物質と反応させて1原子層の薄膜を形成する工程と、
前記処理室から余剰な第2の反応物質を除去する工程と、から成る薄膜形成工程と、
前記薄膜形成工程後に、前記薄膜の膜質改善を行うため前記処理室内に酸素原子含有ガスを供給してのプラズマ処理工程と、
を所望の厚さの薄膜が形成されるまで所定回数繰り返すように前記制御手段を構成してなる基板処理装置が提供される。
本発明の実施例1の基板処理装置における縦型の基板処理炉を説明するための概略縦断面図である。 本発明の実施例1の基板処理装置における縦型の基板処理炉を説明するための概略横断面図である。 本発明の実施例1のALDシーケンスを説明するための図である。 比較のためのALDシーケンスを説明するための図である。 本発明の実施例1におけるAlO膜へのOプラズマ処理効果を説明するための図である。 本発明の実施例2において、プラズマ窒化処理を適用するキャパシタ構造を説明するための概略縦断面図である。 本発明の実施例2におけるプラズマ窒化処理効果を説明するための図である。 本発明の実施例3が適用されるゲートスペーサを説明するための概略縦断面図である。 本発明の実施例3が適用されるSTI(Shallow Trench Isolation)のライナーを説明するための概略縦断面図である。 本発明の実施例3におけるプラズマ窒化処理と熱窒化の窒素プロファイルを説明するための図である。 NH照射時間と膜ストレスとの関係を示す図である。 NH照射時間と膜中Cl濃度、H濃度との関係を示す図である。 従来のALD成膜方法のシーケンスと実施例4のHプラズマを用いたALD成膜方法のシーケンスを説明するための図である。 実施例4のHプラズマを用いたALD成膜における膜中Cl濃度と膜ストレスを示す図である。 実施例4のHプラズマを用いたALD成膜方法のシーケンスを説明するための図である。 ALD法およびLPCVD法によって成膜された膜中のNa濃度を示す図である。 NHプラズマ照射の場合に、Naの状態で存在するNaが膜中に取り込まれるモデルを示す図である。 プラズマ照射の場合に、Naの状態で存在するNaが膜から除去されるモデルを示す図である。 プラズマ照射時間と膜中のNa濃度との関係を示す図である。 プラズマ励起する高周波(RF)パワーとNa濃度との関係を示す図である。 ALD法により成膜した膜中のSIMSによるNa濃度分布の測定結果を示す図である。 LPCVD法により成膜した膜中のSIMSによるNa濃度分布の測定結果を示す図である。 電離ガスの供給方法を説明するための図である。 電離ガスの供給方法と膜中のNa濃度との関係を示す図である。 意図的にNa汚染させたウエハに対して、NHプラズマを照射させた場合と、Nプラズマを照射させた場合の膜中のNa濃度を示す図である。 実施例6の第1の工程を説明するためのフローチャートである。 実施例6の第2の工程を説明するためのフローチャートである。 実施例6の第2の工程におけるプラズマ照射時の圧力が約0.3〜0.4Torrの場合の異物数を示す図である。 実施例6の第2の工程におけるプラズマ照射時の圧力が約0.5Torr以上の場合の異物数を示す図である。 本発明の好ましい実施例に係る基板処理装置を説明するための概略斜視図である。 本発明の好ましい実施例に係る基板処理装置を説明するための概略縦断面図である。
発明を実施するための好ましい形態
次に、本発明の好ましい実施例を説明する。
本発明の好ましい実施例においては、低温で高品質な膜を形成するために、同一の処理室内にて成膜とプラズマ処理を連続して行う。
このようにすることにより、ALDプロセスに対しても容易に対応することが可能である。ALD法は、基板が収容された処理室内に第1の反応物質を供給し、基板の表面に第1の反応物質を吸着させる工程と、処理室から余剰な第1の反応物質を除去する工程と、処理室内に第2の反応物質を供給し、基板の表面に吸着した第1の反応物質と反応させて少なくとも1原子層の薄膜を形成する工程と、処理室から余剰な第2の反応物質を除去する工程とを、複数回繰り返すことにより基板上に薄膜を堆積させる方法であるが、本発明の好ましい実施例においては、被成膜表面において第1の反応物質と第2の反応物質反応して1原子層ずつ薄膜形成が行われた後にプラズマ処理を行って膜質を改善したり、あるいは数原子層を形成した後にプラズマ処理を行って膜質を改善する。プラズマによる膜質の改善は、その処理を低温で実施できるため高温処理時の拡散層の拡がりなどの問題を生ずることなく可能となる。
本発明の好ましい実施例においては、プラズマ処理としては、O、NO、NO、NO、HOなどの酸素あるいは酸素の窒素化合物のプラズマを用いる。あるいは、N、NHなどの窒素あるいは窒素の水素化合物のプラズマやArやHのプラズマを用いる。
また、本発明の好ましい実施例には、ALD法によって1層あるいは数層の薄膜を形成する毎にプラズマ処理を行う例のみならず、ALD法によって所定の厚さの薄膜を形成した後、あるいは、ALD法によって所定の薄膜を形成前に、プラズマ処理を行う例も含まれている。
ALD法では、例えば、TMA(Al(CH、トリメチルアルミニウム)とO(オゾン)とを交互に供給することにより、Al(酸化アルミニウム)膜を形成する場合を考えると、TMAが下地に吸着すると、TMAのAlの二つの結合手にメチル基(CH基)が結合した状態で、結合手の残り一つに結合しているメチル基が基板表面の反応サイトとして作用する配位子であるOH基との配位子交換反応により離脱して下地と結合する。そして、この状態でOが供給されると、配位子である2つのメチル基が配位子除去剤として作用するOと配位子交換反応(詳しくは、配位子除去反応)によりHOおよびCOとして離脱すると共に、TMAのAlの2つの結合手には反応サイトとして作用するOH基が結合した状態となる。その後、TMAが供給されると、配位子交換反応により2つのOH基(反応サイトとしての配位子)のHとTMAのメチル基(配位子)が結合してメタンとして離脱すると共に、Hが離脱した2つのO基にメチル基が離脱した後のAlの結合手が結合する。このようにして成膜が進みAlが形成されていく。しかしながら、何らかの理由で不完全な酸化反応(配位子除去反応)が生じると、例えば、Oが供給されても、2つのメチル基のうち、一つだけがOと反応してHOおよびCOとして離脱し、TMAのAlの1つの結合手にはOH基が結合するが(配位子交換反応)、残りの1つのメチル基がそのままの状態となることがある。このような状態で、TMAを供給すると、OH基への配位子交換反応が成されなかったメチル基が分子量の大きい原料であるTMAに覆われてしまい、次ステップのOが上記メチル基に届かなくなる。この状態で、ALD生成を続けると、上記メチル基の膜中への残留が生じ、場合によってはその部分で空乏化してしまう。
しかし、Oより酸化性能の高いOプラズマを照射してやれば、上記メチル基までOプラズマが入り込むことができ、その結果、上記メチル基が膜中より離脱してOH基に置き換わり、回りの正常に反応していた所から比べれば多少(1層程度)の堆積遅れ(表面粗さ)が生じるものの、次からの処理ステップにて、上記OH基から反応が進み、膜質的には良好なものになる。上述した現象は、TMAとOとの交互供給が少なくとも2回(2サイクル)以上繰り返され、少なくとも2層目が成膜された以降についての現象である。なお、1層毎(ガス供給の1サイクル毎)にプラズマ処理を行えば、取り残されたメチル基もOプラズマによりそのほとんどがOH基に置き換わり、1層毎に随時不完全な酸化反応を修復しながら堆積が進むのでC成分はほとんど膜中には残らないと考えられる。
一方、CVD法による成膜の場合では、気相中でTMAとOが反応して生成されたAlxOyが基板上に降ってきて堆積する現象故、Alの他、Cを含んだ中間生成体(ex.CO、CO、CHや分子量が大きい生成体)の不純物が膜中に取り込まれても、基板上への膜の堆積は進んでいく。その結果、ALDよりも10倍のオーダで不純物が混入することになる。従って、いくら1〜10μm程度の薄い膜を生成した後にプラズマ処理を行っても、上記不純物を膜から引き抜く形となり、また、その量が多いことから、膜に多くの空乏部が存在していまう。また、この不純物は、膜から抜けきらないこともあり、C成分が膜中に取り込まれてしまう。その結果、CVD法で1〜10μm程度の薄い膜を生成した後にプラズマ処理を行っても依然膜質が悪く、膜質向上には限界がある。
上記の理由により、CVD法で1〜10μm程度の薄い膜を生成した後にプラズマ処理を行う場合に比べて、ALD法による薄膜形成とプラズマ処理を組み合わせた方がはるかに高品質の膜を形成できると考えられる。
次に図面を参照して、本発明の実施例をさらに詳細に説明する。
図1は、本実施例の基板処理装置における縦型の基板処理炉を説明するための概略縦断面図であり、図2は、本実施例の基板処理装置における縦型の基板処理炉を説明するための概略横断面図である。
加熱手段であるヒータ207の内側に、基板であるウエハ200を処理する反応容器として反応管203が設けられ、この反応管203の下端には、例えばステンレス等よりなるマニホールド209が係合され、さらにその下端開口は蓋体であるシールキャップ219により気密部材であるOリング220を介して気密に閉塞され、少なくとも、このヒータ207、反応管203、マニホールド209及びシールキャップ219により処理炉202を形成している。また、反応管203、マニホールド209、シールキャップ219および後述する反応管203内き形成されたバッファ室237により処理室201を形成している。このマニホールド209は保持手段(以下ヒータベース251)に固定される。
反応管203の下端部およびマニホールド209の上部開口端部には、それぞれ環状のフランジが設けられ、これらのフランジ間には気密部材(以下Oリング220)が配置され、両者の間は気密にシールされている。
シールキャップ219には石英キャップ218を介して基板保持手段であるボート217が立設され、石英キャップ218はボート217を保持する保持体となっている。そして、ボート217は処理炉202に挿入される。ボート217にはバッチ処理される複数のウエハ200が水平姿勢で管軸方向に多段に積載される。ヒータ207は処理炉202に挿入されたウエハ200を所定の温度に加熱する。
処理室201にガスを供給する供給管としての3本のガス供給管232a、232b、232eが設けられている。ガス供給管232bおよび232eは、処理室201外で合流して、ガス供給管232gとなっている。ガス供給管232aおよび232gは、マニホールド209の下部を貫通して設けられている。ガス供給管232gは処理室201内で一本の多孔ノズル233に連通している。
ガス供給管232bからは、流量制御手段であるマスフローコントローラ241b、開閉弁であるバルブ252、TMA容器260、及び開閉弁であるバルブ250を介し、さらに後述するガス供給部249を介して処理室201に反応ガス(TMA)が供給される。TMA容器260からマニホールド209までのガス供給管232bには、ヒータ300が設けられ、ガス供給管232bを50〜60℃に保っている。
ガス供給管232aからは、流量制御手段であるマスフローコントローラ241a及び開閉弁であるバルブ243aを介し、更にガス供給管232g、多孔ノズル233および後述する反応管203内に形成されたバッファ室237を介して処理室201に反応ガス(O)が供給される。
ガス供給管232eからは、流量制御手段であるマスフローコントローラ241e及び開閉弁であるバルブ255を介し、更にガス供給管232g、多孔ノズル233および後述する反応管203内に形成されたバッファ室237を介して処理室201に酸素プラズマが供給される。
ガス供給管232bには、不活性ガスのライン232cが開閉バルブ253を介してバルブ250の下流側に接続されている。ガス供給管232aには、不活性ガスのライン232dが開閉バルブ254を介してバルブ243aの下流側に接続されている。
ノズル233が、反応管203の下部より上部にわたりウエハ200の積載方向に沿って配設されている。そしてノズル233には複数のガスを供給する供給孔であるガス供給孔248bが設けられている。
反応管203の内壁とウエハ200との間における円弧状の空間には、反応管203の下部より上部の内壁にウエハ200の積載方向に沿って、ガス分散空間であるバッファ室237が設けられており、そのバッファ室237のウエハ200と隣接する内側の壁の端部近傍にはガスを供給する供給孔であるガス供給孔248aが設けられている。このガス供給孔248aは反応管203の中心へ向けて開口している。このガス供給孔248aは、ウエハ200の積載方向に沿って下部から上部に所定の長さにわたってそれぞれ同一の開口面積を有し、更に同じ開口ピッチで設けられている。
そしてバッファ室237のガス供給孔248aが設けられた端部と反対側の端部近傍には、ノズル233が、やはり反応管203の下部より上部にわたりウエハ200の積載方向に沿って配設されている。そしてノズル233にはガスを供給する供給孔であるガス供給孔248bが複数設けられている。
ガス供給孔248bから噴出するガスをバッファ室237に噴出させて一旦導入し、ガスの流速差の均一化を行うことができる。
すなわち、バッファ室237において、各ガス供給孔248bより噴出したガスはバッファ室237で各ガスの粒子速度が緩和された後、ガス供給孔248aより処理室201に噴出する。この間に、各ガス供給孔248bより噴出したガスは、各ガス供給孔248aより噴出する際には、均一な流量と流速とを有するガスとすることができる。
さらに、バッファ室237に、細長い構造を有する棒状電極269及び棒状電極270が上部より下部にわたって電極を保護する保護管である電極保護管275に保護されて配設され、この棒状電極269又は棒状電極270のいずれか一方は整合器272を介して高周波電源273に接続され、他方は基準電位であるアースに接続されている。この結果、棒状電極269及び棒状電極270間のプラズマ生成領域224にプラズマが生成される。
この電極保護管275は、棒状電極269及び棒状電極270のそれぞれをバッファ室237の雰囲気と隔離した状態でバッファ室237に挿入できる構造となっている。ここで、電極保護管275の内部は外気(大気)と同一雰囲気であると、電極保護管275にそれぞれ挿入された棒状電極269及び棒状電極270はヒータ207の加熱で酸化されてしまう。そこで、電極保護管275の内部は窒素などの不活性ガスを充填あるいはパージし、酸素濃度を充分低く抑えて棒状電極269又は棒状電極270の酸化を防止するための不活性ガスパージ機構が設けられる。
さらに、ガス供給孔248aの位置より、反応管203の内周を120°程度回った内壁に、ガス供給部249が設けられている。このガス供給部249は、ALD法による成膜においてウエハ200へ、複数種類のガスを1種類ずつ交互に供給する際に、バッファ室237とガス供給種を分担する供給部である。
このガス供給部249もバッファ室237と同様にウエハと隣接する位置に同一ピッチでガスを供給する供給孔であるガス供給孔248cを有し、下部ではガス供給管232bが接続されている。
処理室201はガスを排気する排気管であるガス排気管231によりバルブ243dを介して排気手段である真空ポンプ246に接続され、真空排気されるようになっている。尚、このバルブ243dは弁を開閉して処理炉202の真空排気・真空排気停止ができ、更に弁開度を調節して圧力調整可能になっている開閉弁である。
反応管203内の中央部には複数枚のウエハ200を多段に同一間隔で鉛直方向に載置するボート217が設けられており、このボート217は図中省略のボートエレベータ機構により反応管203に出入りできるようになっている。また処理の均一性を向上するためにボート217を回転するための回転手段であるボート回転機構267が設けてあり、ボート回転機構267を回転することにより、石英キャップ218に保持されたボート217を回転するようになっている。
制御手段であるコントローラ321は、マスフローコントローラ241a、241b、241e、バルブ243a、243d、250、252、253、254、255、ヒータ207、真空ポンプ246、ボート回転機構267、図中省略のボート昇降機構に接続されており、マスフローコントローラ241a、241b、241eの流量調整、バルブ243a、250、252、253、254、255の開閉動作、バルブ243dの開閉及び圧力調整動作、ヒータ207の温度調節、真空ポンプ246の起動・停止、ボート回転機構267の回転速度調節、ボート昇降機構の昇降動作等の制御が行われる。
次にALD法による成膜例として、TMA、OガスおよびOプラズマを用いてAl膜を成膜する場合を説明する。図3は、本実施例のALDシーケンスを説明するための図であり、図4は、比較のためのALDシーケンスを説明するための図である。
まず成膜しようとする半導体シリコンウエハ200をボート217に装填し、処理炉202に搬入する。搬入後、次の5つのステップを順次実行する。
[ステップ1]
ステップ1では、TMAガスを流す。TMAは常温で液体であり、処理炉202に供給するには、加熱して気化させてから供給する方法、キャリアガスと呼ばれる窒素や希ガスなどの不活性ガスをTMA容器260の中に通し、気化している分をそのキャリアガスと共に処理炉へと供給する方法などがあるが、例として後者のケースで説明する。まずキャリアガス供給管232bに設けたバルブ252、TMA容器260と処理炉202の間に設けられたバルブ250、及びガス排気管231に設けたバルブ243dを共に開けて、キャリアガス供給管232bからマスフローコントローラ241bにより流量調節されたキャリアガスがTMA容器260の中を通り、TMAとキャリアガスの混合ガスとして、ガス供給部249のガス供給孔248cから処理室201に供給しつつガス排気管231から排気する。TMAガスを流すときは、バルブ243dを適正に調整して処理室201内圧力を10〜900Paの範囲の所定圧力に維持する。マスフローコントローラ241bで制御するキャリアガスの供給流量は10000sccm以下である。TMAを供給するための時間は1〜4秒設定する。その後さらに吸着させるため上昇した圧力雰囲気中に晒す時間を0〜4秒に設定しても良い。このときのヒータ207の温度はウエハの温度が250〜450℃になるよう設定してある。
なお、同時にガス供給管232aの途中につながっている不活性ガスのライン232dから開閉バルブ254を開けて不活性ガスを流すとO側にTMAが回り込むことを防ぐことができる。
このとき、処理室201内に流しているガスは、TMAとN、Ar等の不活性ガスのみであり、Oは存在しない。したがって、TMAは気相反応を起こすことはなく、ウエハ200上の下地膜と表面反応する。
[ステップ2]
ステップ2では、ガス供給管232bのバルブ250を閉めて、TMAの供給を止める。また、ガス排気管231のバルブ243dは開いたままにし真空ポンプ246により、処理室201を20Pa以下に排気し、残留TMAを処理室201から排除する。また、この時には、同時にガス供給管232bの途中につながっている不活性ガスのライン232cから開閉バルブ253を開けて不活性ガスとしてのNガスを流し、ガス供給管232aの途中につながっている不活性ガスのライン232dから開閉バルブ254を開けて不活性ガスとしてのNガスを流して、処理室201内にNガスを流す。
[ステップ3]
ステップ3では、Oガスを流す。まずガス供給管232aに設けたバルブ243a、及びガス排気管231に設けたバルブ243dを共に開けて、ガス供給管232aからマスフローコントローラ241aにより流量調整されたOガスをノズル233を介し、バッファ室237のガス供給孔248aから処理室201に供給しつつガス排気管231から排気する。Oガスを流すときは、バルブ243dを適正に調節して処理炉202内圧力を10〜100Paの範囲の所定圧力に維持する。マスフローコントローラ241aで制御するOの供給流量は1000〜10000sccmの範囲の所定流量である。Oにウエハ200を晒す時間は2〜120秒間である。このときのウエハ温度はTMAの供給時と同じく、250〜450℃である。
なお、同時にガス供給管232bの途中につながっている不活性ガスのライン232cから開閉バルブ253を開けて不活性ガスを流すとTMA側にOガスが回り込むことを防ぐことができる。
このとき、処理炉202に内に流しているガスは、OとN、Ar等の不活性ガスのみであり、TMAは存在しない。したがって、Oは気相反応を起こすことはなく、ウエハ200上にTMAが吸着して形成された下地膜とOとが表面反応して、ウエハ200上にAl膜が成膜される。
[ステップ4]
ステップ4では、ガス供給管232aのバルブ243aを閉じて、Oガスの供給を止める。また、ガス排気管231のバルブ243dは開いたままにし真空ポンプ246により、処理室201を20Pa以下に真空排気し、残留Oを処理室201から排除する。また、この時には、同時に、ガス供給管232aの途中につながっている不活性ガスのライン232dから開閉バルブ254を開けて不活性ガスとしてのNガスを流し、ガス供給管232bの途中につながっている不活性ガスのライン232cから開閉バルブ253を開けて不活性ガスとしてのNガスを流して、処理室201内にNガスを流す。
[ステップ5]
ステップ5では、不活性ガスのライン232dの開閉バルブ254および不活性ガスのライン232cの開閉バルブ253を閉じてNガスの供給を止める。そして、ガス供給管232eに設けたバルブ255を開けて、ガス供給管232eからマスフローコントローラ241eにより流量調整されたOガスをノズル233のガス供給孔248bからバッファ室237へ噴出し、棒状電極269及び棒状電極270間に高周波電源273から整合器272を介して高周波電力を印加してOをプラズマ励起し、活性種として処理室201に供給しつつガス排気管231から排気する。Oガスをプラズマ励起することにより活性種として流すときは、バルブ243dを適正に調整して処理室201内の圧力を10〜900Paの範囲の所定圧力にて維持する。マスフローコントローラ241eで制御するOの供給流量は1〜10,000sccmの範囲の所定流量である。Oをプラズマ励起することにより得られた活性種にウエハ200を晒す時間は0.1〜600secである。このときのヒータ207の温度はウエハがAlO成膜温度と同等になるよう設定してある。
その後、ガス供給管232eのバルブ255を閉めて、Oガスの供給を止め、高周波電源273からの高周波電力の印加も止める。また、ガス排気管231のバルブ243dは開いたままにし真空ポンプ246により、処理室201を20Pa以下に排気し、残留Oガスを処理室201から排除する。また、この時には、同時に、ガス供給管232aの途中につながっている不活性ガスのライン232dから開閉バルブ254を開けて不活性ガスとしてのNガスを流し、ガス供給管232bの途中につながっている不活性ガスのライン232cから開閉バルブ253を開けて不活性ガスとしてのNガスを流して、処理室201内にNガスを流す。
上記ステップ1〜5を1サイクルとし、このサイクルを複数回繰り返すことによりウエハ200上に所定膜厚のAl膜を成膜する(図3参照)。
本実施例よって製造したAl膜を用いたキャパシタ膜と、図4に示すように、上記ステップ1〜4を1サイクルとし、このサイクルを複数回繰り返すのみでOプラズマによる処理を行わなずにウエハ200上に成膜したAl膜を用いたキャパシタ膜とのリーク電流測定結果を図5に示す。Oプラズマ処理を行うことにより、リーク電流が著しく減少していることがわかる。このように、Oプラズマ処理を行うことにより、EOT(Equivalent Oxide Thickness:酸化膜換算膜厚:誘電率を基に酸化膜に換算した際の膜厚のこと)の低減とリーク電流の低減を図ることができる。
なお、本実施例のように、上記ステップ1〜5を1サイクルとし、このサイクルを複数回繰り返すことによって、ALD法により1原子層形成する毎にOプラズマ処理を行うことが望ましいが、ALD法により2〜5原子層形成する毎にOプラズマ処理を行うこともできる。これ以上多くの原子層形成する毎にOプラズマ処理を行うと、Oプラズマ処理によっても炭素化合物等の不純物が抜けにくくなり、好ましくない。
また、本実施例では、ガス供給管232eからOガスを供給してOプラズマ処理を行ったが、ガス供給管232eからOガスに代えてNO、NO、NOまたはHOを供給してプラズマ処理を行ってもよい。また、ArやNのプラズマ処理を行ってもよい。
キャパシタ膜の形成時においてSi表面を窒化してその後アルミナ膜(Al膜)の形成が行われるが、本実施例では、このアルミナ膜の下地形成の方法としてプラズマ窒化を行なう。
図6に示すように、本実施例では、実施例1と同じ装置を使用して、まず、ガス供給管232eに設けたバルブ255を開けて、ガス供給管232eからマスフローコントローラ241eにより流量調整されたNHガスをノズル233のガス供給孔248bを介してバッファ室237へ噴出し、棒状電極269及び棒状電極270間に高周波電源273から整合器272を介して高周波電力を印加してNHをプラズマ励起し、活性種として処理室201に供給しつつガス排気管231から排気する。このようにして、ドープトポリシリコン401上にバリアSiN膜402を形成する。
その後、実施例1のステップ1〜4を1サイクルとし、このサイクルを複数回繰り返すことによって、ALD法によって、バリアSiN膜402上にAl膜403を形成する。
その後、TiN404を形成してキャパシタを作成する。
図7は、このようにして形成したキャパシタとバリアSiN膜402を形成せず、ドープトポリシリコン401上に直接Al膜403を形成して作成したキャパシタの絶縁破壊電圧(breakdown voltage)を示したものである。本実施例のようにして形成したバリアSiN膜402を使用したキャパシタは、非常に高い絶縁破壊電圧を有していることがわかる。
なお、本実施例では、ガス供給管232eからNHガスを供給し、NHをプラズマ励起してバリアSiN膜402を形成したが、ガス供給管232eからNガスを供給し、Nをプラズマ励起してバリアSiN膜402を形成してもよい。
本実施例では、ALD法によって形成した酸化膜表面をプラズマ窒化処理している。ゲートスペーサーやSTI(Shallow Trench Isolation)のライナー部の酸化膜の窒化処理としては、従来はNO、NOなどの酸化剤を用いて800〜900℃程度での熱処理が行われていたが、窒素の分布がSiO/Siの界面に集中して移動度の低下に繋がることから、SiO表面をプラズマ窒化する技術が望まれていた。
本実施例を適用して好適に作成される半導体デバイスの一種であるMOSトランジスタについて、図8を参照して説明する。このMOSトランジスタは、シリコン層411に形成された素子分離412に囲まれた領域に作成されている。シリコン層411上に形成されたゲート酸化膜417およびプラズマ窒化膜418上にドープトポリシリコン419およびメタルシリサイド420からなるゲート電極430が形成されている。ゲート電極430の側面にはSiOからなるゲートスペーサ421が形成され、その上にはプラズマ窒化膜423が形成されている。また、シリコン層411にはゲート電極430を挟んでソース413、414、ドレイン415、416が形成されている。このようにして形成されたMOSトランジスタを覆って絶縁膜422が形成されている。
次に、本実施例を適用して好適に作成されるSTI(Shallow Trench Isolation)のライナー部について、図9を参照して説明する。溝443がされたシリコン層440にSiOからなる酸化膜441が形成され、その上にはプラズマ窒化膜442が形成されている。溝443内は素子分離領域を形成するために酸化膜(図示せず)で埋められるが、酸化が拡がらないように、酸化膜形成前にプラズマ窒化膜442が形成されている。
本実施例では、実施例1と同じ装置を代用して、実施例1のTMAをDCS(ジクロロシラン:SiHCl)に、実施例1のOをNHにそれぞれ変更し、MOSトランジスタの場合は、DCSとOを交互に供給してALD法により所望の厚さのゲートスペーサ421を形成し、その後、NHのプラズマにてゲートスペーサ421の表面をプラズマ窒化してプラズマ窒化膜423を形成し、STIの場合は、DCSとOを交互に供給してALD法により酸化膜441を形成し、そして、酸化膜441の表面をプラズマ窒化してプラズマ窒化膜442を形成した。
本実施例では、このようにしてSiOの表面をプラズマ窒化する。図10に熱窒化処理と本実施例で処理した場合の窒素プロファイルを示す。本実施例では、SiとSiO界面には、600℃以下の低温処理時には窒素はほとんど存在せず、SiOの表面近傍に窒化濃度のピークがあり、SiOの表面の窒化が可能であることがわかる。
なお、本実施例では、NHガスを供給し、NHをプラズマ励起してプラズマ窒化膜を形成したが、Nガスを供給し、Nをプラズマ励起してプラズマ窒化膜を形成してもよい。
Siウエハ上にALD法により窒化シリコン膜を成膜するには、その原料にNHとDCS(SiHCl)を用いる。
成膜手順を以下に示す。
(1)石英ボートにSiウエハを移載する。
(2)石英ボートを300℃の処理室内へ挿入する。
(3)石英ボートの挿入が終わると処理室内を真空引きし、処理室内を450℃程度まで昇温する。
(4)DCSを照射(3秒)→Nパージ(5秒)→プラズマ励起されたNHを照射(6秒)→Nパージ(3秒)を1サイクルとして所定の膜厚を得るまでサイクルを繰り返す。この時、1サイクル毎に生成される膜厚は約1Å(=0.1nm)である。
(5)処理室内の反応ガスのガス引きを行うと同時に、処理室温度を300℃程度まで降温する。
(6)処理室内を大気圧に復帰し、石英ボートを処理室より引き出す。
近年の半導体デバイス構造は、歪緩和の目的で1.8Gpa程度の膜ストレスが要求されているが、上記工程を経て成膜された膜ストレスは1.2Gpa程度と目標値より低い。
このため、ストレスを増加するためにNH照射時間を長くする手法をとっていた。NH照射時間を長くすることで膜ストレスは1.5Gpaまで増加させることが可能である。NH照射時間を長くしたときの膜ストレスの結果を図11に示すが、励起したNH照射時間を延ばすことによって、膜ストレスが増加していくが、1.5Gpa以上のストレスを得ることができない。
このように、従来のNH照射時間延長の手法では、得られる膜ストレスは1.5Gpaが最大値であり、目標の1.8Gpaを達成できない。トランジスタ部の窒化膜の膜ストレスが低いと、ON電流が低くなる等の問題が生じてしまう。
本実施例では、実施例1と同じ装置を代用して、実施例1のTMAをDCS(ジクロロシラン:SiHCl)に、OをNHラジカルに、OをHにそれぞれ変更し、DCSとNHラジカルを交互に供給してALD法により所望の厚さのSi膜を形成し、その後、HのプラズマにてSi膜の膜ストレスをさらに改善した。
ALD法の反応メカニズムは次のようである。
(1)DCS照射によって、表面にSiとClが吸着する。
(2)次にガスを置換するため(DCSとNHの混合を防ぐため)Nパージを行う。
(3)さらに励起したNHを照射することによって、(1)で吸着したClをHClとして脱離し、NとHが吸着する。
所定の膜厚に達するまで、上記サイクル(1)乃至(3)を繰り返す。
したがって膜中にはALD窒化膜の主成分であるSi、NのほかにH、Clの不純物が取り込まれていく。
膜中のH(水素)、Cl(塩素)濃度をSIMS(Secondary Ion Mass Spectrometry)を用いて測定した結果を図12に示すが、NH照射時間を延ばすと、H濃度は一定なのに対して、Cl濃度は低減していくことがわかる。
Clはその原料であるDCSから表面に取り込まれるが、NHを照射する過程で表面から脱離していく。したがってNH照射時間が長いほどClの脱離効果は高く、膜中のCl濃度は低減していく。しかしながら、1E20(1×1020)atoms/cm 以下に低減はできない。
膜ストレスはCl濃度に依存すると仮定して、Cl濃度のさらなる低減手法について検討した。DCSの供給時、膜表面にはSi−Cl結合とSi−H結合が存在している。それぞれの結合エネルギーは、Si−Cl結合の場合397KJ/mol、Si−H結合が318KJ/molとSi−Cl結合エネルギーの方が大きい。NHラジカルを照射した場合にSi−H結合はN−Hと入れ替わるが、Si−Clは結合エネルギーが強いためClを含んだ状態で成膜が進む。
このClを除去するために、Hプラズマを用いてHClの形で離脱させる実験を試みた。
従来のALD成膜方法のシーケンスと本実施例のHプラズマを用いたALD成膜方法のシーケンスを図13にそれぞれ示す。いずれの場合も、励起したNHの照射時間を20secまで延ばしている。また、Hプラズマを用いたALD成膜の場合は、Hプラズマの照射時間は10secである。
図14に、従来のALD成膜方法により成膜を行った場合と、本実施例のHプラズマを用いたALD成膜方法により成膜を行った場合における膜中Cl濃度のSIMSの分析結果と従来のALD成膜方法のシーケンスを基準とした膜ストレスを示す。
SIMSの分析結果から、Hプラズマを用いることによって膜中Cl濃度を低減できていることがわかる。
膜ストレス測定結果から、Hプラズマを用いることで膜ストレスを1.3倍にできることが判明した。
ここでは、図13に示すように、Hプラズマを毎サイクル実施しているが、図15に示すように、複数サイクル毎に実施しても同様の効果が得られる。5サイクル毎と10サイクル毎にHプラズマ処理を施した結果も図14に併せて示す。これらの場合も膜中Cl濃度が低減され、膜ストレスも改善されていることがわかる。また、Hプラズマ処理を施す間隔を1−10サイクルの間で調整することで膜ストレスを可変にできることもわかる。
なお、図13においては、NHラジカルを照射する前後に、Nパージ工程を設けているが、これらのNパージ工程は省略しても良い。Hプラズマ照射工程と、NHラジカル照射工程においては、共にHプラズマが生じるので、敢えてNパージで除去させなくても良いからである。また、放電を細めにON、OFFさせなくても、立て続けることも出来るからである。
また、図13では、DCS及びNHの照射毎にHプラズマを照射させているが、これを、NH照射後の1度のみのHプラズマ照射(即ち、1サイクル毎にHプラズマ照射)でも良い。
以上の結果に鑑みて、本実施例では、実施例1と同じ装置を代用して、実施例1のTMAをDCS(ジクロロシラン:SiHCl)に、OをNHラジカルに、OをHにそれぞれ変更し、DCSとNHラジカルを交互に供給してALD法により所望の厚さのSi膜を形成し、HのプラズマにてSi膜の改質を行った。
本実施例では、実施例1と同じ装置を代用して、実施例1のTMAをDCS(ジクロロシラン:SiHCl)に、OをNHラジカルに、OをNにそれぞれ変更し、DCSとNHラジカルを交互に供給してALD法により所望の厚さのSi膜を形成し、NのプラズマにてSi膜の改質を行った。
Siウエハ上にALD法により窒化シリコン膜を成膜するには、その原料にNHとDCS(SiHCl)を用いる。
成膜手順を以下に示す。
(1)石英ボートにSiウエハを移載する。
(2)石英ボートを300℃の処理室内へ挿入する。
(3)石英ボートの挿入が終わると処理室内を真空引きし、処理室内を450℃程度まで昇温する。
(4)DCSを照射(3秒)→Nパージ(5秒)→プラズマ励起されたNHを照射(6秒)→Nパージ(3秒)を1サイクルとして所定の膜厚を得るまでサイクルを繰り返す。この時、1サイクル毎に生成される膜厚は約1Å(=0.1nm)である。
(5)処理室内の反応ガスのガス引きを行うと同時に、処理室温度を300℃程度まで降温する。
(6)処理室内を大気圧に復帰し、石英ボートを処理室より引き出す。
上記工程を経て成膜された膜中には、100ÅあたりNaが3E10(3×1010)(atoms/cm)程度含まれる。Naの濃度はICPMS(誘導結合プラズマ質量分析法)を用いて測定した。3E10(atoms/cm)は近年の半導体業界において許容される値ではなく、低減が必要である。
MOSトランジスタの酸化膜中などにNaが入り込むと、トランジスタ出力電流のゲートによる制御を不能なものにしてしまうのでNa濃度を低減する必要がある。一般的には1E10(atoms/cm)程度の値が要求されている。
同じ処理室を用いてALD法による成膜とLPCVD(Low Pressure Chemical Vapor Deposition)法による成膜とをそれぞれ行った場合において、成膜された膜中のNa濃度を比較すると、LPCVDではNaの検出が格段に少ない。図16は、成膜された膜中のNa濃度を示す図であり、左側は、高周波電力300W、NH照射時間30秒の条件でALD法により成膜を行った場合のNa濃度を示しており、右側は、760℃でLPCVD法により成膜を行った場合のNa濃度を示している。なお、図中、「TOP」とは、石英ボートの上部に搭載されたSiウエハをいい、「Center]とは石英ボートの中央部に搭載されたSiウエハをいい、「Bottom」とは、石英ボートの下部に搭載されたSiウエハをいう。図16を参照すれば、LPCVDではNaの検出が格段に少ないことがわかる。
ALD法とCVD法の違いは、ALD法はDCSとプラズマを用いて電離したNHとを交互に流すのに対して、CVD法ではDCSと電離しないNHとを同時に流すことが大きな違いである。
この電離ガスに着目して、Naは反応形態において、Naのイオン化した状態で存在すると仮定した。
また上記ALD法とCVD法の比較から、Naはガス供給系、ダミーウエハなどから発生しているものではないことが判断できる。
反応形態でNaの状態で存在するNaの膜中への取り込まれるモデルを図17のように考えた。
まずプラズマで電離したNHを照射している間に、Siウエハ表面にはNH (負に帯電)とNH (正に帯電)の2種類の電離ガスが存在すると推測される。NaはNH に引きつけられるので、NH の存在によってNaは容易に吸着が可能である。つまりプラズマが発生している間、Naが吸着しやすい状態になっている。
このことを裏付けるデータを次に示す。
(1)プラズマ照射時間依存による膜中のNa濃度を比較した結果を図19に示す。プラズマ時間が長いほどNa濃度が高くなっていることがわかる。つまりNH の存在時間が長いためにNaの吸着量が多くなっている。
(2)プラズマ励起する高周波(RF)パワー依存による膜中のNa濃度比較を比較した結果を図20に示す。高周波(RF)パワーが強いほどNa濃度が高くなっていることがわかる。つまりNH の存在量が多いことによって、Naの吸着量が多くなる。
(3)SIMSによるNaの膜中の濃度分布の結果を図21に示す。Naは膜中に均等に分布していることがわかる。なお、図22には、LPCVD法によって成膜した膜のSIMSによるNaの膜中の濃度分布の結果を示している。LPCVDではNaが格段に少ないことがわかる。
これらのことから、Na発生個所の特定には至らないが(プラズマ放電を行わせる電極から発生しているとも考えられる)、Naの膜中への取り込まれる図17に示すモデルには妥当性があることがわかる。
以上のことに鑑みて、Naの膜中への取り込みを減らすための対策として、吸着したNaを除去する手法を考えた。Naを除去するには、Na吸着後に、正に帯電している電離ガスを照射することが効果的と考えられる。正に帯電している電離ガスとして、Nを選択した。Nは電離することによってNの電離ガスを発生していると推測した。NaはNによって反発されて脱離すると考えられる。図18参照。
実験結果を図25に示す。図25では意図的にNa汚染させたウエハ(図中の”Ref”に相当)に対して、NHプラズマを照射させた場合と、Nプラズマを照射させた場合について比較した。その結果、Nプラズマの照射によりNa濃度の低減が図られ、Nプラズマ照射が有効であると考えた。
電離ガスの供給方法として図23のような方法を検討した。
TEST0はNプラズマ処理なしの従来条件である。
TEST1はALD成膜前後(所定回数のサイクルを実施する前後)にNプラズマを施し、成膜前後のNa吸着を除去することを目的としている。
TEST2はALD成膜に必要なNHプラズマ照射中にNプラズマも同時照射し成膜中の吸着Naを除去する手法である。
TEST3はALD成膜のサイクル毎にNプラズマ処理を施し成膜中の吸着Naを除去する手法である。
結果を図24に示す。
TEST1とTEST3を見ると、Nプラズマを施すことでNaの低減効果が見られる。
TEST3においてはNプラズマ時間は10秒×100サイクル=1000秒(17分)とTEST1より長いがゆえにNa濃度低減効果が大きいと考えられる。TEST1では、主に膜表面のみのNaが除去され、膜中のNa除去は僅かであると考えられる。一方、TEST3は、1サイクル毎にNプラズマを照射しているため、TEST1よりもNa除去効率が良いものと考えられる。
TEST2においては、NHプラズマとNプラズマ同時照射では、Na低減効果がないと判断できる。これはやはりNH の負の電荷が存在する以上はNaの吸着が進行進行し、吸着Naを除去するためには一旦NHプラズマの照射を停止する必要があるからであると考えられる。
本実施例では、実施例1と同じ装置を代用して、実施例1のTMAをDCS(ジクロロシラン:SiHCl)に、OをNHラジカルに、OをNとNHの混合ガスにそれぞれ変更し、DCSとNHラジカルを交互に供給してALD法により所望の厚さのSi膜を形成し、NとNHの混合ガスのプラズマにてSi膜の改質を行った。
より具体的には、DCSガス照射工程とNHプラズマ照射工程を繰り返すことにより堆積速度3nm/min以上の速度でSi基板上に数nmのSiN薄膜を堆積する成膜工程と、第1の工程で発生した異物を除去するために、NとNHの混合ガスを用いてプラズマ性ガスを発生させSi基板上に照射する異物除去工程とを、繰り返して実施することにより、異物による汚染を低減させた。
とNHの混合ガスの混合比率は1:1〜6:1とし、0.5Torr以下の圧力でプラズマ発生させ、そのプラズマ性ガスにSi基板を曝すことにより、Si基板上に付着している異物を除去した。
半導体製造工程の1つとして、例えば、基板温度550℃以下の低温で、DCS(ジクロロシラン)とNH(アンモニア)プラズマを用いてALD法によるアモルファスシリコン窒化膜(以下、SiNと略す)の形成が行われている。基板上へのSiN形成は、DCS照射処理、NHプラズマ照射処理で構成される。この2つの処理を繰り返す(以下、サイクル処理と呼ぶ)ことにより、基板上に所定の膜厚のSiNの堆積を行うことができる。しかしながら、このようなALD法においても、基板以外の接ガス部に薄膜が累積的に堆積されるという欠点を持っている。このため、以下に示すような問題が発生しやすい。
その問題は、累積膜のマイクロクラック発生による剥離異物汚染である。この異物汚染は、SiN堆積時の基板温度が低くなるほど、あるいは、堆積速度が速くなるほど、あるいは、累積膜厚が厚くなるほど発生しやすい。これは、基板温度が低くなる、あるいは堆積速度が速くなるほど累積膜中に混入する不純物量が多くなり、連続的な成膜処理による熱エネルギーによりアニールされて不純物が離脱し、収縮と膨張を繰り返すことによりマイクロクラックが発生して剥離異物汚染を招いていると考えられる。さらに、堆積速度を速くすれば不純物の離脱の影響を受けやすい。上記サイクル処理中に、不純物が離脱することで、気相反応を起こし、気相異物が増加しやすくなる。従って、この問題は、装置スループットや膜品質を向上するための大きな障壁となっている。
本実施例は、この問題を解決するために考案されたものである。
本実施例は、以下の2つの工程で構成され、被処理基板は2つの工程を繰り返して処理される。(なお、従来は、第一の工程の繰り返しによりSiNを堆積していた)
第1の工程:成膜原料照射処理+改質プラズマ照射処理(従来の1サイクル処理分に相当)
第2の工程:プラズマによる異物除去工程
上記2つの工程により、従来技術に対して異物汚染の低減されたSiN薄膜を高速に形成することが可能である。以下に、各工程において、どのようにしてSiN薄膜が形成され、どのように異物が除去されるのかを説明する。
第1の工程(成膜原料照射処理+改質プラズマ照射処理)
本工程における、基板処理フローの一例を図26に示す。
第1の工程の1サイクル分は、従来のサイクル処理工程の1サイクル分に相当する。図1、図2に示すような装置において、Siウエハ200をボート217に積載したのち、ボート217を反応管203の中に挿入し、ステップA1の基板の加熱処理を開始する。このステップA1の処理は、例えば以下のような処理で構成される。Siウエハ200板の表面状態に応じて実施すると良い。
(1)減圧処理
真空ポンプ246により反応管203内の圧力を下げることで、ウエハ200表面上に付着した不純物を離脱させる。
(2)不活性ガスサイクルパージ処理
ガス供給管232gを経由して減圧処理されている反応管203内に不活性ガスを定期的に導入して、基板表面に付着する不純物を、不活性ガス中に溶け込ませて除去する処理である。この処理は、ウエハ200基板を加熱しながら実施すると良い。
(3)プラズマ表面処理(プラズマ表面酸化処理、プラズマ表面還元処理)
この処理は、減圧された反応管203に対してガス供給管232gより表面処理ガスを導入しながら、高周波電源273により、棒状電極269と棒状電極270の間に放電を発生させてプラズマをバッファ室237内に発生させる処理である。この処理により、プラズマ処理された表面処理ガスがバッファ室237に設けられたガス供給孔248aを経由して、ウエハ200上に照射される。本処理は、上記処理(1)(2)を実施後、さらにウエハ200の表面に付着する不純物を除去するための処理であり、ボート回転機構267によりウエハ200を回転させながら実施すると良い。なお、プラズマ表面酸化処理時の表面処理ガスは主にOであり、酸化剤としての作用を有する改質ガスである。これに対して、プラズマ表面還元処理時の表面処理ガスは主にHであり、還元剤としての作用を有する改質ガスのことである。なお、HやOの供給系は図示していない。
加熱処理は、ボート217を反応管203に挿入することによって開始される。ヒータ207により反応管203の温度が一定に制御されており、ウエハ200を加熱処理して、所定温度に維持することができる。その維持温度は、後述のように成膜原料に合わせた成膜温度が望ましい。
後述のステップB3のプラズマ処理は、上記のプラズマ表面処理と同様であり、バッファ室237に供給されるガス種のみ異なる。
次に、ステップB1〜B4の処理を実施して、ウエハ上に薄膜を形成する。ALD法によるSiN堆積では、例えば、成膜原料がDCSであり、成膜温度(ウエハ温度)は450℃以下が好ましい。これはウエハ上にあらかじめ形成されている回路パターン上に、熱的なダメージを与えることなくステップカバレッジ良くSiN薄膜を形成できるためである。
ステップB1の成膜原料照射処理は、ウエハ表面に成膜原料を付着させる処理、あるいは成膜原料の熱分解過程により発生した反応中間体をウエハ表面に付着させる処理である。ステップB2の不活性ガスパージ処理は、付着した成膜原料の均一化を図る処理、あるいは付着していない成膜原料の成分(中間体を含むため成分と呼ぶ)を排気するための処理である。ステップB3の改質プラズマ照射処理は、付着した成膜原料とプラズマ励起された改質ガスを反応させて、原子層レベルの薄膜を堆積する処理である。ステップB4の不活性ガスパージ処理は、ステップB3において発生した反応副生成物を処理室から排気するための処理である。
図1、図2を参照して、例えば、成膜原料がDCS、改質プラズマがNHプラズマである場合の例を説明する。ステップB1の成膜原料照射処理においてはDCSをガス供給管232bより反応管203内へ供給する。その後、ステップB2の不活性ガスパージ処理ではDCSを停止した後、Nガスをガス供給管232bより反応管203内へ供給する。ステップB3の改質プラズマ照射処理では、NHガスをガス供給管232aより反応管203内へ供給する。このステップB3処理中は、高周波電源273に電力を供給して棒状電極269と棒状電極270の間にプラズマを発生させる。ステップB4の不活性ガスパージ処理では、NH供給とプラズマを停止したのち、Nガスをガス供給管232aより反応管203内へ供給する。以上のステップB1〜B4までの処理の繰返しにより従来よりSiN薄膜を形成している。形成されたSiN薄膜は、Si、N、Cl、Hを含む元素で構成されるアモルファス薄膜になっている。
ここで、第1の工程(従来処理相当)においてALD法による薄膜の堆積速度を向上させるためには、1サイクルを短くする必要がある。ステップB1の成膜原料照射処理において、成膜原料は、基板表面を含む接ガス部と相互作用を起こして吸着状態となる。ここで言う吸着状態とは、接ガス部の表面にできる薄い相互作用層に原料が閉じ込められている状態であり、その相互作用層の内部で成膜原料は、吸着と離脱を繰返して、移動していると推測される。このとき、基板温度に依存して成膜原料の一部は熱分解により中間体(たとえばラジカルと呼ばれる)となる場合がある。中間体となった場合は、その分子構造は一般的に電気的対象性を失い極性が強まるため、上記相互作用(電気的に引き合う作用)が強まるため、移動しにくい状態となる。例えば、成膜原料がDCSの場合は、基板温度が450℃以上になると、この中間体の生成量が多くなり1サイクルにおける吸着量が増加して堆積速度が上昇する。しかし、移動が少なくなり、結果として段差被覆性が失われやすい。一方、400℃以下の低温においては、DCSの場合は、中間体を生成しにくくなり吸着量(残留量)は一定となる傾向が強く、堆積速度は一定となる。
しかしながら、吸着状態では、相互作用層において上記のように吸着と離脱を繰り返しているため、それに続くステップB2の不活性ガスパージにより離脱が促進される。したがって、ステップB2の時間を延長すれば、吸着量は減少し、堆積速度を低下させる。このため、堆積速度を向上させるためにはステップB2時間を短くする必要がある。しかしながら、このステップB2時間を短くしていくと成膜原料の吸着量、すなわちチャンバ内での原料の残留量が多くなり、次に続くステップB3の改質プラズマ照射処理において、気相反応による異物発生量が増加する。このため、ステップB2における不活性ガスの供給速度を大きくして、成膜原料と改質プラズマが気相反応を起こさないようにすることになる。しかしながら、上記の相互作用層においては、成膜原料の吸着分子は静止しているわけでなく、一部は離脱状態にあるため、ステップB2時間を短縮することで、気相反応による異物は増加することになる。
以上に述べたように、1サイクル時間を短縮しようとすれば異物が増加する原因となるため、従来方法では3nm/分以上の堆積速度を得ることは難しい。
本実施例においては、上記の第1の工程に続いて、従来方法による問題点を解決するため、気相反応異物を除去するための第2の工程を実施する。尚、第1の工程は、高速化されて気相異物が発生しているものと仮定する。その一例を図27に示す。
成膜原料がDCSで改質プラズマがNHの場合は、上記の気相異物は粉状のSiNであるが、そのほとんどは、第1工程のステップB3の改質プラズマ照射処理によりマイナスあるいはプラスに帯電している。ウエハは、マイナスに帯電しているため、気相異物のうちプラスに帯電したものと、電気的に中性のものだけが付着でき、その他のマイナス帯電したものは、ウエハに付着することはできない。図27のステップC1のN+NHプラズマ処理(NガスとNHガスの混合ガスをプラズマ励起させたものによる処理)は、プラス帯電した、あるいは中性の異物をマイナスに帯電させるための処理である。
従って、ステップC1の処理後はウエハ上の異物は、電気的な付着状態を維持することができないため、その後のステップC2の不活性ガスパージ処理により、排気することが可能となる。
図1、図2のような装置を使用して、0.1μm異物が500〜900個程度、付着した基板に対して、第2の工程の条件を振って、異物の減少幅を計測した結果を図28に示す。
この結果より、NとNHの混合ガスによるプラズマ照射が、異物除去に有効であることがわかる。また、混合比が6:1でも効果があることが判る。
次に、プラズマ照射時の圧力が0.5Torr以上の場合の0.1〜0.13μmの異物数を図29に示す。
この結果より、NとNHの混合ガスプラズマ照射でも、圧力が高いと異物除去効果が失われることがわかる。
以上説明したように、本実施例では、従来技術に対して、異物が発生しやすいプロセス、すなわち高速化したALD法による薄膜堆積において、異物を効率的に除去することができる。
以上のように、本発明の好ましい実施例1〜6では、多数枚のウェハを一括プラズマ処理可能となり、更に成膜処理およびプラズマ処理が一体化した装置構成で実施することが可能となる。このため、生産性の向上につなげることができる。
次に、図30、図31を参照して本発明の好ましい実施例の基板処理装置の概略を説明する。
筐体101内部の前面側には、図示しない外部搬送装置との間で基板収納容器としてのカセット100の授受を行う保持具授受部材としてのカセットステージ105が設けられ、カセットステージ105の後側には昇降手段としてのカセットエレベータ115が設けられ、カセットエレベータ115には搬送手段としてのカセット移載機114が取りつけられている。又、カセットエレベータ115の後側には、カセット100の載置手段としてのカセット棚109が設けられると共にカセットステージ105の上方にも予備カセット棚110が設けられている。予備カセット棚110の上方にはクリーンユニット118が設けられクリーンエアを筐体101の内部を流通させるように構成されている。
筐体101の後部上方には、処理炉202が設けられ、処理炉202の下方には基板としてのウエハ200を水平姿勢で多段に保持する基板保持手段としてのボート217を処理炉202に昇降させる昇降手段としてのボートエレベータ121が設けられ、ボートエレベータ121に取りつけられた昇降部材122の先端部には蓋体としてのシールキャップ219が取りつけられボート217を垂直に支持している。ボートエレベータ121とカセット棚109との間には昇降手段としての移載エレベータ113が設けられ、移載エレベータ113には搬送手段としてのウエハ移載機112が取りつけられている。又、ボートエレベータ121の横には、開閉機構を持ち処理炉202の下側を気密に閉塞する閉塞手段としての炉口シャッタ116が設けられている。
ウエハ200が装填されたカセット100は、図示しない外部搬送装置からカセットステージ105にウエハ200が上向き姿勢で搬入され、ウエハ200が水平姿勢となるようカセットステージ105で90°回転させられる。更に、カセット100は、カセットエレベータ115の昇降動作、横行動作及びカセット移載機114の進退動作、回転動作の協働によりカセットステージ105からカセット棚109又は予備カセット棚110に搬送される。
カセット棚109にはウエハ移載機112の搬送対象となるカセット100が収納される移載棚123があり、ウエハ200が移載に供されるカセット100はカセットエレベータ115、カセット移載機114により移載棚123に移載される。
カセット100が移載棚123に移載されると、ウエハ移載機112の進退動作、回転動作及び移載エレベータ113の昇降動作の協働により移載棚123から降下状態のボート217にウエハ200を移載する。
ボート217に所定枚数のウエハ200が移載されるとボートエレベータ121によりボート217が処理炉202に挿入され、シールキャップ219により処理炉202が気密に閉塞される。気密に閉塞された処理炉202内ではウエハ200が加熱されると共に処理ガスが処理炉202内に供給され、ウエハ200に処理がなされる。
ウエハ200への処理が完了すると、ウエハ200は上記した作動の逆の手順により、ボート217から移載棚123のカセット100に移載され、カセット100はカセット移載機114により移載棚123からカセットステージ105に移載され、図示しない外部搬送装置により筐体101の外部に搬出される。炉口シャッタ116は、ボート217が降下状態の際に処理炉202の下面を気密に閉塞し、外気が処理炉202内に巻き込まれるのを防止している。
なお、カセット移載機114等の搬送動作は、搬送制御手段124により制御される。
明細書、特許請求の範囲、図面および要約書を含む2005年2月17日提出の日本国特許出願2005−40501号の開示内容全体は、そのまま引用してここに組み込まれる。
以上説明したように、本発明の好ましい形態によれば、ALD法で薄膜を形成した場合に、高品質な薄膜が形成できる半導体デバイスの製造方法および基板処理装置が提供される。
その結果、本発明は、半導体シリコン基板を使用する半導体デバイスの製造方法および半導体シリコン基板処理装置に特に好適に利用できる。

Claims (4)

  1. 基板が収容された処理室内に第1の反応物質を供給し、前記基板の表面に前記第1の反応物質を吸着させる工程と、
    前記処理室から余剰な前記第1の反応物質を除去する工程と、
    前記処理室内に第2の反応物質を供給し、前記基板の表面に吸着した前記第1の反応物質と反応させて少なくとも1原子層の薄膜を形成する工程と、
    前記処理室から余剰な第2の反応物質を除去する工程と、から成る薄膜形成工程と、
    前記薄膜形成工程後に、前記薄膜の膜質改善を行うため前記処理室内にプラズマ励起されたガスを供給してのプラズマ処理工程と、を有し、
    前記薄膜形成工程と前記プラズマ処理工程とを所望の厚さの薄膜が形成されるまで所定回数繰り返してなり、
    前記プラズマ励起されたガスは、窒素ガス:アンモニアガスを1:1〜6:1の混合比率にて混合した混合ガスがプラズマ励起されたガスである半導体デバイスの製造方法。
  2. 前記第1の反応物質はシリコンを含むガスであり、前記第2の反応物質は窒素を含むガスであり、形成される前記薄膜は窒化シリコンの薄膜である請求項1記載の半導体デバイスの製造方法。
  3. 前記第1の反応物質はジクロロシランガスであり、前記第2の反応物質はプラズマ励起されたアンモニアガスであり、形成される前記薄膜は窒化シリコンの薄膜である請求項1記載の半導体デバイスの製造方法。
  4. 前記プラズマ処理工程は、0.5Torr以下の圧力にてプラズマ処理を行う工程である請求項1から3の何れか一項記載の半導体デバイスの製造方法。
JP2007503684A 2005-02-17 2006-02-15 半導体デバイスの製造方法 Active JP4546519B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005040501 2005-02-17
JP2005040501 2005-02-17
PCT/JP2006/302659 WO2006088062A1 (ja) 2005-02-17 2006-02-15 半導体デバイスの製造方法および基板処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009090011A Division JP4922335B2 (ja) 2005-02-17 2009-04-02 基板処理装置

Publications (2)

Publication Number Publication Date
JPWO2006088062A1 JPWO2006088062A1 (ja) 2008-07-03
JP4546519B2 true JP4546519B2 (ja) 2010-09-15

Family

ID=36916466

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2007503684A Active JP4546519B2 (ja) 2005-02-17 2006-02-15 半導体デバイスの製造方法
JP2009090011A Active JP4922335B2 (ja) 2005-02-17 2009-04-02 基板処理装置
JP2011276976A Active JP5276156B2 (ja) 2005-02-17 2011-12-19 基板処理装置及び半導体デバイスの製造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2009090011A Active JP4922335B2 (ja) 2005-02-17 2009-04-02 基板処理装置
JP2011276976A Active JP5276156B2 (ja) 2005-02-17 2011-12-19 基板処理装置及び半導体デバイスの製造方法

Country Status (6)

Country Link
US (4) US7779785B2 (ja)
JP (3) JP4546519B2 (ja)
KR (2) KR100841866B1 (ja)
CN (2) CN101032006A (ja)
TW (1) TW200631080A (ja)
WO (1) WO2006088062A1 (ja)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505680B1 (ko) * 2003-03-27 2005-08-03 삼성전자주식회사 루테늄층을 갖는 반도체 메모리 소자의 제조방법 및루테늄층제조장치
US7842581B2 (en) * 2003-03-27 2010-11-30 Samsung Electronics Co., Ltd. Methods of forming metal layers using oxygen gas as a reaction source and methods of fabricating capacitors using such metal layers
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
JP4546519B2 (ja) * 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US8082878B2 (en) * 2006-04-20 2011-12-27 Saint-Gobain Glass France Thermal evaporation apparatus, use and method of depositing a material
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
JP4963455B2 (ja) * 2007-09-04 2012-06-27 国立大学法人北海道大学 半導体基板の表面に絶縁膜を形成する方法と装置
JP4905315B2 (ja) * 2007-10-19 2012-03-28 東京エレクトロン株式会社 半導体製造装置、半導体製造方法及び記憶媒体
JP2009277899A (ja) * 2008-05-15 2009-11-26 Hitachi Kokusai Electric Inc 基板処理方法
JP5616591B2 (ja) 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2010027702A (ja) * 2008-07-16 2010-02-04 Hitachi Kokusai Electric Inc 基板処理装置及び薄膜生成方法
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5173878B2 (ja) * 2009-02-10 2013-04-03 三井造船株式会社 原子層成長装置および方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5564311B2 (ja) 2009-05-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び基板の製造方法
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5571410B2 (ja) * 2010-02-23 2014-08-13 日本電信電話株式会社 特性劣化防止方法
JP5247781B2 (ja) * 2010-09-07 2013-07-24 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
JP5562434B2 (ja) * 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8840958B2 (en) * 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
JP6022166B2 (ja) 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9034774B2 (en) 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
CN102787304B (zh) 2011-05-18 2015-07-29 东京毅力科创株式会社 成膜方法和成膜装置
JP5602711B2 (ja) * 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101975071B1 (ko) * 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
KR101361673B1 (ko) * 2011-10-07 2014-02-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8785303B2 (en) 2012-06-01 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for depositing amorphous silicon
JP6011620B2 (ja) * 2012-07-13 2016-10-19 株式会社村田製作所 トランジスタの製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6245643B2 (ja) 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6011420B2 (ja) * 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
KR101551199B1 (ko) * 2013-12-27 2015-09-10 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP5968996B2 (ja) 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
JP5963893B2 (ja) 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US20160254145A1 (en) * 2015-02-27 2016-09-01 Globalfoundries Inc. Methods for fabricating semiconductor structure with condensed silicon germanium layer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6086942B2 (ja) * 2015-06-10 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102045384B1 (ko) * 2015-07-13 2019-11-15 어플라이드 머티어리얼스, 인코포레이티드 증발 소스
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US20170089915A1 (en) * 2015-09-30 2017-03-30 Agilent Technologies, Inc. Methods of analyte derivatization and enhanced soft ionization
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
JP6478330B2 (ja) * 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10366900B2 (en) * 2016-03-25 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6656103B2 (ja) * 2016-07-15 2020-03-04 東京エレクトロン株式会社 窒化膜の成膜方法および成膜装置
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6548622B2 (ja) * 2016-09-21 2019-07-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154823A1 (ja) * 2017-02-23 2018-08-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
JP6778144B2 (ja) 2017-04-25 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
WO2019147462A1 (en) * 2018-01-26 2019-08-01 Applied Materials, Inc. Treatment methods for silicon nitride thin films
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6920262B2 (ja) * 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6879435B2 (ja) 2018-09-26 2021-06-02 日立金属株式会社 熱電変換材料、およびそれを用いた熱電変換モジュール、並びに熱電変換材料の製造方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN111074235B (zh) * 2018-10-19 2024-01-05 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP6921799B2 (ja) * 2018-11-30 2021-08-18 東京エレクトロン株式会社 基板処理方法および基板処理システム
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2019071497A (ja) * 2019-02-13 2019-05-09 豊田合成株式会社 半導体装置およびその製造方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7209568B2 (ja) * 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7240517B2 (ja) 2019-09-20 2023-03-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
JP7314016B2 (ja) 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7222946B2 (ja) * 2020-03-24 2023-02-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7455013B2 (ja) 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112369465B (zh) * 2020-10-10 2022-09-13 浙江农林大学 一种覆膜装置及其覆膜方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002023614A1 (fr) * 2000-09-18 2002-03-21 Tokyo Electron Limited Procede de formation d'un film d'isolant de grille, appareil pour la formation d'un film d'isolant de grille et outil combine
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2763100B2 (ja) * 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JP3046643B2 (ja) * 1991-06-10 2000-05-29 富士通株式会社 半導体装置の製造方法
JPH06326030A (ja) 1993-05-13 1994-11-25 Canon Inc 半導体製造方法及び製造装置
JP2000195820A (ja) 1998-12-25 2000-07-14 Sony Corp 金属窒化物膜の形成方法およびこれを用いた電子装置
DE10049257B4 (de) * 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
JP4449226B2 (ja) 2000-05-22 2010-04-14 東京エレクトロン株式会社 金属酸化膜の改質方法、金属酸化膜の成膜方法及び熱処理装置
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100467366B1 (ko) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100474847B1 (ko) * 2001-05-07 2005-03-08 삼성전자주식회사 다성분계 박막 및 그 형성 방법
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100407381B1 (ko) 2001-06-29 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 커패시터 형성방법
KR20090091831A (ko) 2001-10-02 2009-08-28 도꾸리쯔교세이호진상교기쥬쯔소고겡뀨죠 금속산화물 박막 및 그 제조방법
JP2004047948A (ja) 2002-03-26 2004-02-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体製造装置
JP4695343B2 (ja) * 2002-04-11 2011-06-08 株式会社日立国際電気 縦型半導体製造装置
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
JP4677166B2 (ja) * 2002-06-27 2011-04-27 三洋電機株式会社 半導体装置及びその製造方法
JP2004095900A (ja) 2002-08-30 2004-03-25 Fujitsu Ltd アルミナ膜の成膜方法
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
US20060124058A1 (en) * 2002-11-11 2006-06-15 Hitachi Kokusai Electric Inc. Substrate processing device
JP3815566B2 (ja) 2003-03-13 2006-08-30 オムロン株式会社 基板検査装置
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7892983B2 (en) * 2004-10-07 2011-02-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus and producing method of semiconductor device
JP4546519B2 (ja) * 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002023614A1 (fr) * 2000-09-18 2002-03-21 Tokyo Electron Limited Procede de formation d'un film d'isolant de grille, appareil pour la formation d'un film d'isolant de grille et outil combine
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
JPWO2006088062A1 (ja) 2008-07-03
KR100841866B1 (ko) 2008-06-27
CN101527263A (zh) 2009-09-09
US7779785B2 (en) 2010-08-24
WO2006088062A1 (ja) 2006-08-24
KR100924055B1 (ko) 2009-10-27
US8039404B2 (en) 2011-10-18
US20120034790A9 (en) 2012-02-09
US20100233887A1 (en) 2010-09-16
TW200631080A (en) 2006-09-01
JP5276156B2 (ja) 2013-08-28
CN101032006A (zh) 2007-09-05
JP2012069998A (ja) 2012-04-05
JP2009152640A (ja) 2009-07-09
US20080124945A1 (en) 2008-05-29
US8105957B2 (en) 2012-01-31
JP4922335B2 (ja) 2012-04-25
US20090280652A1 (en) 2009-11-12
US8227346B2 (en) 2012-07-24
US20120077350A1 (en) 2012-03-29
KR20070088512A (ko) 2007-08-29
CN101527263B (zh) 2013-03-20
KR20080049853A (ko) 2008-06-04

Similar Documents

Publication Publication Date Title
JP4546519B2 (ja) 半導体デバイスの製造方法
JP4734317B2 (ja) 基板処理方法および基板処理装置
US8410003B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
TWI408748B (zh) 基板處理裝置及半導體裝置之製造方法
JP5723427B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP4694209B2 (ja) 基板処理装置及び半導体装置の製造方法
WO2011093203A1 (ja) 半導体装置の製造方法、基板処理装置及び半導体装置
KR20170048171A (ko) 붕소 질화막의 형성 방법 및 반도체 장치의 제조 방법
JP2021052086A (ja) 基板処理装置、及び半導体の製造方法
JP2005197561A (ja) 基板処理装置
JP2005243737A (ja) 基板処理装置
JP5204809B2 (ja) 基板処理装置、基板処理方法及び半導体デバイスの製造方法
JP2005167027A (ja) 基板処理装置
JP5746744B2 (ja) 半導体装置の製造方法及び基板処理装置
KR101858315B1 (ko) 성막 방법
JP2012114350A (ja) 基板処理装置
JP2005340281A (ja) 基板処理装置
JP2006261441A (ja) 基板処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090402

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100608

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100701

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130709

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4546519

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140709

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350