CN1879203A - 半导体装置的制造方法及衬底处理装置 - Google Patents

半导体装置的制造方法及衬底处理装置 Download PDF

Info

Publication number
CN1879203A
CN1879203A CNA2005800012258A CN200580001225A CN1879203A CN 1879203 A CN1879203 A CN 1879203A CN A2005800012258 A CNA2005800012258 A CN A2005800012258A CN 200580001225 A CN200580001225 A CN 200580001225A CN 1879203 A CN1879203 A CN 1879203A
Authority
CN
China
Prior art keywords
film
raw material
substrate
liquid charging
charging stock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800012258A
Other languages
English (en)
Other versions
CN100447962C (zh
Inventor
佐野敦
堀井贞义
板谷秀治
浅井优幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Electric Co., Ltd.
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN1879203A publication Critical patent/CN1879203A/zh
Application granted granted Critical
Publication of CN100447962C publication Critical patent/CN100447962C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种能够制造可以容易地控制包含金属原子和硅原子的膜中的氮浓度分布的高品质半导体装置的半导体装置制造方法及衬底处理装置。该制造方法包括以下步骤:在反应室4中,在衬底30上成膜包含金属原子和硅原子的膜的步骤;和对上述膜实施氮化处理的步骤,在上述成膜步骤中,至少分2个阶段改变硅浓度进行成膜。

Description

半导体装置的制造方法及衬底处理装置
技术领域
本发明涉及用于处理半导体晶圆等衬底的衬底处理装置及半导体装置(设备)的制造方法。
背景技术
作为半导体制造工序之一,有在衬底(以硅晶圆或玻璃等为基底、形成了微细的电路图案的被处理衬底)表面进行规定的成膜处理的工序。目前正在研究在规定的成膜处理中形成门绝缘膜的工序内,将门绝缘膜的电学膜厚薄膜化、并且将硅(Si)的氧化膜·氧氮化膜改为High-k(高介电常数)膜。作为ZrO2、HfO2等High-k膜的形成方法,正在研究溅射法、CVD(Chemical Vapor Deposition)法,考虑到阶差被覆性等特性、以及容易交换成膜原料等优点,大量生产时多使用CVD法。
作为CVD之一的MOCVD(Metal Organic Chemical VaporDeposition)适用于形成High-k膜。采用MOCVD时,使用有机金属原料作为原料气体。分别对各种有机金属原料进行了研究。作为HfO2、HfSiO4等原料,使用例如Hf[OC(CH3)3]4(简称为Hf-OtBu)、Hf[OC(CH3)2CH2OCH3]4(以下简称为Hf-MMP)、Si[OC(CH3)2CH2OCH3]4(以下简称为Si-MMP)、Hf[O-Si-(CH3)]4(简称为Hf-OSi)等。其中,例如Hf-MMP或Si-MMP在常压、30℃左右为液态。因此,将上述液体材料加热,利用蒸气压变换成气体加以利用。对于CVD,已知使用作为MOCVD法的原料之一的Hf-MMP的方法(例如参见专利文献1)。
专利文献1:特开2004-6699号公报
发明内容
为了维持HfO2膜的非晶状态,在HfO2膜中导入Si。在HfO2膜中导入了Si的膜、即含有Hf和Si的氧化膜被称为硅酸铪膜(以下称为HfSiO膜)。通过在HfO2膜中导入Si,在上部电极中导入硼的结构中,也可以将能够有效防止硼穿透到衬底内的氮充分导入HfSiO膜内。
目前,对于任意的HfSiO膜,通过控制氮导入时的条件·方法来控制膜中的氮分布。特别是适用于门绝缘膜时,如果在与作为基底、及门的活性区域的Si的界面导入氮,则引起晶体管的特性劣化。其原因在于氮(N)本身阻碍电子的通路,妨碍晶体管的活性区域内的电子移动。因此,必须抑制氮导入硅酸铪膜和Si的界面。但是,为了不在界面内导入氮而控制硅酸盐膜中的氮浓度是困难的。
本发明的目的在于提供一种能够制造可以容易地控制膜中的氮浓度分布的高品质半导体装置(设备)的半导体装置制造方法及衬底处理装置。
发明人发现在包含金属原子和硅原子的膜内导入氮时,包含金属原子和硅原子的膜中的硅浓度和氮浓度间存在相关性。包含金属原子和硅原子的膜例如可以通过在金属氧化膜内导入硅来成膜。因此,只要能够控制硅导入金属氧化膜内,即可控制膜中的氮浓度。但是,难以控制硅导入金属氧化膜内。发明人发现通过在包含金属原子和硅原子的膜的成膜过程中控制硅浓度,可以控制氮浓度,从而完成了本发明。
第1项发明涉及一种半导体装置制造方法,其特征为,该制造方法具有在衬底上成膜包含金属原子和硅原子的膜的步骤、和对上述膜进行氮化处理的步骤,在上述成膜步骤中,至少分2个阶段改变硅浓度,进行成膜。
如果在成膜步骤中,至少分2个阶段改变硅浓度,进行成膜,则在氮化处理步骤中,可以使氮浓度对应于硅浓度的变化,至少分2个阶段发生变化。
第2项发明为第1项发明的半导体装置制造方法,其特征为,在上述成膜步骤中,成膜在深度方向硅浓度不同的膜。
如果成膜在膜的深度方向硅浓度不同的膜,则可以使氮浓度在膜的深度方向发生变化。
第3项发明为第1项发明的半导体装置制造方法,其特征为,在上述成膜步骤中,成膜由硅浓度不同的2层或2层以上的层构成的膜。
形成由硅浓度不同的2层或2层以上的层构成的膜时,只要形成多层硅浓度不同的层即可,不必使各层深度方向的硅浓度各异,可以使其具有一定的浓度,因此容易控制硅浓度。
第4项发明涉及第1项发明的半导体装置制造方法,其特征为,在上述成膜步骤中进行成膜,使上述膜的表面侧的硅浓度大于衬底侧的硅浓度。
如果进行成膜,使膜的表面侧的硅浓度大于衬底侧的硅浓度,则可以在氮化处理步骤中,使膜的表面侧的氮浓度大于衬底侧的氮浓度。
第5项发明涉及第1项发明的半导体装置制造方法,其特征为,在上述成膜步骤中进行成膜,使上述膜的表面侧富含硅、衬底侧富含金属。
如果进行成膜,使膜的表面侧富含硅、衬底侧富含金属,则在氮化处理步骤中,可以在膜的表面侧形成氮化硅膜、在衬底侧残留金属的硅酸盐膜。
第6项发明涉及第1项发明的半导体装置制造方法,其特征为,在上述成膜步骤中,使用含有金属原子的第1原料和含有硅原子的第2原料,对衬底间歇供给各原料,同时分别改变各原料的供给流量或供给时间,进行成膜。
如在对衬底间歇供给各原料的同时,分别改变各原料的供给流量或供给时间,进行成膜,则可以对应于各原料的供给流量或供给时间,使硅浓度在深度方向任意改变。
第7项发明涉及第1项发明的半导体装置制造方法,其特征为,上述金属原子是指铪,上述膜是指硅酸铪膜。
如果金属原子为铪、膜为硅酸铪膜,则可以使硅酸铪膜中的氮浓度至少分2个阶段改变。
第8项发明涉及第1项发明的半导体装置制造方法,其特征为,上述氮化处理步骤与上述成膜步骤在同一反应室内进行。
如果氮化处理步骤与成膜步骤在同一反应室内进行,则可以生产率良好地进行成膜。
第9项发明涉及第1项发明的半导体装置制造方法,其特征为,上述氮化处理步骤在经由传送室与进行上述成膜步骤的反应室相连的处理室内进行。
如果氮化处理步骤在经由传送室与进行成膜步骤的反应室相连的处理室内进行,则能够有效率地进行氮化处理。
第10项发明涉及第1项发明的半导体装置制造方法,其特征为,上述氮化处理步骤采用RPN处理、MMT氮化处理或RTN处理进行。
如果氮化处理步骤采用RPN处理、MMT氮化处理或RTN处理进行,则可以更有效率地进行氮化处理。
第11项发明涉及一种半导体装置制造方法,其特征为,该制造方法具有在衬底上成膜包含金属原子和硅原子的膜的步骤、和在上述膜中导入氮的步骤,由上述成膜步骤中形成的膜中的硅浓度控制在上述氮导入步骤中导入膜中的氮的浓度。
如果由成膜步骤中形成的膜中的硅浓度控制氮导入步骤中导入膜中的氮的浓度,则可以扩大氮浓度的控制范围。
第12项发明涉及一种半导体装置制造方法,其特征为,该方法具有将衬底搬入反应室的步骤;对衬底供给第1原料气体和第2原料气体,处理衬底的步骤,上述第1原料气体是将调合多种液体原料得到的第1原料气化而形成的,第2原料气体是将按照与第1原料不同的混合比调合多种液体原料得到的第2原料或由一种液体原料组成的第2原料气化而形成的;和将处理后的衬底从反应室搬出的步骤。
由于在衬底处理步骤中调合多种液体原料,因此容易确保液体流量的控制性。从而可以提高膜中元素组成比的控制性。
第13项发明涉及第12项发明的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,分别改变第1原料或/及第2原料的供给流量。
如果在成膜过程中分别改变第1原料或/及第2原料的供给流量,则可以使膜中元素的组成比在深度方向发生变化。
第14项发明涉及第12项发明的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,对衬底同时供给第1原料气体和第2原料气体。
如果对衬底同时供给第1原料气体和第2原料气体,则可以采用由常用的CVD法形成堆积膜的成膜方法。
第15项发明涉及第12项发明的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,对衬底交替供给第1原料气体和第2原料气体。
如果对衬底交替供给第1原料气体和第2原料气体,则可以采用由循环CVD法形成堆积膜的成膜方法。
第16项发明涉及第12项发明的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,交替进行第1原料气体和第2原料气体的供给、和不同于上述原料气体的第3原料气体的供给至少1次或1次以上。
由于交替进行第1原料气体和第2原料气体的供给和第3原料气体的供给至少1次或1次以上,因此能够利用伴随膜的改性处理的循环CVD法进行成膜。
第17项发明涉及第12项发明的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,交替进行第1原料气体的供给和第2原料气体的供给至少1次或1次以上,其间间隔进行不同于上述原料气体的第3原料气体的供给。
由于交替进行第1原料气体的供给和第2原料气体的供给至少1次或1次以上,其间间隔进行不同于上述原料气体的第3原料气体的供给,因此能够在进行利用循环CVD法的成膜的同时有效地进行膜的改性处理。
第18项发明涉及第12项发明的半导体装置制造方法,其特征为,构成第1原料的多种液体原料是指铪液体原料和硅液体原料,构成第2原料的一种液体原料是指铪液体原料或硅液体原料中的任一种,处理是指形成硅酸铪膜。
如果衬底的处理为形成硅酸铪膜,则能够提高由铪和硅组成的膜的组成比的控制性。
第19项发明涉及第18项发明的半导体装置制造方法,其特征为,将第1原料中硅液体原料和铪液体原料的混合比(硅液体原料/铪液体原料)设定为100~1000。
如果将混合比设定为100~1000,则可以使形成的硅酸铪膜的组成比(铪原子数/(铪+硅)原子数)为0.1左右。
第20项发明涉及第18项发明的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,通过分别改变第1原料或/及第2原料的供给流量,可以将衬底上形成的硅酸铪膜在深度方向的组成比(铪原子数/((铪+硅)原子数)控制在0.1~1.0的范围内。
如果将形成在衬底上的硅酸铪膜在膜的深度方向的组成比控制在0.1~1.0的范围,则能够使硅酸铪膜的表面附近富含硅、硅酸铪膜的衬底附近富含铪。
第21项发明涉及第20项发明的半导体装置制造方法,其特征为,该方法还具有对在处理衬底的步骤中形成的硅酸铪膜进行氮化处理的步骤。
如果还具有对硅酸铪膜进行氮化处理的步骤,则可以使硅酸铪膜的表面附近的氮浓度高、硅酸铪膜的衬底附近的氮浓度低。
第22项发明涉及一种衬底处理装置,其特征为,该衬底处理装置具有以下部分:对衬底进行处理的反应室,收纳调合多种液体原料得到的第1原料的第1罐(tank),收纳按照与第1原料不同的混合比调合多种液体原料形成的第2原料、或、由一种液体原料组成的第2原料的第2罐,控制第1原料的液体流量的第1液体流量控制装置,控制第2原料的液体流量的第2液体流量控制装置,将进行了流量控制的第1原料气化的第1气化器,将进行了流量控制的第2原料气化的第2气化器,将气化得到的第1原料气体和第2原料气体供给到反应室内的供给口。
调合多种液体原料得到的第1罐的第1原料由第1液体流量控制装置进行流量控制,被第1气化器气化,从供给口供给到反应室内。按照与第1原料不同的混合比调合多种液体原料形成的第2罐的第2原料、或、由一种液体原料组成的第2罐的第2原料由第2液体流量控制装置进行流量控制,被第2气化器气化,从供给口供给到反应室内。
由于调合了多种液体原料,因此可以容易地确保液体流量的控制性。因此,能够在反应室内,在衬底上成膜具有对应于第1原料和第2原料的混合比的组成比的金属的硅酸盐膜。
第23项发明涉及第22项发明的衬底处理装置,其特征为,该装置具有控制装置,控制装置在衬底处理过程中为了改变第1液体流量控制装置或/及第2液体流量控制装置的设定值而进行控制。
如果具有在衬底处理过程中为了改变使第1原料或/及第2原料的液体流量设定值而进行控制的控制装置,则可以控制金属的硅酸盐膜在深度方向的组成比。
根据本发明,通过改变膜中的硅浓度,可以容易地控制膜中的氮浓度分布,制造高品质的半导体装置(设备)。
附图说明
图1为本发明第1种实施方式的衬底处理装置的剖面简图。
图2为第1种实施方式的成膜程序图。
图3为第1种实施方式的供给比Hf-MMP/(Hf-MMP+Si-MMP)与膜中的组成比Hf/(Hf+Si)的关系图。
图4为第1种实施方式的HfSiO膜中的Si浓度分布图。
图5为第1种实施方式的HfSiO膜中的Si浓度分布图。
图6为第1种实施方式的HfSiO膜中的Si浓度分布图。
图7为第1种实施方式的HfSiO膜中的N浓度分布图。
图8为改变了第1种实施方式中各原料气体的供给流量的成膜程序图。
图9为改变了第1种实施方式中各原料气体的供给时间的成膜程序图。
图10为第2种实施方式中的枚叶式CVD装置的具体结构图。
图11为表示HfSiO膜的组成比x与混合比q的关系的曲线图。
图12为对富含Hf的HfSiO膜进行氮化处理的说明图。
图13为对富含Si的HfSiO膜进行氮化处理的说明图。
图14为第3种实施方式中的枚叶式CVD装置的具体结构图。
图15为第3种实施方式中的枚叶式CVD装置的反应室的结构图。
图16为第3种实施方式中的调合装置的结构图。
图17为第3种实施方式中填充在各缓冲罐内的各原料的供给图表。
图18为表示第3种实施方式的HfSiO的组成比x的曲线图。
图19为第3种实施方式中填充在各缓冲罐内的各原料的供给图表。
图20为第3种实施方式中填充在各缓冲罐内的各原料的供给图表。
图21为第3种实施方式中填充在各缓冲罐内的各原料的供给图表。
图22为第3种实施方式中填充在各缓冲罐内的各原料的供给图表。
图23为表示第3种实施方式中的HfSiO的组成比x的曲线图。
图24为表示第3种实施方式中的HfSiO的组成比x的曲线图。
图25为表示第3种实施方式中的HfSiO的组成比x的曲线图。
图26为表示第3种实施方式中的HfSiO的组成比x的曲线图。
图27为第3种实施方式中交替供给填充在各缓冲罐内的各原料时的供给图表。
图28为第3种实施方式中交替供给填充在各缓冲罐内的各原料时的供给图表。
图29为第3种实施方式中交替供给填充在各缓冲罐内的各原料时的供给图表。
图30为第3种实施方式中交替供给填充在各缓冲罐内的各原料的供给图表。
图31为第3种实施方式中由表面向HfSiO内导入氮时的氮浓度分布。
图32为第3种实施方式中交替供给填充在各缓冲罐内的各原料时的增加了RPN处理的供给图表。
符号说明
1      原料容器
2      原料容器
3a     气化器
3b     气化器
4      反应室
5      排气装置
11a    弯管
11b    弯管
12     清洗用惰性气体供给管
13a   Hf液体原料供给管
13b   Si液体原料供给管
14     排气管
15a    压送气体供给管
15b    压送气体供给管
16     氧化气体供给管
17a   Hf原料气体供给管
17b   Si原料气体供给管
18a    液体流量控制装置
18b    液体流量控制装置
121      远程等离子体设备
具体实施方式
下面参照附图说明本发明的实施方案。
第1种实施方式
如下所述,第1种实施方式中,对使用CVD法、更具体而言为MOCVD法形成非晶状态的硅酸铪膜(以下简称为HfSiO膜)的情况进行说明。
图1为作为实施方式的衬底处理装置的枚叶式CVD装置之一例的简图。
处理硅晶圆等衬底30的反应室4具备作为支撑衬底30的衬底支撑台的基座(susceptor)42。在基座42上设置用于加热衬底30的加热器43,在反应室壁内埋入用于加热反应室壁的加热器41。
反应室4连接供给作为含有金属原子的第1原料的Hf原料气化形成的气体的Hf原料气体供给管17a、供给作为含有硅原子的第2原料的Si原料气化形成的气体的Si原料气体供给管17b、供给N2等惰性气体的惰性气体供给管12、供给经远程等离子体活化的氧(氧化气体)的氧化气体供给管16。在Hf原料气体供给管17a、Si原料气体供给管17b上分别设置弯管11a、弯管11b。另外,Hf原料气体供给管17a、Si原料气体供给管17b分别连接将Hf液体原料、Si液体原料气化的气化器3a、气化器3b。气化器3a、气化器3b分别连接Hf液体原料供给管13a、Si液体原料供给管13b,Hf液体原料供给管13a、Si液体原料供给管13b上分别设置液体流量控制装置18a、液体流量控制装置18b。另外,Hf液体原料供给管13a、Si液体原料供给管13b分别连接Hf液体原料容器1、Si液体原料容器2。原料容器1、原料容器2分别连接供给压送气体的压送气体供给管15a、压送气体供给管15b,上述压送气体用于将容器内的各原料压出到Hf液体原料供给管13a、Si液体原料供给管13b内。如果利用上述构成将N2等压送气体供给至原料容器1、原料容器2内,则各液体原料被压出到液体原料供给管13a、13b内,被压出的液体原料由液体流量控制装置18a、液体流量控制装置18b控制流量,进行了流量控制的液体原料被气化器3a、3b气化,经由原料气体供给管17a、17b,作为原料气体供给到反应室4内。氧化气体被远程等离子体设备20活化后,供给至反应室4内。
另外,反应室4连接将反应室内排气的排气管14,排气管14连接真空泵等排气装置5。导入反应室4内的各气体经排气管14、排气装置5到达除害装置(图中未示出)等后段设备。需要说明的是图中的管上设置图中未示出的加热装置。
反应室4经由门阀邻接图中未示出的传送室,传送室连接冷却室或负荷固定室。传送室具备传送用机械,将衬底由传送室导入反应室4,在反应室4内实施成膜等处理后,经过传送室传送到冷却室内。
另外,作为控制装置的控制器50控制液体流量控制装置18a、18b、气化器3a、3b、远程等离子体设备20、排气装置5、衬底加热用加热器43、反应室壁加热用加热器41等构成枚叶式CVD装置的各部分的动作。
下面给出使用上述图1所示结构的枚叶式CVD装置堆积HfSiO膜的程序。此处,说明使用Hf-MMP作为Hf原料、使用Si-MMP作为Si原料、使用氮气(N2)·氩气(Ar)作为惰性气体、使用氧气(O2)作为氧化剂的例子。
需要说明的是在以下的说明中,由控制器50控制构成枚叶式CVD装置的各部分的动作。
衬底被传送用机械由传送室搬入反应室4内。为了使衬底温度升至处理温度、使反应室内的压力达到处理压力进行调整。然后,在成膜步骤中,如图2所示,交替多次供给原料气体、即Hf-MMP气化形成的Hf原料气体及Si-MMP气化形成的Si原料气体,和被远程等离子体活化的氧(氧化气体)。由此在衬底上形成HfSiO膜。需要说明的是在原料气体(Hf原料气体、Si原料气体)供给和氧化气体供给之间利用N2进行清洗(以下简称为N2清洗)。即,以供给Hf原料气体和Si原料气体→N2清洗→供给氧化气体→N2清洗的程序为1个单位(1次循环),重复任意次,得到所希望的膜厚。所谓任意次是指所希望的膜厚除以1单位程序中得到的膜厚所得的数值。可以同时供给Hf原料气体和Si原料气体,也可以对衬底间歇性、即单个供给各原料气体。
在成膜步骤中供给原料气体时,只要边改变供给Hf原料、Si原料、进行N2清洗的1个单位(循环)中的供给比Hf-MMP/(Hf-MMP+Si-MMP),边反复操作任意次,得到所希望的膜厚,就可以如图4、图5、图6所示,改变膜中深度方向的Si浓度。
这是由于在成膜时,原料的供给·清洗的1个单位内的Hf原料与Si原料的供给比(摩尔比)Hf-MMP/(Hf-MMP+Si-MMP)和膜中的组成比Hf/(Hf+Si)存在后述图3所示关系,因此可以通过控制成膜时的Hf原料与Si原料的供给比Hf-MMP/(Hf-MMP+Si-MMP)来控制膜中深度方向的Si浓度。
优选膜的上部侧(设备形成时的晶体管活性区域的相反侧)Si浓度大、膜的下部侧(设备形成时的晶体管活性区域侧)Si浓度小。即,可以使HfSiO膜的表面侧的Si浓度大于衬底侧的Si浓度,使衬底侧的Hf浓度大于HfSiO膜的表面侧的Hf浓度。由此,为了使HfSiO膜的表面侧与衬底侧相比富含Si、衬底侧与HfSiO膜的表面侧相比富含Hf而进行成膜。
此处,富含Si是指组成比x=Hf/(Hf+Si)=0.1~0.5的范围,富含Hf是指组成比x=Hf/(Hf+Si)=0.6~0.9的范围。
如果为了使HfSiO膜的表面侧与衬底侧相比富含Si、衬底侧与HfSiO膜的表面侧相比富含Hf而如上所述地进行成膜,则通过后述的氮化处理,可以在HfSiO膜表面侧的富含Si的层内导入较多的氮、不在衬底侧的富含Hf的层内导入氮。
需要说明的是虽然图2中给出供给原料气体后供给活化的氧化气体的情况,但是有时也在供给氧化气体后供给原料气体。可以通过先对衬底供给被远程等离子体活化的氧化气体来改善堆积膜的特性等。上述方法是特开2004-6699号公报等提供的周期性重复利用MOCVD进行的膜堆积、利用被远程等离子体活化的气体进行的氧化和堆积膜改性的方法,也可以是不周期性重复、利用普通的MOCVD法形成堆积膜的方法。普通的MOCVD是指同时或依次供给原料、无重复程序地获得膜的方法。需要说明的是利用被远程等离子体活化的气体进行的膜氧化和改性处理也被称为RPO(Remote Plasma Oxidation)处理。
形成的HfSiO膜可以是深度方向的Si浓度不同的单层。另外,也可以形成多层(叠层)Si浓度不同的HFSiO膜。此时,优选使HfSiO膜表面侧的层的膜厚比衬底侧的层的膜厚薄。
如果使用Hf-MMP(100%)和Si-MMP(100%),使Hf-MMP/(Hf-MMP+Si-MMP)供给比为1/6,进行成膜,则HfSiO膜中的组成比Hf/(Hf+Si)为63%。如果使Hf-MMP/(Hf-MMP+Si-MMP)供给比为1/100,进行成膜,则可以实现HfSiO膜中的组成比Hf/(Hf+Si)为16%。
图3给出Hf-MMP/(Hf-MMP+Si-MMP)供给比和由此得到的HfSiO膜中的Hf/(Hf+Si)组成比。此时的成膜温度为450℃、压力为50~200Pa。需要说明的是,此处,供给比及组成比作为摩尔比率采用百分率表现形式(%),也可以采用相对于最大值1的比率表现形式。
然后对如上所述地形成的HfSiO膜进行氮化处理。在该步骤中,例如对膜中的Si浓度如图4所示的HfSiO膜、即膜表面侧的Si浓度大于衬底侧的Si浓度的HfSiO膜进行氮化处理。由此得到具有图7中实线所示的氮浓度分布、即膜表面侧的N浓度大于衬底侧的N浓度的分布的HfSiO膜。由此可以防止硼穿透到膜表面侧,整体提高耐热性。可以如上所述地在HfSiO膜表面侧导入较多的氮、不在衬底侧(与衬底的界面)导入氮是由于在硅酸盐膜中硅组成越高越可以在膜内导入大量的氮。需要说明的是在Si浓度均等的HfSiO膜内得到图7中虚线所示的氮浓度分布。
图4、5、6及图7所示浓度分布为简图,推测如果追加退火工序,则因各浓度分布扩散而使形状发生变化。
此处,氮化处理有在电阻加热或使用光源的急速加热处理(RTA;Rapid Thermal Anneal)中使用氮气(N2)或氨气(NH3)等含氮气体的方法,即RTN(Rapid Thermal Nitridation)处理、使用被远程等离子体活化的氮气或氨气等导入氮的RPN(Remote Plasma Nitridation)处理、或MMT(Modified Magnetron Typed Plasma Source)氮化处理等,无论何种氮化处理方法,均可得到反映HfSiO膜中的Si浓度分布的氮浓度分布。从而可以扩大氮浓度分布的控制范围。
需要说明的是在上述实施方式的成膜步骤中,为了边改变供给比Hf-MMP/(Hf-MMP+Si-MMP)边进行成膜,只要在间歇供给各原料气体的同时,在每个规定循环中分别改变各原料气体的供给流量或供给时间,进行成膜即可。
例如,在图8所示的成膜程序例中,在每1次循环中改变各原料气体的供给流量。需要说明的是,此处作为各原料气体,使用Hf原料气体、和混合Hf原料气体和Si原料气体形成的混合原料气体(Hf原料气体+Si原料气体)。即,作为第1原料气体使用Hf原料气体,作为第2原料气体,使用Hf原料气体和Si原料气体的混合气体代替Si原料气体。在每次供给Hf原料气体时,减少Hf原料气体的供给流量,在每次供给混合原料气体时,增加混合原料气体的供给流量,从而改变各原料气体的供给流量。需要说明的是重复下述循环,最后进行RPN处理,所述循环为在供给Hf原料气体、混合原料气体后,利用N2清洗排出原料气体,排气后,进行利用氧化气体的RPO(Remote Plasma Oxidation)处理,再利用N2清洗排出氧化气体。可以通过如上所述地在每1次循环中改变各原料的供给流量,控制HfSiO膜深度方向的Si浓度。
另外,在图9所示的成膜程序例中,在每1次循环中改变各原料气体的供给时间。每次供给Hf原料气体时,减少Hf原料气体的供给时间,每次供给混合原料气体时,增加混合原料气体的供给时间。即使如上所述地在每1次循环中改变各原料气体的供给时间也能够控制HfSiO膜深度方向的Si浓度。
需要说明的是各原料气体的供给流量或供给时间只要至少在每1次循环中改变即可,也可以在每几个循环中改变。
需要说明的是,考虑到生产率,氮化处理优选在与进行HfSiO膜的成膜的反应室4同一反应室4内,与HfSiO膜的成膜连续地进行。此时,利用RPN处理进行氮化处理的情况下,使氮化气体活化的远程等离子体设备可以与活化用于HfSiO膜的氧化和改性的氧化气体的远程等离子体设备20共用。另外,氮化处理也可以在与进行HfSiO膜的成膜的反应室4经由传送室连接的等离子体处理室或热处理室内进行。另外,在HfSiO膜的成膜中,作为原料气体,Hf[N(C2H5)2]4和HSi[N(CH3)2]3、或Si[N(CH3)2]4的组合等也可以期待同样的效果。另外,即使Hf原料和Si原料均非有机原料,也可以期待同样的效果。即,不仅有机Hf原料与有机Si原料的组合,有机Hf原料与无机Si原料的组合、或无机Hf原料与有机Si原料的组合、或无机Hf原料和无机Si原料的组合也可以期待同样的效果。
如上所述,本实施方式有下述效果。
(1)可以提高深度方向的组成式Hf/(Hf+Si)的控制性。
(2)由于在膜的深度方向控制了HfSiO膜中的Si浓度,因此在随后对HfSiO膜进行氮化处理时,能够在膜中的深度方向得到所希望的氮浓度分布。
(3)由于HfSiO膜中的N浓度通过HfSiO膜中的Si浓度加以控制,因此可以容易地控制HfSiO膜中的N浓度分布,可以制备高品质的半导体装置(设备)。
(4)由于也可以不从Si原料气体供给系统导入Si-MMP,因此可以利用同一成膜装置生产率良好地形成HfO2膜和HfSiO膜。
需要说明的是可以不如本发明所述在氮化处理步骤中将氮导入HfSiO膜的膜中、通过成膜步骤中形成的HfSiO膜的膜中硅浓度控制膜中的深度方向的氮浓度,只要在形成HfSiO膜后,在HfSiO膜上采用CVD或ALD(Atomic Layer Deposition)堆积氮化硅膜(以下简称为SiN膜)即可避免氮导入HfSiO膜和Si的界面,此时,形成SiN膜时如果利用硅烷类气体等原料气体还原HfSiO膜,则由于绝缘性变差而无法得到优质的绝缘膜。
第2种实施方式
此处具体说明第1种实施方式的改善例。
在上述第1种实施方式中,要求增大HfSiO膜中的Si浓度,扩大组成比Hf/(Hf+Si)的控制范围。因此,多种Hf原料及Si原料的供给方法、特别是混合方法变得重要。
原料的供给方法有使各液体原料分别气化后,混合、供给到反应室内的方法。例如将各原料分别经由气化器气化后,在反应室内或反应室前室(混合器、喷头等用于气体混合的部位)进行混合后或不进行混合直接供给到反应室内。
例如,用混合器进行混合的方法可以使用图10所示的枚叶式CVD装置。在作为液体原料容器的第一液体原料罐101内填充含有Hf的液体原料,在作为液体原料容器的第二液体原料罐102内填充含有Si的液体原料,由惰性气体导入管112将被加压的惰性气体(He、N2等)导入各罐内,由此对各罐内施加压力,将各罐内的各原料压出并收纳在第一缓冲罐203、第二缓冲罐204内。上述2种原料分别由第一液体流量控制装置205、第二液体流量控制装置206进行流量控制,被第一气化器103a、第二气化器103b气化,转换成气体,在作为混合器的气体流路控制管109内混合后,导入反应室104。
作为控制装置的控制器50控制液体流量控制装置205、206、气化器103a、103b、气体流路控制管109、排气装置105等构成枚叶式CVD装置的各部分的动作。
但是,采用将上述各原料气化后混合、供给到反应室内的原料供给方法,作为Hf原料,供给Hf-MMP,作为Si原料,供给Si-MMP的情况下,由于Si-MMP难以单独成膜,因此难以增大HfSiO膜中的Si浓度、HfSiO膜中的组成比Hf/(Hf+Si)的调整范围窄。
但是,本发明人发现如果使用在Si-MMP内混入微量Hf-MMP得到的原料作为Si原料,则能够提高Si-MMP的反应性,可以控制性良好地增加HfSiO膜中的Si添加量。
第2种实施方式涉及一种半导体装置制造方法,该方法改善了上述Si添加量的控制性,为了提高HfSiO膜中的Si导入量而使用在Hf原料和Si原料中的任一种原料中微量混合另一种原料得到的混合物作为原料。
更具体而言,在第2种实施方式中,枚叶式CVD装置可以使用与图10所示装置相同的装置。例如,作为Hf原料,使用Hf-MMP(100%),填充到第一液体原料罐101内。在第二液体原料罐102内,作为Si原料,填充在Si-MMP内预先含有数%Hf-MMP的混合原料。如图3所示,为了将组成比Hf/(Hf+Si)控制在10~50%(0.1~0.5)的范围内,可以使Si-MMP中的Hf-MMP含量、即Hf-MMP/(Hf-MMP+Si-MMP)比为不足10%的程度、优选不足1%。这是由于Si-MMP中的Hf-MMP含量越小,越能够扩大HfSiO膜中的组成比Hf/(Hf+Si)的控制范围。另外,为了将所希望的组成比Hf/(Hf+Si)控制在50%(0.5)或50%(0.5)以上,只要使Si-MMP中的Hf-MMP含量为10%左右或10%以上即可。
使用混合有1%Hf-MMP的Si-MMP、供给比Hf-MMP/(Hf-MMP+Si-MMP)=1/100的情况下,可以实现HfSiO膜的组成比Hf/(Hf+Si)为16%。
如上所述,根据第2种实施方式,在HfSiO膜的形成中,通过使Si-MMP内混合有不足10%的微量Hf-MMP,可以促进Si-MMP的反应,即使在将组成比Hf/(Hf+Si)控制在0.1~0.5的范围内的情况下,也能够稳定地进行控制。另外,通过在Si-MMP内混合微量的Hf-MMP,可以将HfSiO膜中的Si导入量由数%提高至80%或80%以上。另外,即使在将组成比Hf/(Hf+Si)控制在0.1~0.5等小范围内的情况下,也仅需要控制Si-MMP中的Hf-MMP混入量即可,不必将Hf原料的流量控制装置改为小流量用装置,几乎不需要改变装置的硬件。
需要说明的是在上述实施方式中,作为Si原料,使用预先含有微量Hf-MMP的Si-MMP,但是,在使用Si-MMP(100%)、在通往反应室或气化器的管的中途分别进行流量控制的系统中,也可以微量混合Hf-MMP,作为Si原料或Hf·Si混合原料进行供给。
第3种实施方式
此处说明进一步改善了第1种实施方式的具体例。
在第1种实施方式中,进一步考虑了下述问题。
(1)原料混合产生颗粒
例如,利用图10所示的枚叶式CVD装置,将2种原料分别用第一气化器103a、第二气化器103b气化,变换成气体,经气体流路控制管109混合后导入反应室104内。此处存在难以使用一旦混合即发生反应的原料的问题。如果忽视上述问题,则即使如上所述地导入2种原料进行成膜,也由于容易产生颗粒而发生喷出孔25闭塞、包含排气管114的排气系统闭塞等装置运行方面的诸多问题。因此,必须选择即使混合也不发生上述问题的原料。
(2)供给系统的稳定性和成本
第一原料为Hf-MMP,第二原料为Si-MMP。在反应室104中,设置加热至规定温度的衬底,2种原料气体受热分解,在衬底上堆积HfSiO膜。此时,形成的HfSiO膜的组成比x=Hf/(Hf+Si)取决于Hf-MMP和Si-MMP的混合比q=(Si-MMP)/(Hf-MMP)。示于图11。由图11可知,假设欲形成x=0.1的组成的HfSiO膜时,必须使q=100~1000。以上述混合比进行供给时,Hf-MMP的流量相对于Si-MMP为非常小的值,因此通常难以确保控制性。这是由于流量越小越难控制流量,如果流量过小,则因液体流量控制装置精度方面的问题(界限)而难以检测流量。因此,原料供给比的再现性方面容易出现问题。需要说明的是目前还不存在控制上述微小流量的液体流量控制装置,即使改良·改造现有流量控制装置、提高精度,也是成本非常高而收效甚少。
(3)将氮导入HfSiO膜中时的问题
即使对Si浓度低、即富含Hf的HfSiO膜实施氮化处理,导入氮,也因Hf-N键多于Si-N键,使得绝缘性变差。这是由于Si-N显示绝缘性,而Hf-N显示导体性质。因此,必须使HfSiO膜的表面附近为富含Si的膜。即,必须将组成比Hf/(Hf+Si)控制在10~50%(0.1~0.5)的范围内。使用图12、图13对此进行说明。
如果如图12所示,对衬底30上形成的富含Hf(x=Hf/(Hf+Si)=0.6~0.9)的HfSiO膜31a实施氮化处理,则无法在HfSiO膜31a表面附近形成致密的Si3N4膜,膜容易被整体氮化,整体形成含有Hf-N键、Si-N键的膜31b。从而影响了膜的绝缘性。
另外,如果如图13所示,对衬底30上形成的富含Si(x=0.1~0.5)的HfSiO膜32a实施氮化处理,则可以在HfSiO膜32a表面附近形成致密的氮化膜,膜不易被整体氮化。结果可以在表面附近形成Si3N4膜32b,容易在其下方残留HfSiO膜32a。这是由于致密的Si3N4膜具有阻隔性高、不透过任何物质的性质。结果此时存在不易丧失绝缘性的优点。
如上所述,为了不丧失HfSiO膜的绝缘性,必须将HfSiO膜的表面侧的组成比x控制在0.1~0.5的范围内,但是采用将各原料气化后进行混合的方法时,该范围的控制本身较难,无法获得充分的结果。另外,也曾尝试在HfSiO上堆积SiN,但是,此时,如第1种实施方式所述地堆积SiN时,HfSiO膜容易被所使用的硅烷类气体还原,难以防止HfSiO膜的绝缘性恶化。另外,SiN膜并非在高能量下形成的膜时,无致密性,丧失阻隔性。因此,低温下形成的SiN膜完全没有作用的可能性高。
但是,发明人发现如果使用下述方法,则可以解决上述问题,该方法为:作为第1原料,使用调合Hf-MMP和Si-MMP形成的混合原料;作为第2原料,使用将Hf-MMP和Si-MMP按照与第1原料不同的混合比调合形成的混合原料、或仅由Hf-MMP组成的原料,将上述原料分别气化,对衬底进行供给。
第3种实施方式利用了上述调合方法,在衬底处理步骤中,对衬底供给下述气体,对衬底进行处理,所述气体有将调合多种液体原料得到的第1原料气化形成的第1原料气体,按照与第1原料不同的混合比调合多种液体原料形成的混合原料、或、由一种液体原料组成的第2原料气化形成的第2原料气体。
首先,在本实施方式中,使用图14所示的枚叶式CVD装置。该枚叶式CVD装置具备对衬底进行处理的反应室104。反应室104具有图15所示的构成。反应室104的内部设置载置衬底30的基座42,设置在基座42下方、加热衬底30的加热器43,具有多个喷出孔25的喷头26。反应室104内设置供给第1原料或/及第2原料的成膜气体供给管120、供给氧化气体的氧化气体供给管116。另外,反应室104连接将反应室内排气的排气管114,排气管114连接真空泵等排气装置105。氧化气体供给管116与成膜气体供给管120一同连接喷头26,将活化的氧化气体供给到喷头26内,进行RPO处理。RPO处理用于将混入薄膜内的有机物除去或改性。
氧化气体供给管116连接进行RPO的远程等离子体设备121。成膜气体供给管120连接可控制气体流路、混合第1原料气体和第2原料气体的气体流路控制管109。
气体流路控制管109连接供给下述第1原料气化形成的气体的第1原料气体供给管117a、供给下述第2原料气化形成的气体的第2原料气体供给管117b、供给N2等惰性气体的惰性气体供给管118b、将原料气体分流至反应室104的排气侧的排气管114内的弯管119。
第1原料气体供给管117a连接将第1液体原料气化的第一气化器103a,第一气化器103a连接第1液体原料供给管106a。第1液体原料供给管106a连接对导入第一气化器103a内的第1液体原料进行流量控制的第一液体流量控制装置205、填充第1液体原料的第一缓冲罐203。上述第一气化器103a连接供给稀释气体的稀释气体供给管118a,稀释其他用于稀释送入第一气化器103a内的液体原料。
另外,第2原料气体供给管117b连接将第2液体原料气化的第二气化器103b,第二气化器103b连接第2液体原料供给管106b。第2液体原料供给管106b连接对导入第二气化器103b内的第2液体原料进行流量控制的第二液体流量控制装置206、填充第2液体原料的第二缓冲罐204。供给用于将送入第二气化器103b内的液体原料稀释的稀释气体的稀释气体供给管108从惰性气体供给管118b分支连接上述第二气化器103b。
第一缓冲罐203连接供给第1液体原料的第1液体原料供给管113c、和供给压送气体的压送气体供给管107a,上述压送气体用于将第一缓冲罐203内的原料压送至第1液体原料供给管106a内。第二缓冲罐204连接供给第2液体原料的第2液体原料供给管113d、和供给压送气体的压送气体供给管107b,上述压送气体用于将第二缓冲罐204内的原料压送至第2液体原料供给管106b内。
第1液体原料供给管113c、第2液体原料供给管113d连接可按任意比率调合Hf液体原料和Si液体原料2种液体原料的共通的调合装置214。调合装置214连接将调合后的液体原料分流至反应室104的排气侧的排气管114内的弯管122、将Hf液体原料供给到调合装置214内的Hf液体原料供给管113a、将Si液体原料供给到调合装置214内的Si液体原料供给管113b。
连接在调合装置214上的Hf液体原料供给管113a的上游侧、Si液体原料供给管113b的上游侧分别连接Hf液体原料容器(第一原料罐)101、Si液体原料容器(第二原料罐)102。原料容器101、原料容器102分别连接供给压送气体的压送气体供给管115a、压送气体供给管115b,上述压送气体用于将容器内的各原料压出到Hf液体原料供给管113a、Si液体原料供给管113b内。
需要说明的是压送气体供给管115a、115b、稀释气体供给管118a、惰性气体供给管118b、压送气体供给管107a、107b从共通的惰性气体导入管115开始分支。
上述调合装置214的内部如图16所示。由连接在Hf液体原料供给管113a上的第一液体流量控制装置253、连接在Si液体原料供给管113b上的第二液体流量控制装置254中分别设定的流量×时间,决定第一缓冲罐203、第二缓冲罐204内调合原料的填充量、调合比(混合比)。
原料的调合、填充方式如下所述。首先将连接在第1液体原料供给管113c、第2液体原料供给管113d、弯管122上的3个气体阀250、251、252全部关闭,在2个流量控制装置253、254中设定规定的设定值。然后打开气体阀252,将由流量控制装置253、254进行了流量控制的Hf液体原料、Si液体原料由排气系统的通气管122排入排气管114,等待各液体原料的流量稳定。各液体原料的流量稳定后,关闭气体阀252,同时打开气体阀250或气体阀251中的任一个。即,将调合原料填充到第一缓冲罐203内时,打开气体阀250,填充到第二缓冲罐204内时,打开气体阀251即可。需要说明的是第一缓冲罐203、第二缓冲罐204在填充调合原料前预先实施了抽真空等处置。这是为了使缓冲罐处于洁净的状态,或将缓冲罐清空。另外,虽然图中未示出,但是也可以另外设置流通用于清洗各缓冲罐的清洗液的装置。
另外,作为控制装置的控制器50控制调合装置214、液体流量控制装置205、206、气化器103a、103b、气体流路控制管109、远程等离子体设备121、排气装置105等构成枚叶式CVD装置的各部分的动作。需要说明的是在以下的说明中,由控制器50控制构成枚叶式CVD装置的各部分的动作。
利用上述构成,在反应室104内的基座42上载置衬底30,由加热器43经由基座42加热衬底30。如果对液体原料罐101、液体原料罐102供给N2等压送气体,则各液体原料、即Hf液体原料、Si液体原料被压出到液体原料供给管113a、113b内,被压出的各液体原料在调合装置214内调合,通过第1液体原料供给管113c、第2液体原料供给管113d分别导入第一缓冲罐203、第二缓冲罐204内。例如在第一缓冲罐203内填充按第1调合比调合的第1原料,在第二缓冲罐204内填充按第2调合比调合的第2原料。如果对第一缓冲罐203、第二缓冲罐204供给压送气体,则各液体原料、即第1原料、第2原料被压出到第1液体原料供给管106a、第2液体原料供给管106b内,被压出的液体原料分别由液体流量控制装置205、206进行流量控制后,导入第一气化器103a、第二气化器103b内进行气化。被第一气化器103a、第二气化器103b气化的各原料气体、即第1原料气体、第2原料气体分别通过原料气体供给管117a、117b、经由气体流路控制管109供给到反应室104内。供给到反应室104内的原料气体由喷头26的喷出孔25导入衬底30上。导入到衬底30上的原料气体发生化学反应,在衬底30上的微细电路图案上均匀地形成HfSiO薄膜。然后进行N2清洗,除去反应室104内的残留气体后,被远程等离子体设备121活化的氧化气体由氧化气体供给管116导入反应室104内的衬底30上,进行HfSiO膜的改性处理。然后再进行N2清洗,除去反应室104内的残留气体。需要说明的是导入反应室104内的各气体经由排气管114、排气装置105到达除害装置(图中未示出)等后段设备。
第3种实施方式与第1种实施方式显著不同之处在于配置了将2种原料在液体状态下按任意比率进行调合的调合装置214。只要使用该调合装置214,即可任意改变填充在第一缓冲203、第二缓冲204内的原料的混合比。特别是即使在一种液体原料的供给量远小于另一种液体原料的情况下也能够确保液体流量的控制性。
即使在一种液体原料的供给量远小于另一种液体原料的情况下也能够确保液体流量的控制性是由于下述理由。
如上所述,形成膜中的组成比x=Hf/(Hf+Si)=0.1的HfSiO膜时,必须使混合比q=(Si-MMP)/(Hf-MMP)=100~1000,此时,与Si-MMP相比,Hf-MMP的供给流量必须为非常小的值。例如,Si-MMP的供给流量为0.1g/min时,必须使Hf-MMP的供给流量为0.0001~0.001g/min。如果液体原料的供给流量变得过小,则液体流量控制装置在精度方面存在问题,导致无法检测流量,无法进行流量控制。特别是流量不足0.05g/min的液体原料的流量控制非常困难。
采用第3种实施方式时,即使在必须如上所述地使Hf-MMP的供给流量与Si-MMP相比为极小的值的情况下,也可以在确保其混合比、同时增加液体原料总量的状态下进行调合,另外,对液体原料进行流量控制时,在确保其混合比的同时,将液体流量设为能充分控制的程度的流量(0.05~0.2g/min)进行供给。例如,调合Si-MMP:100~1000g、和Hf-MMP:1~10g,调合而成的液体原料的供给流量为0.1~0.2g/min。从而不必对液体原料进行微小流量控制,能够容易地确保液体流量的控制性。
使用图14所示装置,在第一原料罐101内填充Hf-MMP、在第二原料罐102内填充Si-MMP,改变上述混合比,研究HfSiO膜的组成比x,得到的结果如图11所示。此处的关键点在于选择即使混合也不发生反应的原料。另外,应当选择具有相容性的原料。从上述意义方面考虑,由于Hf-MMP和Si-MMP的相容性优良,故优选使用。由图11可知,为了富含Si而使组成比x为0.1左右,必须使混合比q=Si-MMP/Hf-MMP为100~1000左右、例如200左右。需要说明的是此时HfSiO膜形成时的衬底温度为350~550℃、压力为50~200Pa、Hf-MMP和Si-MMP的总流量为0.1~0.3g/min。
下面说明利用图14所示构成的枚叶式CVD装置、使用Hf-MMP和Si-MMP堆积HfSiO膜的程序。
根据上述结果,在第一缓冲罐203内,作为第1原料,填充调合成混合比为Si-MMP/Hf-MMP=200的原料,在第二缓冲罐204内,作为第2原料,仅填充调合成Si-MMP/Hf-MMP=0的原料、即Hf-MMP。
然后,将衬底30设置在反应室104内,将衬底30加热至350~550℃左右后,对反应室104内的衬底30供给第1原料、第2原料分别气化得到的第1原料气体、第2原料气体,对衬底30进行HfSiO膜的堆积处理。此时,如图17所示,对衬底供给第一缓冲罐203、第二缓冲罐204内的第1原料、第2原料,使总供给比Hf-MMP/(Hf-MMP+Si-MMP)随时间推移而变化。即,使对衬底的相对供给量由1.0至0.0线性变化地由第二缓冲罐204供给第2原料,使对衬底的相对供给量由0.0至1.0线性变化地由第一缓冲罐203供给第1原料。由作为控制装置的控制器50改变第一液体流量控制装置205及第二液体流量控制装置206的设定值,从而改变上述相对供给量。对衬底30实际供给第1原料及第2原料的时间带为图17的成膜开始~成膜结束的期间。最后,对形成的HfSiO膜进行RPO处理。需要说明的是也可以如下所述地交替进行堆积处理和RPO处理。
由此形成的HfSiO膜在深度方向的组成分布如图18所示。膜中的组成分布描画出从组成比为0.1的富含Si的膜表面到组成比大致为1.0的富含Hf的HfSiO膜的作为终点的基底面之间连续递增的曲线。递增曲线在膜厚中途具有拐点,从膜表面到膜厚中途向下凸起、经拐点至基底面向上凸起地变化。由图18可知可以将HfSiO膜在深度方向的组成比至少控制在0.1~0.9的范围内。
需要说明的是在上述实施方式中列举了第一缓冲罐203内第1原料的混合比为Si-MMP/Hf-MMP=200、第二缓冲罐204中的第2原料的混合比为Si-MMP/Hf-MMP=0的情况,但是并不限定于此。例如,也可以使第一缓冲罐203内的第1原料的混合比为Si-MMP/Hf-MMP=150、第二缓冲罐204内的第2原料的混合比为Si-MMP/Hf-MMP=0.1。另外,也可以使第一缓冲罐203内的第1原料的混合比为Si-MMP/Hf-MMP=500、第二缓冲罐204内的第2原料的混合比为Si-MMP/Hf-MMP=0.5。
另外,原料气体的供给比Hf-MMP/(Hf-MMP+Si-MMP)的变化图案如图17所示,但是并不限定于此。例如也可以为图19~图22所示的图案。图19所示的例子为控制第二缓冲罐内的第2原料及第一缓冲罐内的第1原料分别阶段性减少及增加。图20所示的例子为控制第二缓冲罐内的第2原料线性减少、控制第一缓冲罐内的第1原料阶段性增加。图21所示的例子与图20相反,控制第二缓冲罐内的第2原料阶段性减少、第一缓冲罐内的第1原料线性增加。图22所示的例子为控制第二缓冲罐内的第2原料成2次函数状减少、第一缓冲罐内的第1原料成2次函数状增加。
另外,HfSiO膜在深度方向的组成分布也不限定于图18所示的曲线图。例如也可以为图23~图26所示的组成分布。图23所示的例子为使从膜表面向深度方向直至基底面的组成比成自然函数性增加。图24所示的例子为将从膜表面至膜厚中途的组成比维持0.1,从膜厚中途开始组成比迅速升至接近1.0的值,保持该状态递增,基底面的组成比为1.0。图25所示的例子将图24的例子进一步极端化,从膜表面向深度方向直至基底面的附近的组成比维持0.1,从基底面附近开始向基底面组成比迅速增至1.0。图26所示的例子在膜表面附近与基底面附近的组成比均为0.1,膜厚的中间向深度方向为正态分布,正态分布的组成比峰值为1.0。
另外,图17~图22所示的原料供给方法同时对衬底供给2个缓冲罐203、204内填充的第1原料、第2原料,也可以如图27所示,交替(间歇性)反复供给填充在各缓冲罐203、204内的第1原料、第2原料。此时,最初由第二缓冲罐204供给液体原料,然后由第一缓冲罐203供给液体原料。来自第二缓冲罐204的混合比Si-MMP/Hf-MMP为0的液体原料(Hf-MMP)在成膜开始时相对供给量为1.0,每次反复供给时阶段性减少其相对供给量。每次反复供给时,使来自第一缓冲罐203的混合比Si-MMP/Hf-MMP为200的液体原料(Hf-MMP+Si-MMP)的供给量阶段性增加,使成膜结束时的相对供给量为1.0。
在图27中,使第二缓冲罐204内的第2原料的混合比Si-MMP/Hf-MMP为0的优点如下所述。如果填充在第二缓冲罐204内的第2原料的混合比Si-MMP/Hf-MMP=0,则在第二缓冲罐204内仅填充Hf-MMP,成膜开始时,从第二缓冲罐204向反应室104内仅供给Hf-MMP。因此,在成膜初期,衬底上形成不含Si的HfO2,在与衬底的界面形成不含Si的膜。结果即使在形成HfSiO膜后,对形成的HfSiO膜实施氮化处理,与衬底的界面也难以被氮化。
需要说明的是图27所示的例子中,仅给出2种液体原料的供给时刻,包括对其进行N2清洗、RPO处理的成膜程序的例子如图28~图30所示。图28给出以供给第二缓冲罐内的第2原料→供给第一缓冲罐内的第1原料→N2清洗→RPO处理→N2清洗的程序为1次循环的例子。图29给出以供给第二缓冲罐内的第2原料→N2清洗→RPO处理→N2清洗→供给第一缓冲罐内的第1原料→N2清洗→RPO处理→N2清洗的程序为1次循环的例子。图30给出同时由第二缓冲罐204及第一缓冲罐203供给第2原料及第1原料、供给原料→N2清洗→RPO处理→N2清洗的程序为1次循环的例子。
而且,对由此得到的HfSiO膜例如经RPN处理进行氮化处理。此时的相对氮原子数(N浓度分布)如图31所示。由图31可知,在表面附近,将HfSiO膜变成SiN膜,从表面附近向深度方向直至膜厚中央附近,使相对氮原子数按2次函数曲线减少,在膜厚中央附近相对氮原子数为0,上述0值维持至基底膜附近,因此可以在膜的下方残留HfSiO膜(参见图13)。由于能够形成表面附近的Si浓度高的HfSiO膜,因此推测能够获得上述结果。
需要说明的是本实施方式中,在形成HfSiO膜后,利用RPN处理等进行氮化处理,可以如图32所示,在成膜前半段进行RPO处理、在后半段进行RPN处理。即,可以在成膜前半段,以供给第二缓冲罐内的第2原料→供给第一缓冲罐内的第1原料→N2清洗→RPO处理→N2清洗为1次循环反复多次,在成膜后半段,以供给第二缓冲罐内的第2原料→供给第一缓冲罐内的第1原料→N2清洗→RPN处理→N2清洗为1次循环反复多次。
如上所述,采用第3种实施方式可以获得下述优良的效果。
(1)将调合填充在第一缓冲罐内的2种液体原料得到的Si-MMP和Hf-MMP的混合液体原料用第一气化器气化,将作为填充在第二缓冲罐内的一种液体原料的Hf-MMP原料用第二气化器气化,分别使第一液体流量控制装置和第二液体流量控制装置的设定量随时间推移而变化,因此能够将形成在衬底上的HfSiO膜的组成比Hf/(Hf+Si)在膜的深度方向控制在0.1~1.0的范围内。
(2)通过使填充在第一缓冲罐内的Si-MMP和Hf-MMP的混合比Si-MMP/Hf-MMP为100~1000,可以将形成的HfSiO膜在膜的深度方向的组成比Hf/(Hf+Si)大致线性地控制在0.1~1.0的范围内。
(3)通过反复进行以填充在第二缓冲罐内的Hf-MMP为原料的HfO2膜的形成、以填充在第一缓冲罐内的Si-MMP和Hf-MMP的混合液体为原料的HfSiO膜的形成、和用于改性处理的RPO处理,可以边进行膜的改性,边将HfSiO膜在膜的深度方向的组成比Hf/(Hf+Si)任意控制在0.1~1.0的范围。
(4)在HfSiO膜的成膜过程中,改变各液体流量控制装置的流量设定值,改变膜中的深度方向的Si浓度,因此利用氮化处理,可以在膜中形成对应于Si浓度的Si-N键,可以任意改变膜中的深度方向的N浓度。即,可以在深度方向连续且阶段性地控制HfSiO膜中的氮浓度分布。
(5)由于使用在多个缓冲罐内以不同的比率调合的多种调合液体原料分别气化形成的气体进行成膜,因此即使在一种液体原料的供给量与另一种相比极小的情况下,也能够确保液体流量的控制性。
(6)即使不对现有流量控制装置进行改良·改装,也能够形成组成比Hf/(Hf+Si)小的膜。不使HfSiO膜的成膜速度显著降低。
(7)由于选择作为即使混合也不发生反应的原料、及具有相容性的原料的Hf-MMP和Si-MMP,因此能够抑制颗粒的产生。因此,能够避免喷出孔的闭塞、包括排气管的排气系统的闭塞等。

Claims (21)

1、一种半导体装置制造方法,其特征为,该方法具有以下步骤:
在衬底上成膜包含金属原子和硅原子的膜的步骤;
对所述膜进行氮化处理的步骤;
在所述成膜步骤中,使硅浓度至少以2个阶段发生变化,进行成膜。
2、如权利要求1所述的半导体装置制造方法,其特征为,在所述成膜步骤中,成膜在深度方向硅浓度不同的膜。
3、如权利要求1所述的半导体装置制造方法,其特征为,在所述成膜步骤中,形成由硅浓度不同的2层或2层以上的层构成的膜。
4、如权利要求1所述的半导体装置制造方法,其特征为,在所述成膜步骤中,进行成膜,使所述膜的表面侧的硅浓度大于衬底侧的硅浓度。
5、如权利要求1所述的半导体装置制造方法,其特征为,在所述成膜步骤中,进行成膜,使所述膜的表面侧富含硅,衬底侧富含金属。
6、如权利要求1所述的半导体装置制造方法,其特征为,在所述成膜步骤中,使用含有金属原子的第1原料和含有硅原子的第2原料,对衬底间歇供给各原料,同时改变各原料的供给流量或供给时间,进行成膜。
7、如权利要求1所述的半导体装置制造方法,其特征为,所述金属原子是铪,所述膜是硅酸铪膜。
8、如权利要求1所述的半导体装置制造方法,其特征为,所述氮化处理步骤在与进行所述成膜步骤的反应室同一反应室内进行。
9、一种半导体装置制造方法,其特征为,该制造方法具有以下步骤:
在衬底上成膜包含金属原子和硅原子的膜的步骤;
在所述膜中导入氮的步骤;
通过在所述成膜步骤中形成的膜中硅的浓度控制在所述氮导入步骤中导入膜中的氮的浓度。
10、一种半导体装置制造方法,其特征为,该制造方法具有以下步骤:
将衬底搬入反应室的步骤;
向衬底供给第1原料气体和第2原料气体处理衬底的步骤,所述第1原料气体是将调合多种液体原料得到的第1原料气化而形成的,第2原料气体是将按照与第1原料不同的混合比调合多种液体原料得到的第2原料或由一种液体原料组成的第2原料气化而形成的;
将处理后的衬底从反应室搬出的步骤。
11、如权利要求10所述的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,分别改变第1原料或/及第2原料的供给流量。
12、如权利要求10所述的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,对衬底同时供给第1原料气体和第2原料气体。
13、如权利要求10所述的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,对衬底交替供给第1原料气体和第2原料气体。
14、如权利要求10所述的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,交替进行第1原料气体和第2原料气体的供给、和不同于所述原料气体的第3原料气体的供给至少1次或1次以上。
15、如权利要求10所述的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,交替进行第1原料气体的供给和第2原料气体的供给至少1次或1次以上,其间间隔进行不同于上述原料气体的第3原料气体的供给。
16、如权利要求10所述的半导体装置制造方法,其特征为,构成第1原料的多种液体原料是Hf液体原料和Si液体原料,构成第2原料的一种液体原料是Hf液体原料或Si液体原料中的任一种,处理是指形成硅酸铪膜。
17、如权利要求16所述的半导体装置制造方法,其特征为,第1原料中的Si液体原料和Hf液体原料的混合比即Si液体原料/Hf液体原料为100~1000。
18、如权利要求16所述的半导体装置制造方法,其特征为,在对衬底进行处理的步骤中,分别改变第1原料或/及第2原料的供给流量,从而将形成在衬底上的硅酸铪膜的组成比Hf/(Hf+Si)在深度方向上控制在0.1~1.0的范围内。
19、如权利要求18所述的半导体装置制造方法,其特征为,在对基板进行处理的步骤中,还包括对形成的硅酸铪膜进行氮化处理的步骤。
20、一种衬底处理装置,其特征为,该装置包括以下部分:
对衬底进行处理的反应室;
收纳调合多种液体原料得到的第1原料的第1罐;
收纳第2原料的第2罐,所述第2原料是按照与第1原料不同的混合比调合多种液体原料形成的,或由一种液体原料组成;
控制第1原料的液体流量的第1液体流量控制装置;
控制第2原料的液体流量的第2液体流量控制装置;
将流量被控制的第1原料气化的第1气化器;
将流量被控制的第2原料气化的第2气化器;
将气化得到的第1原料气体和第2原料气体供给到反应室内的供给口。
21、如权利要求20所述的衬底处理装置,其特征为,该衬底处理装置具有控制装置,该控制装置在衬底处理过程中通过进行控制来改变第1液体流量控制装置或/及第2液体流量控制装置的设定值。
CNB2005800012258A 2004-01-21 2005-01-21 半导体装置的制造方法及衬底处理装置 Active CN100447962C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004012885 2004-01-21
JP012885/2004 2004-01-21

Publications (2)

Publication Number Publication Date
CN1879203A true CN1879203A (zh) 2006-12-13
CN100447962C CN100447962C (zh) 2008-12-31

Family

ID=34805362

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800012258A Active CN100447962C (zh) 2004-01-21 2005-01-21 半导体装置的制造方法及衬底处理装置

Country Status (5)

Country Link
US (1) US7531467B2 (zh)
JP (1) JPWO2005071723A1 (zh)
KR (1) KR100848226B1 (zh)
CN (1) CN100447962C (zh)
WO (1) WO2005071723A1 (zh)

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080145533A1 (en) * 2006-11-29 2008-06-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
JP4946718B2 (ja) * 2007-08-11 2012-06-06 東京エレクトロン株式会社 バッファタンク、原料ガスの供給システム及びこれを用いた成膜装置
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9456925B2 (en) * 2007-09-06 2016-10-04 Alcon Lensx, Inc. Photodisruptive laser treatment of the crystalline lens
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5801632B2 (ja) * 2011-07-15 2015-10-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103090190B (zh) * 2013-01-31 2014-06-25 北京七星华创电子股份有限公司 一种化学液分配系统
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11162174B2 (en) * 2018-09-20 2021-11-02 Taiwan Semiconductor Manufacturing Co, Ltd. Liquid delivery and vaporization apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10242461A (ja) * 1997-02-27 1998-09-11 Sony Corp 半導体装置およびその製造方法
US5958508A (en) * 1997-03-31 1999-09-28 Motorlola, Inc. Process for forming a semiconductor device
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
JP4427254B2 (ja) * 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
WO2003019643A1 (fr) * 2001-08-23 2003-03-06 Nec Corporation Dispositif semi-conducteur comportant un film isolant presentant une permittivite elevee et son procede de production
JP2003258242A (ja) * 2002-03-07 2003-09-12 Fujitsu Ltd 半導体装置およびその製造方法
JP3975797B2 (ja) 2002-03-25 2007-09-12 株式会社ジェイテクト 半導体レーザ光集光装置
JP2004006699A (ja) 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
JP4574951B2 (ja) * 2003-02-26 2010-11-04 株式会社東芝 半導体装置及びその製造方法
JP3974547B2 (ja) * 2003-03-31 2007-09-12 株式会社東芝 半導体装置および半導体装置の製造方法
JP2005045166A (ja) 2003-07-25 2005-02-17 Toshiba Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
US7531467B2 (en) 2009-05-12
KR100848226B1 (ko) 2008-07-24
CN100447962C (zh) 2008-12-31
US20070042581A1 (en) 2007-02-22
JPWO2005071723A1 (ja) 2007-09-06
KR20060095866A (ko) 2006-09-04
WO2005071723A1 (ja) 2005-08-04

Similar Documents

Publication Publication Date Title
CN1879203A (zh) 半导体装置的制造方法及衬底处理装置
CN100347832C (zh) 电子器件材料的制造方法
CN101032006A (zh) 半导体器件的制造方法以及衬底处理装置
CN1860596A (zh) 电子器件材料的制造方法
CN1206736C (zh) 半导体装置、互补型半导体装置
CN1148786C (zh) 异物除去法及膜形成方法
CN1263133C (zh) 半导体装置
CN1638061A (zh) 形成介电薄膜的方法
CN1291461C (zh) 电介质膜、半导体器件及它们的制造方法
CN1311533C (zh) 制造半导体器件的方法和设备
CN1717791A (zh) 基板处理容器的清洗方法
CN1446373A (zh) 基片处理装置及处理方法
CN1431716A (zh) 半导体装置及半导体装置的制造方法
CN1669153A (zh) 半导体器件及其制造方法和制造设备
CN1555580A (zh) 半导体器件及其制造方法
CN1263674C (zh) 硅系列构造体的制造装置与制造方法
CN1236186A (zh) 半导体集成电路器件的制造工艺和半导体集成电路器件
CN1941324A (zh) 用于制造半导体集成电路器件的方法
CN1511337A (zh) 基板处理方法和装置、半导体装置的制造装置
CN1666324A (zh) 在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置
CN101061253A (zh) 使用批式制程腔室的基材处理装置
CN1777694A (zh) 利用等离子体cvd的成膜方法以及装置
CN1893080A (zh) 具有mim电容器的半导体装置及其制造方法
CN1879209A (zh) 半导体装置及其制造方法
CN1570204A (zh) 膜形成方法、半导体器件和显示器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181127

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.