KR100848226B1 - 반도체 장치의 제조 방법 및 기판 처리 장치 - Google Patents

반도체 장치의 제조 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR100848226B1
KR100848226B1 KR1020067007137A KR20067007137A KR100848226B1 KR 100848226 B1 KR100848226 B1 KR 100848226B1 KR 1020067007137 A KR1020067007137 A KR 1020067007137A KR 20067007137 A KR20067007137 A KR 20067007137A KR 100848226 B1 KR100848226 B1 KR 100848226B1
Authority
KR
South Korea
Prior art keywords
raw material
film
substrate
liquid
source gas
Prior art date
Application number
KR1020067007137A
Other languages
English (en)
Other versions
KR20060095866A (ko
Inventor
아츠시 사노
사다요시 호리
히데하루 이타타니
마사유키 아사이
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20060095866A publication Critical patent/KR20060095866A/ko
Application granted granted Critical
Publication of KR100848226B1 publication Critical patent/KR100848226B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

본 발명은 금속 원자와 실리콘 원자를 포함한 막 내의 질소 농도 분포를 용이하게 제어할 수 있어 고품질의 반도체 장치를 제조할 수 있는 반도체 장치의 제조 방법 및 기판 처리 장치를 제공한다.
본 발명에 따른 반도체 장치의 제조 방법은 기판(30) 상에 금속 원자와 실리콘 원자를 포함한 막을 반응실(4)에서 성막하는 성막 공정과, 상기 막에 질화 처리를 실시하는 질화 처리 공정을 포함한다. 상기 성막 공정에서는, 적어도 2 단계로 실리콘 농도를 변화시켜 성막한다.
반도체, 금속, 실리콘, 질소

Description

반도체 장치의 제조 방법 및 기판 처리 장치{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING SYSTEM}
본 발명은 반도체 웨이퍼 등의 기판을 처리하기 위한 기판 처리 장치 및 반도체 장치(디바이스)의 제조 방법에 관한 것이다.
반도체 제조 공정 중 하나로, 기판(실리콘 웨이퍼나 유리 등을 주성분으로 하며 미세한 전기 회로의 패턴이 형성된 피처리 기판)의 표면에 소정의 막을 성막하는 성막 공정이 있다. 이러한 성막 공정 중 게이트 절연막을 성막하는 공정에서는, 게이트 절연막의 전기적 막 두께를 박막화하는 것과 함께 실리콘(Si) 산화막 또는 실리콘 산질화막을 고유전율(High-k)막으로 변경하는 것에 대한 검토가 활발하게 진행되고 있다. ZrO2, HfO2 등의 고유전율막의 형성 방법으로 스퍼터링법, CVD(ChemicalVapor Deposition)이 연구되고 있다. 이 중 CVD법은 단차 피복성 등의 특성이 우수하며 성막 원료의 교환이 용이하다는 등의 장점이 있기 때문에, 양산시에는 CVD법이 주로 적용된다.
고유전율막의 형성을 위해서는 CVD의 일종인 MOCVD(Metal Organic Chemical Vapor Deposition)가 적용된다. 이러한 MOCVD에서는 원료 가스로 유기 금속 원료가 이용되는데, 이러한 유기 금속 원료로 여러 종류가 있어 이들 각각에 대해 연구되고 있다. HfO2, HfSiO4 등의 원료로, 예를 들면 Hf[OC(CH3)3]4(이하 "Hf-OtBu"라 함), Hf[OC(CH3)2CH2OCH3]4(이하 "Hf-MMP"라 함), Si[OC(CH3)2CH2OCH3]4(이하 "Si-MMP"라 함), Hf[O-Si-(CH3)]4(이하 "Hf-OSi"라 함) 등이 사용되고 있다. 이 중에서, 일례로 Hf-MMP나 Si-MMP는 상압 30℃에서 액상이기 때문에, 이들의 액체 원료를 가열하여 증기압에 의해 기체로 변환한 후에 사용하고 있다. CVD에 있어서는, MOCVD법의 원료의 하나인 Hf-MMP을 이용하는 것이 알려져 있다. (예를 들어, 특허 문헌 1 참조)
[특허 문헌 1] 일본 특개 2004-6699 공보
HfO2막을 비정질(amorphous) 상태로 유지하기 위해서 HfO2막에 실리콘(Si)를 도입하는 방법이 행해지고 있다. 이렇게 HfO2막에 Si을 도입한 막, 즉 Hf와 Si를 포함한 산화막을 하프늄 실리케이트막(이하, "HfSiO막"이라 함)이라고 칭한다. HfO2막에 Si를 도입함으로써, 상부 전극에 붕소를 도입하는 구조에서 붕소가 기판을 관통하는 것을 방지하는 데 유효한 질소(N)를 HfSiO막에 충분히 도입할 수 있다.
종래에는 질소 도입시의 조건 및 방법을 제어하는 것으로써 HfSiO막의 질소 분포를 제어하려고 하였다. 특히, 게이트 절연막으로 적용되는 경우 하부에 있는 게이트의 활성 영역인 Si와의 계면에 질소가 도입되면 트랜지스터의 특성 열화를 일으킨다. 이는 질소(N)가 전자의 통로를 방해하여 트랜지스터의 활성 영역에서의 전자 이동을 방해하기 때문이다. 따라서, HfSiO막과 Si의 계면에서의 질소 도입을 억제할 필요가 있다. 그러나, 계면에 질소가 도입되지 않도록 실리케이트막 내의 질소 농도를 제어하는 것은 어려움이 있다.
본 발명의 목적은, 막 내의 질소 농도 분포를 용이하게 제어함으로써 고품질의 반도체 장치(디바이스)를 제조할 수 있는 반도체 장치의 제조 방법 및 기판 처리 장치를 제공하는 것에 있다.
본 발명자는 금속 원자와 실리콘 원자를 포함한 막에 질소를 도입하는 경우에 금속 원자와 실리콘 원자를 포함한 막 내의 실리콘 농도와 질소 농도는 서로 관계가 있다는 것을 찾아냈다. 금속 원자와 실리콘 원자를 포함한 막은 일례로 금속 산화막에 실리콘을 도입하여 성막할 수 있다. 따라서, 금속 산화막에 도입되는 실리콘의 농도를 제어를 할 수 있으면 막 내의 질소 농도를 제어할 수 있다. 그러나, 금속 산화막에 도입되는 실리콘의 농도를 제어하는 것은 어려움이 있다. 본 발명자는, 금속 원자와 실리콘 원자를 포함한 막을 성막하는 단계에서 실리콘 농도를 제어함으로써 질소 농도를 제어할 수 있음에 기초하여 본 발명을 창안하기에 이른 것이다.
제1의 발명은, 기판 상에 금속 원자와 실리콘 원자를 포함한 막을 성막하는 성막 공정과, 상기 막에 질화 처리를 실시하는 질화 처리 공정을 포함하며, 상기 성막 공정에서는 적어도 2 단계로 실리콘 농도를 변화시켜 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
성막 공정에서 적어도 2 단계로 실리콘 농도를 변화시키면서 성막하면, 질화 처리 공정에서 실리콘 농도의 변화에 따라 질소 농도를 적어도 2 단계로 변화시킬 수 있다.
제2의 발명은, 제1의 발명에 있어서, 상기 성막 공정에서 심도 방향으로 실리콘 농도가 다른 막을 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
막의 심도 방향으로 실리콘 농도가 다른 막을 성막하면, 질소 농도를 막의 심도 방향으로 변화시킬 수가 있다.
제3의 발명은, 제1의 발명에 있어서, 상기 성막 공정에서 실리콘 농도가 다른 2층 이상의 층으로 구성되는 막을 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
실리콘 농도가 다른 2층 이상의 층으로 구성되는 막을 성막하는 경우, 실리콘 농도가 다른 층을 복수층 형성함으로써 각층에서의 심도 방향으로 실리콘 농도를 다르게 할 필요 없이 일정 농도로 유지할 수가 있으므로 실리콘 농도의 제어가 용이하다.
제4의 발명은, 제1의 발명에 있어서, 상기 성막 공정에서 상기 막의 표면측 부분이 기판측보다 실리콘 농도가 커지도록 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
막의 표면측 부분이 기판측보다 실리콘 농도가 커지도록 성막하면, 질화 처리 공정에서 막의 표면측의 부분이 기판측보다 높은 질소 농도를 가질 수 있다.
제5의 발명은, 제1의 발명에 있어서, 상기 성막 공정에서 상기 막의 표면측이 실리콘 리치(rich)가 되도록 성막하여 기판측이 금속 리치가 되도록 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
막의 표면측이 실리콘 리치가 되며 기판측이 금속 리치가 되도록 성막하면, 질화 처리 공정에서 막의 표면측에 질화 실리콘막을 형성할 수 있으며 기판측에 금속 실리케이트막을 남길 수가 있다.
제6의 발명은, 제1의 발명에 있어서, 상기 성막 공정에서 금속 원자를 포함한 제1 원료와 실리콘 원자를 포함한 제2 원료를 이용해 각 원료를 기판에 간헐적으로 공급함과 동시에, 각 원료의 공급 유량 또는 공급 시간을 각각 변화시켜 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
각 원료를 기판에 대해서 간헐적으로 공급함과 동시에, 각 원료의 공급 유량 또는 공급 시간을 각각 변화시켜 성막하면, 각 원료의 공급 유량 또는 공급 시간에 따라 실리콘 농도를 심도 방향에서 임의로 변화시킬 수 있다.
제7의 발명은, 제1의 발명에 있어서, 상기 금속 원자는 하프늄이고 상기 막은 하프늄 실리케이트막인 것을 특징으로 하는 반도체 장치의 제조 방법이다.
금속 원자가 하프늄이고 막이 하프늄 실리케이트막이면, 하프늄 실리케이트막 내의 질소 농도를 적어도 2 단계로 변화시킬 수가 있다.
제8의 발명은, 제1의 발명에 있어서, 상기 질화 처리 공정이 상기 성막 공정을 실시하는 반응실과 동일한 반응실 내에서 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
질화 처리 공정이, 성막 공정을 실시하는 반응실과 동일한 반응 실내에서 행해지면, 높은 생산성으로 막을 형성할 수 있다.
제9의 발명은, 제1의 발명에 있어서, 상기 질화 처리 공정이 상기 성막 공정을 실시하는 반응실과 반송실을 통해 접속된 처리실 내에서 행해지는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
질화 처리 공정이, 성막 공정을 실시하는 반응실과 반송실을 통해 접속된 처리실 내에서 행해지면, 질화 처리를 효율적으로 실시할 수 있다.
제10의 발명은, 제1의 발명에 있어서, 상기 질화 처리 공정이 RPN 처리, MMT 질화 처리, 또는 RTN 처리에 의해 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
질화 처리 공정이 RPN 처리, MMT 질화 처리, 또는 RTN 처리에서 행해지면 질화 처리를 보다 효율적으로 실시할 수가 있다.
제11의 발명은, 기판 상에 금속 원자와 실리콘 원자를 포함한 막을 성막하는 성막 공정 및 상기 막 중에 질소를 도입하는 질소 도입 공정을 포함하며, 상기 질소 도입 공정에서 막 내에 도입하는 질소의 농도를 상기 성막 공정에서 형성한 막 내의 실리콘 농도에 의해 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
질소 도입 공정에서 막 내에 도입하는 질소의 농도를 성막 공정에서 형성한 막 내의 실리콘 농도에 의해 제어하면, 질소 농도의 제어 범위를 확대할 수 있다.
제12의 발명은, 기판을 반응실에 반입하는 반입 공정과,
복수 종류의 액체 원료를 조제하여 구성되는 제1 원료를 기화한 제1 원료 가스와, 복수 종류의 액체 원료를 제1 원료와는 다른 혼합비로 조제하여 구성되거나 또는 1 종류의 액체 원료로 구성되는 제2 원료를 기화한 제2 원료 가스를 기판에 공급하는 기판 처리 공정과,
처리 후의 기판을 반응실로부터 반출하는 반출 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
기판 처리 공정에서, 복수 종류의 액체 원료를 조제하므로 액체 유량을 용이하게 제어할 수 있다. 따라서, 막 내의 원소 조성비의 제어성을 향상시킬 수 있다.
제13의 발명은, 제12의 발명에 있어서, 상기 기판 처리 공정에서, 제1 원료 또는/및 제2 원료의 공급 유량을 각각 변화시키는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
성막 중에 제1 원료 또는/및 제2 원료의 공급 유량을 각각 변화시키면, 막중의 원소의 조성비를 심도 방향에서 변화시킬 수가 있다.
제14의 발명은, 제12의 발명에 있어서, 상기 기판 처리 공정에서, 상기 제1 원료 가스와 상기 제2 원료 가스를 기판에 동시에 공급하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
제1 원료 가스와 제2 원료 가스를 기판에 대해서 동시에 공급하면, 일반적인 CVD법에 의해 퇴적막을 형성하는 성막 방법을 이용할 수 있다.
제15의 발명은, 제12의 발명에 있어서, 상기 기판 처리 공정에서 제1 원료 가스와 제2 원료 가스를 기판에 교대로 공급하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
제1 원료 가스와 제2 원료 가스를 기판에 대해서 교대로 공급하면, 사이클릭(cyclic) CVD법에 의해 퇴적막을 형성하는 성막 방법을 이용할 수가 있다.
제16의 발명은, 제12의 발명에 있어서, 상기 기판 처리 공정에서 제1 원료 가스와 제2 원료 가스의 공급과 이들 원료 가스와 다른 제3 원료 가스의 공급을 교대로 적어도 1회 이상 실시하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
제1 원료 가스와 제2 원료 가스의 공급과 이들 원료 가스와는 다른 제3 원료 가스와의 공급을 교대로 적어도 1회 이상 실시하므로, 막의 개질 처리를 수반하는 사이클릭 CVD법에 의한 성막을 실시할 수가 있다.
제17의 발명은, 제12의 발명에 있어서, 상기 기판 처리 공정에서 제1 원료 가스의 공급과 제2 원료 가스의 공급 사이에 그들 원료 가스와 다른 제3 원료 가스의 공급을 두어, 교대로 적어도 1회 이상 실시하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
제1 원료 가스의 공급과 제2 원료 가스의 공급 사이에 그들 원료 가스와는 다른 제3 원료 가스의 공급을 하며, 교대로 적어도 1회 이상 실시하므로, 사이클릭 CVD법에 의한 성막을 실시하면서 막의 개질 처리를 유효하게 실시할 수가 있다.
제18의 발명은, 제12의 발명에 있어서, 제1 원료를 구성하는 복수 종류의 액체 원료가 하프늄 액체 원료와 실리콘 액체 원료이고, 제 2 원료를 구성하는 1 종류의 액체 원료가 하프늄 액체 원료 또는 실리콘 액체 원료의 어느 하나이고, 기판 처리 공정은 Hf 실리케이트막을 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
기판 처리 공정에 의해 Hf 실리케이트막을 성막하는 것으로, 하프늄과 실리콘으로 구성되는 막 조성비의 제어성을 향상할 수 있다.
제19의 발명은, 제18의 발명에 있어서, 제1 원료의 실리콘 액체 원료와 하프늄 액체 원료의 혼합비(실리콘 액체 원료/하프늄 액체 원료)를 100~1000으로 하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
상기 혼합비를 100~1000으로 하면, 형성되는 하프늄 실리케이트막의 조성비(하프늄 원자수/(하프늄+실리콘) 원자수)를 0.1 근방으로 제어할 수 있다.
제20의 발명은, 제18의 발명에 있어서, 상기 기판 처리 공정에서 제1 원료 또는/및 제2 원료의 공급 유량을 각각 변화시킴으로써 기판 상에 형성되는 하프늄 실리케이트막의 조성비(하프늄 원자수/((하프늄+실리콘) 원자수)를 심도 방향으로 0.1~1.0의 범위에서 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
기판 상에 형성되는 하프늄 실리케이트막의 조성비를 막의 심도 방향으로 0.1~1.0의 범위에서 제어하면, 하프늄 실리케이트막의 표면 부근은 실리콘 리치가 되도록 하프늄 실리케이트막의 기판 부근은 하프늄 리치가 되도록 형성할 수 있다.
제21의 발명은, 제20의 발명에 있어서, 상기 기판 처리 공정에서 형성한 하프늄 실리케이트막을 질화 처리하는 질화 처리 공정을 더욱 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법이다.
하프늄 실리케이트막을 질화 처리하는 질화 처리 공정을 더욱 포함하면, 하프늄 실리케이트막의 표면 부근의 질소 농도를 높이고, 하프늄 실리케이트막의 기판 부근의 질소 농도를 낮출 수 있다.
제22의 발명은, 기판을 처리하는 반응실과, 복수 종류의 액체 원료를 조제하여 구성되는 제1 원료를 수용하는 제1 탱크와, 복수 종류의 액체 원료를 제1 원료와는 다른 혼합비로 조제하여 구성되거나 또는 1 종류의 액체 원료로부터 되는 제2 원료를 수용하는 제2 탱크와, 상기 제1 원료의 액체 유량을 제어하는 제1 액체 유량 제어장치와, 상기 제2 원료의 액체 유량을 제어하는 제2 액체 유량 제어장치와, 유량 제어된 제1 원료를 기화하는 제1 기화기와, 유량 제어된 제2 원료를 기화하는 제2 기화기, 및 기화에 의해 얻은 상기 제1 원료 가스와 상기 제2 원료 가스를 반응실에 공급하는 공급구를 가지는 것을 특징으로 하는 기판 처리 장치이다.
복수 종류의 액체 원료를 조제하여 구성되는 제1 탱크의 제1 원료는, 제1 액체 유량 제어장치에서 유량이 제어된 후 제1 기화기에서 기화되어 공급구로부터 반응실에 공급된다. 복수 종류의 액체 원료를 제1 원료와는 다른 혼합비로 조제하여 구성되거나 또는 1 종류의 액체 원료로 구성되는 제2 탱크의 제2 원료는, 제2 액체 유량 제어장치에서 유량이 제어된 후 제2 기화기에서 기화되어 공급구로부터 반응실에 공급된다.
복수 종류의 액체 원료를 조제하므로, 액체 유량의 제어성을 용이하게 확보할 수가 있다. 따라서, 반응실에서는 제1 원료와 제2 원료의 혼합비에 따른 조성비를 가지는 금속 실리케이트막을 기판 상에 성막할 수가 있다.
제23의 발명은, 제22의 발명에 있어서, 상기 기판 처리 공정 중에 제1 액체 유량 제어장치 또는/및 제2 액체 유량 제어장치의 설정값을 변화시키도록 제어하는 제어장치를 가지는 것을 특징으로 하는 기판 처리 장치이다.
기판 처리 공정 중에, 제1 원료 또는/및 제2 원료의 액체 유량 설정값이 변화하도록 제어하는 제어장치를 가지면, 금속 실리케이트막 내의 조성비를 심도 방향에서 제어할 수가 있다.
도 1은 본 발명의 제1 실시예와 관련되는 기판 처리 장치를 나타내는 개략 단면도이다.
도 2는 제1 실시예에서 성막 순서를 나타내는 도면이다.
도 3은 제1 실시예에서 공급비 Hf-MMP/(Hf-MMP+Si-MMP)와 막 내의 조성비 Hf/(Hf+Si)의 관계를 나타내는 도면이다.  
도 4는 제1 실시예에서 HfSiO막 내의 Si 농도를 나타내는 분포도이다.
도 5는 제1 실시예에서 HfSiO막 내의 Si 농도를 나타내는 분포도이다.
도 6은 제1 실시예에서 HfSiO막 내의 Si 농도를 나타내는 분포도이다.
도 7은 제1 실시예에서 HfSiO막 내의 N 농도를 나타내는 분포도이다.
도 8은 제1 실시예에서 각 원료 가스의 공급 유량을 변화시키는 성막 순서도이다.
도 9는 제1 실시예에서 각 원료 가스의 공급 시간을 변화시키는 성막 순서도이다.
도 10은 제2 실시예에서의 매엽식 CVD 장치의 구체적 구성도이다.
도 11은 HfSiO막의 조성비x와 혼합비q의 관계를 나타내는 그래프이다.
도 12는 Hf 리치인 HfSiO막의 질화 처리한 경우를 나타내는 설명도이다.
도 13은 Si 리치인 HfSiO막을 질화 처리한 경우를 나타내는 설명도이다.
도 14는 제3 실시예에서의 매엽식 CVD 장치의 구체적 구성도이다.
도 15는 제3 실시예에서의 매엽식 CVD 장치의 반응실의 구성도이다.
도 16은 제3 실시예에서 조제 장치의 구성도이다.
도 17은 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료의 공급 차트이다.
도 18은 제3 실시예에서 HfSiO의 조성비x를 나타내는 그래프이다.
도 19는 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료의 공급 차트이다.
도 20은 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료의 공급 차트이다.
도 21은 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료의 공급 차트이다.
도 22는 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료의 공급 차트이다.
도 23은 제3 실시예에서 HfSiO의 조성비x를 나타내는 그래프이다.
도 24는 제3 실시예에서 HfSiO의 조성비x를 나타내는 그래프이다.
도 25는 제3 실시예에서 HfSiO의 조성비x를 나타내는 그래프이다.
도 26은 제3 실시예에서 HfSiO의 조성비x를 나타내는 그래프이다.
도 27은 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료를 교대로 공급하는 경우의 공급 차트이다.
도 28은 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료를 교대로 공급하는 경우의 공급 차트이다.
도 29는 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료를 교대로 공급하는 경우의 공급 차트이다.
도 30은 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료를 교대로 공급하는 경우의 공급 차트이다.
도 31은 제3 실시예에서 HfSiO에 표면으로 질소를 도입한 경우의 질소 농도 분포이다.
도 32는 제3 실시예에서 각 버퍼 탱크에 충전한 각 원료를 교대로 공급하는 경우의 RPN 처리를 추가한 공급 차트이다.
※ 도면의 주요 부분에 대한 부호의 설명
1 : 원료 용기 2 : 원료 용기
3a : 기화기 3b : 기화기
4 : 반응실 5 : 배기 장치
11a : 벤트 배관 11b : 벤트 배관
12 : 퍼지용 불활성 가스 공급 배관
13a : Hf 액체 원료 공급 배관 13b : Si 액체 원료 공급 배관
14 : 배기 배관
15a : 압송 가스 공급 배관 15b : 압송 가스 공급 배관
16 : 산화 가스 공급 배관
17a : Hf 원료 가스 공급 배관 17b : Si 원료 가스 공급 배관
18a : 액체 유량 제어장치 18b : 액체 유량 제어장치
121 : 리모트 플라스마 유니트
이하, 본 발명의 실시예를 도면을 참조하여 설명한다.
[제1 실시예]
이하에서 상술하지만, 제1 실시예에서는 CVD법, 보다 구체적으로는 MOCVD법을 사용하여 비정질(amorphous) 상태의 하프늄 실리케이트막(이하, "HfSiO막"이라 함)을 형성하는 경우에 대해서 설명한다.
도 1은 제1 실시예에서 사용되는 기판 처리 장치인 매엽식 CVD 장치의 일례를 나타내는 개략도이다.
실리콘 웨이퍼 등의 기판(30)을 처리하는 반응실(4)는, 기판(30)을 지지하는 기판 지지대로서 서스셉터(42)를 구비하고 있다. 서스셉터(42)에는 기판(30)을 가열하기 위한 히터(43)가 설치되며, 반응실 벽에는 반응실 벽을 가열하기 위한 히터(41)가 매립되어 있다.
반응실(4)에는, 금속 원자를 포함한 제1 원료로서 Hf 원료를 기화한 가스를 공급하는 Hf 원료 가스 공급 배관(17a), 실리콘 원자를 포함한 제2 원료로서 Si 원료를 기화한 가스를 공급하는 Si 원료 가스 공급 배관(17b), N2 등의 불활성 가스를 공급하는 불활성 가스 공급 배관(12), 리모트 플라스마(remot plasma)에 의해 활성화한 산소(산화 가스)를 공급하는 산화 가스 공급 배관(16)이 접속된다. Hf 원료 가스 공급 배관(17a) 및 Si 원료 가스 공급 배관(17b)에는, 각각 벤트 배관 (11a) 및 벤트 배관(11b)이 설치된다. 그리고, Hf 원료 가스 공급 배관(17a) 및 Si 원료 가스 공급 배관(17b)에는, Hf 액체 원료를 기화하는 기화기(3a) 및 Si 액체 원료를 기화하는 기화기(3b)가 각각 접속된다. 기화기(3a) 및 기화기(3b) 각각에는 Hf 액체 원료 공급 배관(13a) 및 Si 액체 원료 공급 배관(13b)가 각각 접속되고, 이러한 Hf 액체 원료 공급 배관(13a) 및 Si액체 원료 공급 배관(13b)에는 액체 유량 제어장치(18a) 및 액체 유량 제어장치(18b)가 각각 설치된다. 또한, Hf 액체 원료 공급 배관(13a) 및 Si 액체 원료 공급 배관(13b)에는 Hf 액체 원료 용기(1) 및 Si 액체 원료 용기(2)가 각각 접속된다. 이러한 원료 용기(1) 및 원료 용기(2)에는, 용기 내의 각각의 원료를 Hf 액체 원료 공급 배관(13a) 및 Si 액체 원료 공급 배관(13b)에 밀어내기 위한 압송 가스를 공급하는 압송 가스 공급 배관(15a), 압송 가스 공급 배관(15b)가 각각 접속되고 있다. 이러한 구성에 의해, 원료 용기 (1), 원료 용기(2)에 N2 등의 압송 가스를 공급하면 각각의 액체 원료가 액체 원료 공급 배관(13a, 13b)으로 이동되고, 이동된 액체 원료는 액체 유량 제어장치(18a), 액체 유량 제어장치(18b)에 의해 유량이 제어되며, 유량이 제어된 액체 원료는 기화기(3a, 3b)에 의해 기화되어 원료 가스 공급 배관(17a, 17b)를 거쳐 원료 가스로서 반응실(4) 내에 공급된다. 산화 가스는 리모트 플라스마 유니트(20)를 경유해 활성화한 후, 반응실(4)에 공급할 수도 있다.
또, 반응실(4)에는 반응실 내를 배기하는 배기 배관(14)이 접속되며 배기 배관(14)에는 진공 펌프 등의 배기 장치(5)가 접속된다. 반응실(4)에 도입된 각 가스는, 배기 배관(14), 배기 장치(5)를 거쳐 제해(除害) 장치(도시하지 않음) 등의 후 단 설비에 도달한다. 도시하지는 않았지만, 도면의 배관에는 가열 장치가 설치될 수 있다.
반응실(4)에는 반송실(도시하지 않음)이 게이트 밸브를 사이에 두고 인접하며, 반송실에는 냉각실이나 로드락(loadlock)실이 접속된다. 반송실에는 반송용 기계가 구비되고 있어 기판이 반송실로부터 반응실(4)에 도입되며 반응실(4)에서 성막 등의 처리를 한 후 반송실을 거쳐 냉각실로 반송된다.
또, 제어 장치인 콘트롤러(50)은, 액체 유량 제어장치(18a, 18b), 기화기(3a, 3b), 리모트 플라스마 유니트(20), 배기 장치(5), 기판 가열용 히터(43), 반응실벽 가열용 히터(41)등의 매엽식 CVD 장치를 구성하는 각부의 동작을 제어한다.
다음으로, 상술한 도 1과 같은 구성의 매엽식 CVD 장치를 이용해 HfSiO막을 형성하기 위한 순서를 설명한다. 여기에서는 Hf 원료로서 Hf-MMP, Si 원료로서 Si-MMP, 불활성 가스로서 질소(N2)·아르곤(Ar), 산화제로서 산소(O2)를 이용하는 예를 설명한다. 
덧붙여 이하의 설명에 있어서 매엽식 CVD 장치를 구성하는 각부의 동작은 콘트롤러(50)에 의해 제어된다.
반송용 기계에 의해 반송실로부터 반응실(4) 내로 기판을 반입한다. 기판 온도를 처리 온도까지 상승시켜, 반응실 내의 압력이 처리 압력이 되도록 조정한다. 이어서, 성막 단계에서는, 도 2에 도시된 바와 같이, 원료 가스, 즉 Hf-MMP를 기화 한 Hf 원료 가스 및 Si-MMP를 기화한 Si 원료 가스와, 리모트 플라스마에 의해 활성화된 산소(산화 가스)를 교대로 여러 차례 공급한다. 이에 의해, 기판 상에 HfSiO막이 형성된다. 또한, 원료 가스(Hf 원료 가스, Si 원료 가스) 공급과 산화 가스 공급의 사이에, N2에 의한 퍼지(이하, "N2 퍼지"라 함)를 실시한다. 즉, Hf원료 가스와 Si원료 가스의 공급→N2 퍼지→산화 가스의 공급→N2 퍼지의 순서를 1 단위(1 사이클)로 하여, 이를 임의의 회수만큼 반복하여 원하는 막 두께를 얻는다. 여기서, 임의의 회수라 함은 원하는 막 두께를 1 단위에서 얻을 수 있는 막 두께로 나눈 것이다. Hf 원료 가스와 Si 원료 가스는 동시에 공급할 수 있으며, 각 원료 가스를 기판에 대해서 간헐적으로, 즉 별개로 공급할 수도 있다.
성막 단계에서 원료 가스를 공급할 때, Hf 원료, Si 원료를 공급하며 N2 퍼지를 하는 1 단위(사이클) 중의 공급비 Hf-MMP/(Hf-MMP+Si-MMP)를 변경하면서 이를 임의의 회수만큼 반복하여 소정의 두께를 형성하면, 도 4, 도 5 및 도 6에 나타난 것과 같이 막 내의 깊이 방향에 있어서의 Si 농도를 변화시킬 수 있다.
이는 성막 시에, 원료의 공급 및 퍼지를 수행하는 1 단위에서의 Hf 원료와 Si 원료의 공급비(몰비) Hf-MMP/(Hf-MMP+Si-MMP)와 막 내의 조성비 Hf/(Hf+Si)에, 후술할 도 3과 같은 관계가 있기 때문이다. 이에 따라, 성막 시 Hf 원료와 Si 원료의 공급비 Hf-MMP/(Hf-MMP+Si-MMP)를 제어하는 것으로 막 내에서 깊이 방향을 따라 Si 농도를 제어할 수 있다.
바람직하게는, 막의 상부측(디바이스 형성 시 트랜지스터 활성 영역의 반대 측)에서 Si 농도를 크게 하고, 막의 하부측(디바이스 형성시의 트랜지스터 활성 영역측)에서 Si 농도를 작게 할 수 있다. 즉, HfSiO막의 표면측의 부분이 기판측보다 Si 농도가 커지도록, 기판측의 부분이 HfSiO막의 표면측보다 Hf 농도가 커지도록 하는 것이 바람직하다. 이와 같이, HfSiO막의 표면측 부분이 기판측보다 Si 리치(rich)가 되고, 기판측의 부분이 HfSiO막의 표면측보다 Hf 리치(rich)가 되도록 성막 한다.
여기서, Si 리치라 함은 조성비x=Hf/(Hf+Si)가 0.1~0.5인 범위에 속하는 것을 말하며, Hf 리치라 함은 조성비x=Hf/(Hf+Si)가 0.6~0.9의 범위에 속하는 것을 말한다.
상술한 것처럼, HfSiO막의 표면측 부분이 기판측보다 Si 리치가 되며 기판측 부분이 HfSiO막의 표면측보다 Hf 리치가 되도록 성막하면, 후술하는 질화 처리에 의해 HfSiO막 표면측의 Si 리치인 층에 많은 질소를 도입할 수 있으며 기판측의 Hf 리치인 층에 질소가 도입되지 않을 수 있게 된다.
덧붙여 도 2에서는, 원료 가스를 공급한 후에 활성화시킨 산화 가스를 공급하는 것을 나타내고 있지만, 산화 가스를 공급한 후에 원료 가스를 공급하는 것도 가능하다. 리모트 플라스마에 의해 활성화시킨 산화 가스를 먼저 기판에 공급하는 것으로 퇴적막의 특성 개선이 가능한 경우 등이 있다. 이들의 방법은, 특개 2004-6699호 공보 등에 제공되고 있는 MOCVD에 의한 막퇴적과 리모트 플라스마로 활성화 한 가스에 의한 산화와 퇴적막 개질을 주기적으로 반복하는 방법을 나타낸 것이지만, 주기적으로 반복하지 않고 일반적인 MOCVD법에 의해 퇴적막을 형성하는 방법에 서도 적용이 가능하다. 일반적인 MOCVD라는 것은, 원료를 동시 혹은 차례차례 공급하여 반복의 순서 없이 막을 얻는 방법을 가리킨다. 여기서, 리모트 플라스마로 활성화한 가스에 의한 막의 산화와 개질 처리를 RPO(Remote Plasma Oxidation) 처리라고도 한다.
형성하는 HfSiO막은 심도 방향으로 Si 농도가 다른 단층인 것도 가능하다. 또는, Si 농도가 서로 다른 HfSiO막을 복수층 형성하는 것도 가능하다. 이 경우, 기판측 층의 막 두께보다 HfSiO막 표면측 층의 막 두께가 얇아지도록 하는 것이 바람직하다.
Hf-MMP(100%)와 Si-MMP(100%)를 이용하여 Hf-MMP/(Hf-MMP+Si-MMP) 공급비를 1/6으로 하여 성막하면, HfSiO막 내의 조성비 Hf/(Hf+Si)는 63%였다. Hf-MMP/(Hf-MMP+Si-MMP) 공급비를 1/100으로서 성막 하면, HfSiO막 내의 조성비 Hf/(Hf+Si)는 16%를 실현할 수가 있었다.
도 3은 Hf-MMP/(Hf-MMP+Si-MMP) 공급비와 이에 따른 HfSiO막 내의 Hf/(Hf+Si) 조성비를 나타낸다. 이 때, 성막 온도는 450℃, 압력은 50~200 Pa로 했다. 여기에서는 공급비 및 조성비에, 몰비율로서 백분율 표현(%)을 이용하고 있지만, 최대치 1에 대한 비율 표현을 이용하는 경우도 있다.
이어서, 상술한 바와 같이 형성한 HfSiO막에 질화 처리를 실시한다. 이 공정에서는, 예를 들면 막 내의 Si농도가 도 4와 같은 HfSiO막, 즉 막 표면측의 부분이 기판측보다 Si농도가 커지도록 한 HfSiO막을 질화 처리한다. 이에 의해, 도 7의 실선과 같은 질소 농도 분포, 즉 막 표면측의 부분이 기판측보다 N 농도가 커지는 분 포를 가지는 HfSiO막을 형성할 수 있다. 이에 의해, 막 표면측에서 붕소가 관통하는 것을 방지할 수 있어 전체적인 열적 내성을 향상시킬 수가 있다. 이와 같이, HfSiO막표면 측에 많은 질소를 도입하고 기판측(기판과의 계면)에는 질소를 도입하지 않게 할 수 있는 것은, 실리케이트막에서 실리콘 조성이 높을수록 질소가 막 내에 많이 도입될 수 있기 때문이다. 덧붙여 Si 농도가 균등한 HfSiO막은 도 7의 점선과 같은 질소 농도 분포를 가진다.
도 4, 5, 6 및 7에 나타내는 농도 분포는 개략적인 것이므로, 어닐링 공정이 추가되면 각각의 농도 분포는 확산에 의해 형상이 변화할 수 있음이 예상된다.
여기서, 질화 처리는 저항 가열이나 광원을 이용한 급속 가열 처리(Rapid Thermal Anneal, RTA)에 질소(N2)나 암모니아(NH3) 등과 같이 질소를 포함한 가스를 이용하는 방법, 즉 RTN(Rapid Thermal Nitridation) 처리, 리모트 플라스마에 의해 활성화시킨 질소나 암모니아 등을 이용해 질소를 도입하는 RPN(Remoto Plasma Nitridation) 처리, MMT(Modified Magnetron Typed Plasma Source) 질화 처리 등이 있지만, 이와 같은 질화 처리의 방법에 의하지 않고 HfSiO막 내의 Si 농도 분포를 반영한 질소 농도 분포를 가지도록 할 수가 있다. 이에 의해 질소 농도 분포의 제어 범위를 넓힐 수가 있다.
또한, 상기 실시예의 성막 단계에서 공급비 Hf-MMP/(Hf-MMP+Si-MMP)를 변경하면서 성막할 때는, 각 원료 가스를 간헐적으로 공급함과 동시에 각 원료 가스의 공급 유량 또는 공급 시간을 각각 소정 사이클마다 변화시켜 성막하는 것이 바람직 하다.
예를 들면, 도 8에 나타내는 성막 순서도에서는 각 원료 가스의 공급 유량을 1 사이클마다 변화시킨다. 여기서, 각 원료 가스로서 Hf 원료 가스와, Hf 원료 가스와 Si 원료 가스를 혼합한 혼합 원료 가스(Hf원료 가스+Si원료 가스)를 이용한다. 즉, 제1 원료 가스로서 Hf 원료 가스를, 제2 원료 가스로서 Si 원료 가스 대신에 Hf 원료 가스와 Si 원료 가스의 혼합 가스를 이용한다. Hf 원료 가스를 공급할 때마다 Hf 원료 가스의 공급 유량을 감소시키고 혼합 원료 가스를 공급할 때마다 혼합 원료 가스의 공급 유량을 증가시키는 것으로, 각 원료 가스의 공급 유량을 변화시키고 있다. 또한, Hf 원료 가스와 혼합 원료 가스를 공급한 다음 N2 퍼지에 의해 원료 가스를 배기한 후 산화 가스에 의한 RPO(Remote Plasm Oxidation) 처리를 실시하고 다시 N2 퍼지에 의해 산화 가스를 배기하는 사이클을 반복하고, 마지막에 RPN 처리를 실시한다. 이와 같이 각 원료의 공급 유량을 1 사이클마다 변화시켜 Si 농도를 HfSiO막의 심도 방향으로 제어할 수가 있다.
그리고, 도 9에 나타내는 성막 순서도에서는, 각 원료 가스의 공급 시간을 1 사이클마다 변화시킨다. Hf 원료 가스를 공급할 때마다 Hf 원료 가스의 공급 시간을 감소시키고 혼합 원료 가스를 공급할 때마다 혼합 원료 가스의 공급 시간을 증가시킨다. 이와 같이 각 원료 가스의 공급 시간을 1 사이클마다 변화시키는 것에 의해서도 Si 농도를 HfSiO막의 심도 방향으로 제어할 수가 있다.
덧붙여, 각 원료 가스의 공급 유량 또는 공급 시간은, 적어도 1 사이클마다 변화시키면서 복수 사이클마다 자주 변화시키는 것도 가능하다.
덧붙여, 처리율(throughput)을 고려하여, 질화 처리는 HfSiO막의 성막을 실시하는 반응실(4)와 동일한 반응실(4) 내에서 HfSiO막의 성막과 연속하여 실시하는 것이 바람직하다. 이 경우에서, 질화 처리를 RPN 처리로 실시하는 경우 질화 가스를 활성화시키는 리모트 플라스마 유니트를, HfSiO막의 산화와 개질을 위한 산화 가스를 활성화시키는 리모트 플라스마 유니트(20)와 공용으로 사용할 수가 있다. 또한, 질화 처리는 HfSiO막의 성막을 실시하는 반응실(4)와 반송실을 통해 접속된 플라스마 처리실 혹은 열처리실 내에서 실시하는 것도 가능하다. 또, HfSiO막의 성막에서, 원료 가스로 Hf[N(C2H5)2]4와 HSi[N(CH3)2]3, 혹은 Si[N(CH3)2]4의 조합 등에 의해서도 같은 효과를 기대할 수 있다. 또, Hf 원료와 Si 원료가 양쪽 모두 유기 원료가 아니어도 동일한 효과를 기대할 수 있다. 즉, 유기 Hf 원료와 유기 Si 원료의 조합 뿐만 아니라, 유기 Hf 원료와 무기 Si 원료의 조합이나, 무기 Hf 원료와 유기 Si 원료의 조합이나, 무기 Hf원료와 무기 Si원료의 조합이어도 동일한 효과를 기대할 수 있다.
상술한 것처럼 본 실시예에 의하면, 다음과 같은 효과가 있다.
(1) 심도 방향에 있어서의 조성식 Hf/(Hf+Si)의 제어성을 향상할 수 있다.
(2) HfSiO막 내의 Si 농도를 막의 심도 방향으로 제어하였으므로, 이후에 HfSiO막의 질화 처리 시 막 내의 심도 방향으로 원하는 질소 농도 분포를 얻을 수 있다.
(3) HfSiO막 내의 N 농도를 HfSiO막 내의 Si 농도에 의해 제어하였으므로, HfSiO막중의 N 농도 분포를 용이하게 제어할 수 있어 고품질의 반도체 장치(디바이스)를 제조할 수가 있다.
(4) Si 원료 가스 공급계로부터 Si-MMP를 도입하지 않는 것도 가능하므로, HfO2막과 HfSiO막을 동일한 성막 장치를 이용하여 높은 생산성으로 형성할 수 있다.
덧붙여 본 발명에서는 질화 처리 단계에서 HfSiO막의 막 내에 질소를 도입하여 성막 단계에서 형성하는 HfSiO막 내의 실리콘 농도에 의해 막 내의 심도 방향에서의 질소 농도를 제어하는 것이 아니라, HfSiO막을 형성한 후에 HfSiO막 위에 CVD나 ALD(Atomic Layer Deposition)로 실리콘 질화막(이하, "SiN막"이라 함)을 퇴적하여 HfSiO막과 Si의 계면으로의 질소 도입을 방지할 수 있는데, 이 경우 SiN막의 성막 시에 실란(silane)계 가스 등의 원료 가스에 의해 HfSiO막이 환원되면 절연성이 나빠지기 때문에 양질의 절연막을 얻을 수 없게 된다.
[제2 실시예]
여기에서는, 제1 실시예의 개선예를 구체적으로 설명한다.
상술한 제1 실시예에 대해서는, HfSiO막 내의 Si 농도를 증대시켜 조성비Hf/(Hf+Si)의 제어 범위를 확대하는 것이 요청된다. 이 때문에 복수의 Hf 원료 및 Si 원료의 공급 방법, 특히 이들의 혼합 방법이 중요하다.
원료의 공급 방법으로는 각 액체 원료를 각각 기화시킨 후 혼합하여 반응실에 공급하는 방법이 있다. 예를 들면, 개개의 원료를 개별적으로 기화기를 경유해 기화시킨 후 반응실 내 또는 반응실 앞에 위치한 장치(믹서, 샤워 헤드 등 가스 혼합을 위한 부위)에서 혼합하여 공급하거나, 또는 혼합하지 않은 상태로 직접 반응실에 공급시키는 것이다.
예를 들면, 믹서로 혼합하는 방법은 도 10에 도시된 것과 같은 매엽식 CVD 장치가 이용된다. 액체 원료 용기인 제1 액체 원료 탱크(101) 내에 Hf를 포함한 액체 원료를 충전하고, 액체 원료 용기인 제2 액체 원료 탱크(102)에 Si를 포함한 액체 원료를 충전한다. 그리고, 각각의 탱크 내에 불활성 가스 도입 배관(112)으로부터 가압된 불활성 가스(He, N2 등)를 도입하여 각 탱크 내에 압력을 가하고 각 탱크 내의 각 원료를 제1 버퍼 탱크(203), 제2 버퍼 탱크(204)에 밀어내어 수용한다. 이들 두 원료는, 각각 제1 액체 유량 제어장치(205), 제2 액체 유량 제어장치(206)에 의해 유량이 제어되어 제1 기화기(103a), 제2 기화기(103b)에서 기화되어 가스에 변환된 다음 믹서인 가스 경로 제어 배관(109)에서 혼합된 후 반응실(104)에 도입된다.
제어장치인 콘트롤러(50)는, 매엽식 CVD 장치를 구성하는 액체 유량 제어장치(205, 206), 기화기(103a, 103b), 가스 경로 제어 배관(109), 배기 장치(105) 등의 각 부분의 동작을 제어한다.
그렇지만, 상술한 것 같이 개개의 원료를 기화시키고 나서 혼합해 반응실에 공급하는 것 같은 원료 공급 방법에서, Hf 원료로서 Hf-MMP를, Si원료로서 Si-MMP를 공급하는 경우, Si-MMP는 단독으로는 성막이 일어나기 어렵기 때문에, HfSiO막 내의 Si농도를 증대시키는 것이 어렵고, HfSiO막중의 조성비 Hf/(Hf+Si)의 조정 범위가 좁다.
그런데, 이 Si-MMP에 Hf-MMP를 미량 혼입시킨 원료를 Si 원료로 이용하면, Si-MMP의 반응성을 향상시킬 수가 있어 HfSiO막 내의 Si 첨가량을 제어성 좋게 늘릴 수 있음을 본 발명자가 찾아냈다.
제2 실시예에서는, 상기의 Si 첨가량의 제어성을 개선한 것으로, HfSiO막 내의 Si 도입량을 높이기 위해서 Hf 원료와 Si 원료 중 어느 하나의 원료에 다른 하나의 원료를 미량 혼재시킨 것을 원료로서 이용하는 반도체 장치의 제조 방법이다.
보다 구체적으로는, 제2 실시예에서의 매엽식 CVD 장치는 도 10에 도시된 것과 같은 장치를 이용할 수 있다. 예를 들면, Hf 원료로서 Hf-MMP(100%)를 제1 액체 원료 탱크(101)에 충전한다. 제2 액체 원료 탱크(102)에는 Si 원료로서 Si-MMP에 Hf-MMP를 수% 함유한 혼합 원료를 충전한다. 도 3에 도시된 바와 같이, 조성비Hf/(Hf+Si)를 10~50%(0.1~0.5)로 제어하기 위해서는, Si-MMP 내의 Hf-MMP의 함유량, 즉 Hf-MMP/(Hf-MMP+Si-MMP)비를 10%미만 정도로 하는 것이 바람직하며, 1% 미만인 것이 더 바람직하다. Si-MMP 내의 Hf-MMP의 함유량을 작게 하는 만큼, HfSiO막 내의 조성비 Hf/(Hf+Si)의 제어 범위를 넓게 잡을 수가 있기 때문이다. 또, 원하는 조성비 Hf/(Hf+Si)를 50%(0.5) 이상으로 제어하기 위해서는, Si-MMP 내의 Hf-MMP의 함유량은 10% 정도 이상인 것이 바람직하다.
Hf-MMP를 1% 혼재한 Si-MMP를 이용해 공급비 Hf-MMP/(Hf-MMP+Si-MMP)를 1/100으로 했을 경우, HfSiO막의 조성비 Hf/(Hf+Si)를 16%로 실현할 수 있었다.
이와 같이 제2 실시예에 의하면, HfSiO막의 형성 시에 Si-MMP에 10% 미만의 미량의 Hf-MMP를 혼재시킴으로써 Si-MMP의 반응을 촉진할 수가 있어 조성비 Hf/(Hf+Si)를 0.1~0.5의 범위 내로 제어하는 경우에도 안정적인 제어가 가능하다. 그리고, Si-MMP에 미량의 Hf-MMP를 혼재시킴으로써 HfSiO막 내의 Si 도입량을 수%로부터 80%이상까지 높일 수 있다. 또한, 조성비 Hf/(Hf+Si)를 0.1~0.5 등과 같이 작은 범위에서 제어하는 경우에도, Si-MMP에 혼입되는 Hf-MMP의 혼입량을 제어하는 것만으로 제어가 가능하며, Hf 원료의 유량 제어장치를 소유량으로 개량 변경하는 등의 필요가 없고 장치의 하드를 거의 변경할 필요가 없다.
덧붙여, 상술한 실시예에서는 Si 원료로서 미리 Hf-MMP를 미량 함유 한 Si-MMP를 이용하였지만, Si-MMP(100%)를 이용하여 반응실 혹은 기화기에 도달하는 배관의 도중에서 각각 유량을 제어하는 시스템에서 Hf-MMP를 미량 혼합하여, Si원료로 하거나 또는 Hf와 Si 혼합 원료로서 공급하는 것도 가능하다.
[제3 실시예]
여기에서는, 제1 실시예를 더욱 개선한 구체적인 예를 설명한다.
제1 실시예에서는 덧붙여 다음과 같은 과제가 생각된다.
(1) 원료의 혼합에 의한 파티클의 발생
예를 들면, 도 10에 도시한 바와 같은 매엽식 CVD 장치에서, 2개의 원료는 각각이 제1 기화기(103a), 제2 기화기(103b)에서 기화되어 가스로 변환되어 가스 경로 제어 배관(109)에서 혼합된 후 반응실(104)에 도입된다. 여기서, 혼합하면 서로 반응하는 원료를 고용하는 것이 어려운 문제가 있다. 만일, 이 문제를 무시하고 2개의 원료를 상술한 바와 같이 도입하여 성막하면, 파티클이 발생하여 샤워홀(25)이 막히거나, 배기 배관(114)를 포함하는 배기계가 막히는 등 장치 운용상 많은 문제가 발생된다. 따라서, 혼합해도 이와 같은 문제가 발생하지 않는 원료를 선정할 필요가 있다.
(2) 공급계의 안정성과 비용
제1 원료는 Hf-MMP이고, 제2 원료는 Si-MMP로 한다. 반응실(104)에서는 소정 온도로 가열된 기판이 설치되어 있어, 2개의 원료 가스가 열에 의해 분해되고 기판 상에 HfSiO막으로 퇴적된다. 이 때, 형성된 HfSiO막의 조성비 x=Hf/(Hf+Si)는, Hf-MMP와 Si-MMP의 혼합비 q=(Si-MMP)/(Hf-MMP)에 의해 정해진다. 이를 도 11에 나타낸다. 이 도 11을 참조하면, 만일 x가 0.1인 조성의 HfSiO막을 형성하고자 하는 경우에는, q를 100~1000으로 할 필요가 있는 것을 알 수 있다. 이러한 혼합비로 공급하는 경우에는, Si-MMP에 대한 Hf-MMP의 유량이 매우 작은 값이 되기 때문에 일반적으로 제어성을 확보하는 것이 어려워진다. 이것은, 유량이 작을수록 유량 제어가 어렵고, 유량이 너무 작으면 액체 유량 제어장치의 정밀도상의 한계에 의해 유량을 검출하는 것이 곤란하기 때문이다. 이 때문에 원료의 공급비의 재현성에 문제가 생길 수 있다. 덧붙여 상술과 같은 미소 유량을 제어하는 액체 유량 제어장치는 현상 존재하지 않고, 기존의 유량 제어장치를 개량 및 변경하여 정밀도를 향상시켜도 매우 높은 비용이 필요할 뿐만 아니라 효과도 적다.
(3) HfSiO막 내로 질소가 도입될 경우의 문제
Si 농도가 낮은, 즉 Hf 리치인 HfSiO막에 질화 처리에 의해 질소를 도입하여 도, Si-N 결합보다 Hf-N 결합이 많기 때문에 절연성을 악화시킬 수 있다. Si-N는 절연성을 나타내는데 비해, Hf-N는 도체의 성질을 나타내기 때문이다. 따라서, HfSiO막의 표면 부근은 Si 리치막으로 이루어질 필요가 있다. 즉, 조성비 Hf/(Hf+Si)를 10~50%(0. 1~0. 5)의 범위로 제어할 필요가 있다. 이를 도 12 및 13을 이용해 설명한다.
도 12에 나타난 바와 같이, 기판(30)상에 형성된 Hf 리치(x=Hf/(Hf+Si)=0. 6~0. 9)한 HfSiO막(31a)를 질소 처리하면, HfSiO막(31a)의 표면 부근에 치밀한 Si3N4막이 생기지 않고 막 전체가 쉽게 질화되어 막 전체에 걸쳐 Hf-N 결합, Si-N 결합을 포함한 막(31b)가 형성된다. 따라서, 막의 절연성이 없어진다.
또, 도 13에 나타난 바와 같이, 기판(30)상에 형성된 Si 리치(x=0.1~0.5)한 HfSiO막(32a)을 질소 처리하면, HfSiO막(32a) 표면 부근에 치밀한 질화막이 생겨 막전체가 쉽게 질화되기 어렵다. 결과적으로, 표면 부근에는 Si3N4막(32b)이 생기고 그 하부에 HfSiO막(32a)이 그대로 남게 된다. 이는 치밀한 Si3N4막은 장벽이 높고, 모든 것을 통과시키지 않는 성질을 가지기 때문이다. 결과적으로, 이 경우는 절연성이 없어지기 어려운 장점이 있다.
이상과 같이 HfSiO막의 절연성이 없어지지 않게 하기 위해서는 HfSiO막의 표면측 조성비x를 0.1~0.5의 범위 내에서 제어하여야 하지만, 개개의 원료를 기화시킨 후 혼합하는 방법에서는 이 범위를 제어하는 것 자체가 어렵고 충분한 결과를 얻지 못하고 있다. 또, HfSiO상에 SiN를 퇴적하는 시도도 있지만, 이 경우는 제1 실시예에서 설명한 것처럼 SiN 퇴적시에 사용하는 실란계 가스에 의해, HfSiO막이 환원되기 쉽고 HfSiO막의 절연성이 악화되는 것을 방지하는 것이 어렵다. 또, 높은 에너지로 형성된 경우가 아니면, SiN막은 치밀성이 없어져 장벽이 없어진다. 따라서, 저온으로 형성한 SiN막은 전혀 도움이 되지 않을 가능성이 높다.
그런데 , 제1 원료로 Hf-MMP와 Si-MMP를 조제하여 형성된 혼합 원료를 이용하고 제2 원료로서 Hf-MMP와 Si-MMP를 제1 원료와는 다른 혼합비로 조제하여 형성된 혼합 원료 또는 Hf-MMP만으로부터 되는 원료를 이용하여, 이들을 각각 기화해 기판에 대해서 공급하는 방법을 사용하면, 상술한 문제점을 해소할 수 있음을 본 발명자가 찾아냈다.
제3 실시예는 상기와 같은 조제 방법을 이용한 것으로, 기판 처리 단계에서 복수 종류의 액체 원료를 조제하여 형성된 제1 원료를 기화한 제1 원료 가스와, 복수 종류의 액체 원료를 제1 원료와는 다른 혼합비로 조제하거나 또는 1 종류의 액체 원료로 형성되는 제2 원료를 기화한 제2 원료 가스를 기판에 공급하여 기판을 처리하는 것이다.
우선, 본 실시예에서는 도 14에 나타내는 것 같은 매엽식 CVD 장치를 이용한다. 이 매엽식 CVD 장치는 기판을 처리하는 반응실(104)를 구비한다. 반응실(104)는 도 15에 도시된 바와 같이 구성된다. 반응실(104)의 내부에는, 기판(30)을 지지하는 서스셉터(42), 이 서스셉터(42)의 하부에 설치되어 기판(30)을 가열하는 히터(43) 및 다수의 샤워홀(25)를 구비하는 샤워 헤드(26)가 설치된다. 그리고, 반응실(104)에는 제1 원료 또는/및 제2 원료를 공급하는 성막 가스 공급 배관(120), 산화 가스를 공급하는 산화 가스 공급 배관(116)이 설치된다. 또한, 반응실(104)에는 반응 실내를 배기하는 배기 배관(114)이 접속되며, 이 배기 배관(114)에는 진공 펌프 등의 배기 장치(105)가 접속된다. 산화 가스 공급 배관(116)은, 성막 가스 공급 배관(120)과 함께 샤워 헤드(26)에 접속되어, 활성화된 산화 가스를 샤워 헤드(26)내에 공급하여 RPO 처리 하도록 되어 있다. 여기서, RPO 처리는 박막에 혼입한 유기물을 제거하거나 개질하기 위한 것이다.
산화 가스 공급 배관(116)에는 RPO를 실시하는 리모트 플라스마 유니트(121)가 접속되고 있다. 성막 가스 공급 배관(120)에는 가스 경로를 제어하여 제1 원료 가스와 제2 원료 가스를 혼합하는 가스 경로 제어 배관(109)이 접속된다.
가스 경로 제어 배관(109)에는, 후술할 제1 원료를 기화한 가스를 공급하는 제1 원료 가스 공급 배관(117a), 후술할 제2 원료를 기화한 가스를 공급하는 제2 원료 가스 공급 배관(117b), N2 등의 불활성 가스를 공급하는 불활성 가스 공급 배관(118), 원료 가스를 반응실(104)의 배기측의 배기 배관(114)으로 우회시키는 벤트 배관(119)이 접속된다.
제1 원료 가스 공급 배관(117a)에는 제1 액체 원료를 기화하는 제1 기화기(103a)가 접속되며, 이 제1 기화기(103a)에는 제1 액체 원료 공급 배관(106a)가 접속된다. 제1 액체 원료 공급 배관(106a)에는, 제1 기화기(103a)에 도입되는 제1 액체 원료의 유량을 제어하는 제1 액체 유량 제어장치(205), 제1 액체 원료를 충전하는 제1 버퍼 탱크(203)가 접속된다. 상기 제1 기화기(103a)에는, 제1 기화 기(103a)에 보내지는 액체 원료를 희석화하기 위한 희석 가스를 공급하는 희석 가스 공급 배관(118a)이 접속된다.
그리고, 제2 원료 가스 공급 배관(117b)에는 제2 액체 원료를 기화하는 제2 기화기(103b)가 접속되며 제2 기화기(103b)에는 제2 액체 원료 공급 배관(106b)이 접속된다. 제2 액체 원료 공급 배관(106b)에는, 제2 기화기(103b)에 도입되는 제2 액체 원료의 유량을 제어하는 제2 액체 유량 제어장치(206) 및 제2 액체 원료를 충전하는 제2 버퍼 탱크(204)가 접속된다. 상기 제2 기화기(103b)에는 제2기화기(103b)에 보내지는 액체 원료를 희석화하는 희석 가스를 공급하는 희석 가스 공급 배관(108)이 불활성 가스 공급 배관(118b)으로부터 분기되어 접속된다.
제1 버퍼 탱크(203)에는, 제1 액체 원료를 공급하는 제1 액체 원료 공급 배관(113c), 제1 버퍼 탱크(203) 내의 원료를 제1 액체 원료 공급 배관(106a)으로 밀어내기 위한 압송 가스를 공급하는 압송 가스 공급 배관(107a)이 접속된다. 제2 버퍼 탱크(204)에는, 제2 액체 원료를 공급하는 제2 액체 원료 공급 배관(113d), 제2 버퍼 탱크(204) 내의 원료를 제2 액체 원료 공급 배관(106b)으로 밀어내기 위한 압송 가스를 공급하는 압송 가스 공급 배관(107b)이 접속된다.
제1 액체 원료 공급 배관(113c) 및 제2 액체 원료 공급 배관(113d)에는, Hf 액체 원료와 Si 액체 원료의 2개의 액체 원료를 임의의 비율로 조제할 수 있는 공통의 조제 장치(214)가 접속된다. 조제 장치(214)에는, 조제한 액체 원료를 반응실(104)의 배기측의 배기 배관(114)으로 우회시키는 벤트 배관(122)과, 조제 장치(214)에 Hf 액체 원료를 공급하는 Hf 액체 원료 공급 배관(113a)과, 조제 장 치(214)에 Si 액체 원료를 공급하는 Si 액체 원료 공급 배관(113b)이 접속된다.
조제 장치(214)에 접속되는 Hf 액체 원료 공급 배관(113a)의 상류측 및 Si 액체 원료 공급 배관(113b)의 상류측에는, Hf 액체 원료 용기(제1 원료 탱크)(101), Si 액체 원료 용기(제2 원료 탱크)(102)가 각각 접속된다. 원료 용기(101), 원료 용기(102)에는, 용기 내의 각 원료를, Hf 액체 원료 공급 배관(113a), Si 액체 원료 공급 배관(113b)으로 밀어내기 위한 압송 가스를 공급하는 압송 가스 공급 배관(115a), 압송 가스 공급 배관(115b)가 각각 접속된다.
덧붙여 압송 가스 공급 배관(115a, 115b), 희석 가스 공급 배관(118a), 불활성 가스 공급 배관(118b), 압송 가스 공급 배관(107a, 107b)은 공통의 불활성 가스 도입 배관(115)으로부터 분기된다.
상술한 조제 장치(214)의 내부를 도 16에 나타내었다. Hf 액체 원료 공급 배관(113a)에 접속된 제1 액체 유량 제어장치(253), Si 액체 원료 공급 배관(113b)에 접속된 제2 액체 유량 제어장치(254)에 각각 설정된 유량과 시간의 곱에 의해, 제1 버퍼 탱크(203), 제2 버퍼 탱크(204)으로의 조제 원료의 충전량, 조제비(혼합비)가 정해진다.
원료의 조제 및 충전 방법은 다음과 같다. 최초로 제1 액체 원료 공급 배관(113c), 제2 액체 원료 공급 배관(113d), 벤트 배관(122)에 접속된 3개의 에어판(250, 251, 252)을 모두 닫은 상태로 2개의 유량 제어장치(253, 254)에 소정의 설정값을 세트한다. 다음에, 에어판(252)를 열고, 유량 제어장치(253, 254)에 의해 유량이 제어된 Hf 액체 원료, Si 액체 원료를 배기계의 벤트 라인(122)으로부터 배 기 배관(114)으로 배기하여 각 액체 원료의 유량이 안정되는 것을 기다린다. 각 액체 원료의 유량이 안정되면, 에어판(252)을 닫음과 동시에 에어판(250) 또는 에어판(251)의 어느 하나를 연다. 즉, 조제 원료를 제1 버퍼 탱크(203)에 충전하는 경우는 에어판(250)을 열고, 제2 버퍼 탱크(204)에 충전하는 경우는 에어판(251)을 여는 것이 바람직하다. 덧붙여 제1 버퍼 탱크(203), 제2 버퍼 탱크(204)는, 조제 원료를 충전하기 전에 미리 진공 인출 등의 처리를 실시해 둔다. 이것은, 버퍼 탱크를 깨끗한 상태로 만들 수 있으며 또 버퍼 탱크를 비울 수 있기 때문에 있다. 또, 도시하지는 않지만, 각각의 버퍼 탱크를 세정하는 세정액을 공급하는 수단을 별도 설치할 수도 있다.
또, 제어장치인 콘트롤러(50)은, 조제 장치(214), 액체 유량 제어장치(205, 206), 기화기(103a, 103b), 가스 경로 제어 배관(109), 리모트 플라스마 유니트(121), 배기 장치(105) 등의 매엽식 CVD 장치를 구성하는 각부의 동작을 제어한다. 덧붙여 이하의 설명에서, 매엽식 CVD 장치를 구성하는 각부의 동작은 콘트롤러(50)에 의해 제어된다.
상술한 것과 같은 구성에 의해, 반응실(104) 내의 서스셉터(42) 상에 기판(30)을 위치시킨 후, 히터(43)에 의해 서스셉터(42)를 사이에 두고 기판(30)을 가열한다. 액체 원료 탱크(101), 액체 원료 탱크(102)에 N2 등의 압송 가스를 공급하면, 각각의 액체 원료 즉 Hf 액체 원료, Si 액체 원료가 액체 원료 공급 배관(113a, 113b)으로 이동되고, 이동된 각 액체 원료는 조제 장치(214)로 조제되어 제1 액체 원료 공급 배관(113c), 제2 액체 원료 공급 배관(113d)을 거쳐 제1 버퍼 탱크(203), 제2 버퍼 탱크(204)에 각각 도입된다. 예를 들면, 제1 버퍼 탱크(203)에는 제1의 조제비로 조제된 제1 원료가, 제2 버퍼 탱크(204)에는 제2의 조제비로 조제된 제2 원료가 충전된다. 제1 버퍼 탱크(203), 제2 버퍼 탱크(204)에 압송 가스를 공급하면, 각각의 액체 원료, 즉 제1 원료, 제2 원료는 제1 액체 원료 공급 배관(106a), 제2 액체 원료 공급 배관(106b)으로 이동되고, 이동된 액체 원료는 각각 액체 유량 제어장치(205, 206)에 의해 유량이 제어되며 제1 기화기(103a), 제2 기화기(103b)에 도입되어 기화된다. 제1 기화기(103a), 제2 기화기(103b)로 기화된 각 원료 가스, 즉 제1 원료 가스, 제2 원료 가스는 각각 원료 가스 공급 배관(117a, 117b)를 통과하여 가스 경로 제어 배관(109)를 거쳐 반응실(104) 내에 공급된다. 반응실(104) 내에 공급된 원료 가스는, 샤워 헤드(26)의 샤워홀(25)로부터 기판(30)상에 도입된다. 기판(30) 상에 도입된 원료 가스는 화학반응을 일으켜, 기판(30) 상에 존재하는 미세한 전기 회로 패턴 상에 HfSiO 박막을 균일하게 형성한다. 그 후 N2 퍼지를 실시해 반응실(104) 내의 잔류 가스를 제거한 후, 리모트 플라스마 유니트(121)에 의해 활성화된 산화 가스가 산화 가스 공급 배관(116)으로부터 반응실(104) 내의 기판(30)상에 도입되어, HfSiO막의 개질 처리를 한다. 그 후 다시 N2 퍼지를 실시해 반응실(104) 내의 잔류 가스를 제거한다. 덧붙여 반응실(104)에 도입된 각 가스는, 배기 배관(114), 배기 장치(105)를 거쳐 제해 장치(도시하지 않음) 등의 후단 설비에 도달한다.
제3 실시예가 제1 실시예와 크게 다른 점은, 2개의 원료를 임의의 비율로 액체 상태로 조제하는 조제 장치(214)를 배치하고 있는 점이다. 이 조제 장치(214)를 이용하면, 제1 버퍼 탱크(203), 제2 버퍼 탱크(204)에 충전하는 원료의 혼합비를 임의로 변경할 수 있다. 특히, 하나의 액체 원료의 공급량이 다른 하나의 액체 원료에 대해 지극히 작은 경우에서도 액체 유량의 제어성을 확보할 수 있다.
이와 같이 하나의 액체 원료의 공급량이 다른 하나의 액체 원료에 대해서 지극히 작은 경우에서도 액체 유량의 제어성을 확보할 수 있는 이유는 다음과 같다.
상술한 바와 같이, 막 내의 조성비 x=Hf/(Hf+Si)이 0.1인 HfSiO막을 형성하는 경우 혼합비 q=(Si-MMP)/(Hf-MMP)를 100~1000으로 하여야 하므로, 이 경우 Si-MMP에 대한 Hf-MMP의 공급 유량은 매우 작은 값으로 하여야 한다. 예를 들면, Si-MMP의 공급 유량을 0.1 g/min로 하는 경우, Hf-MMP의 공급 유량을 0.0001~0.001 g/min로 하여야 한다. 이와 같이 액체 원료의 공급 유량이 너무 작아 지면, 액체 유량 제어장치의 정밀도상의 문제에 의해, 유량을 검지할 수 없게 되어, 유량 제어할 수 없게 된다. 특히, 유량이 0. 05 g/min 미만에서의 액체 원료의 유량 제어는 매우 곤란해진다.
제3 실시예에서는, 이와 같이 Si-MMP에 대한 Hf-MMP의 공급 유량을 지극히 작은 값으로 할 필요가 있는 경우에도, 그 혼합비를 확보하면서 액체 원료의 전체량을 늘린 상태로 조제할 뿐만 아니라 액체 원료의 유량을 제어할 때도 그 혼합비를 확보하면서 액체 유량을 충분히 제어할 수 있는 정도의 유량(0.05~0.2 g/min)으로 공급하고 있다. 예를 들면, Si-MMP 100~1000 g과 Hf-MMP 1~10 g을 조제해, 조제 한 액체 원료의 공급 유량을 0.1~0.2g/min로 하면, 이에 의해 액체 원료를 미소 유량 제어할 필요가 없어져 액체 유량의 제어성을 용이하게 확보할 수 있다.
도 14와 같은 장치를 이용해, 제1 원료 탱크(101)에 Hf-MMP, 제2 원료 탱크(102)에 Si-MMP를 충전하고, 이들의 혼합비를 바꾸어 HfSiO막의 조성비x를 조사한 결과를 도 11에 나타내었다. 여기서, 중요한 점은 혼합해도 반응하지 않는 원료를 선택해야 한다는 것이다. 호환성이 있는 원료를 선택해야 한다. Hf-MMP와 Si-MMP는 호환성이 뛰어나기 때문에 이에 적합하다. 도 11로부터, Si 리치를 위하여 조성비x를 0.1 정도로 하기 위해서는, 혼합비 q=Si-MMP/Hf-MMP를 100~1000 정도, 예를 들면 200 정도로 하여야 함을 알 수 있다. 여기서, HfSiO 의 형성시의 기판 온도는 350~550℃, 압력은 50~200 Pa, Hf-MMP와 Si-MMP의 전체 총유량은 0.1~0.3 g/min로 했다.
다음으로, 도 14와 같은 구성의 매엽식 CVD 장치에 의해 Hf-MMP와 Si-MMP를 이용해 HfSiO막을 퇴적하는 순서를 설명한다.
상술한 결과로부터, 제1 버퍼 탱크(203)에 제1 원료로서 혼합비 Si-MMP/Hf-MMP가 200이 되도록 조제된 원료를 충전하고, 제2 버퍼 탱크(204)에는 제2 원료로서 Si-MMP/Hf-MMP가 0이 되도록 조제된 원료, 즉 Hf-MMP만을 충전했다.
다음으로, 반응실(104)에 기판(30)을 위치시키고, 350~550℃ 정도로 기판(30)을 가열한 후, 제1 원료, 제2 원료를 각각 기화해 얻은 제1 원료 가스, 제2 원료 가스를 반응실(104) 내의 기판(30)에 공급하고, 기판(30)에 HfSiO막의 퇴적 처리를 실시한다. 이 때, 도 17에 나타난 바와 같이, 제1 버퍼 탱크(203), 제2 버 퍼 탱크(204)의 제1 원료, 제2 원료의 전체 공급비 Hf-MMP/(Hf-MMP+Si-MMP)가 시간의 경과와 함께 변화하도록 기판에 공급했다. 즉, 기판에의 상대 공급량이 1.0에서 0.0으로의 선형(linear)으로 변화하도록 제2 버퍼 탱크(204)로부터 제2 원료를 공급하고, 기판에의 상대 공급량이 0.0에서 1.0으로 선형으로 변화하도록 제1 버퍼 탱크(203)으로부터 제1 원료를 공급했다. 이 상대 공급량의 변화는, 제어장치인 콘트롤러(50)에 의해, 제1 액체 유량 제어장치(205) 및 제2 액체 유량 제어장치(206)의 설정값을 변화시킴으로써 이루어진다. 제1 원료 및 제2 원료가 실제로 기판(30)에 공급된 시간은, 도 17의 성막 개시부터 성막 종료의 사이이다. 그리고, 마지막으로 형성된 HfSiO막에 대해서 RPO 처리를 실시했다. 덧붙여 후술하는 바와 같이 퇴적 처리와 RPO 처리를 교대로 행해도 좋다.
이와 같이 형성된 HfSiO막의 심도 방향의 조성 분포를 도 18에 나타낸다. 막 내의 조성 분포는, 조성비가 0.1으로 Si 리치인 막 표면으로부터, 조성비가 1.0으로 Hf 리치인 HfSiO막의 마지막이 되는 하부면까지의 사이에서 연속적으로 점진적인 증가 곡선을 그린다. 점전직인 증가 커브는 막 두께 도중에서 변곡점을 갖고, 막표면으로부터 상기 막 두께 도중까지는 아래로 볼록하고, 변곡점을 거쳐 하부면까지는 위로 볼록하게 변화하고 있다. 이 도 18에서부터, HfSiO막의 심도 방향으로 적어도 0.1~0.9의 범위에 걸쳐 조성비를 제어할 수 있음을 알 수 있다.
덧붙여 상술한 실시예에서는, 제1 버퍼 탱크(203)에서의 제1 원료의 혼합비 Si-MMP/Hf-MMP가 200, 제2 버퍼 탱크(204)에서의 제2 원료의 혼합비 Si-MMP/Hf-MMP가 0인 경우를 예시했지만, 본 발명은 이에 한정되지 않는다. 예를 들면, 제1 버퍼 탱크(203)에서의 제1 원료의 혼합비 Si-MMP/Hf-MMP를 150, 제2 버퍼 탱크(204)에서의 제2 원료의 혼합비 Si-MMP/Hf-MMP를 0.1로 해도 좋다. 또, 제1 버퍼 탱크(203)에서의 제1 원료의 혼합비 Si-MMP/Hf-MMP를 500, 제2 버퍼 탱크 204에서의 제2 원료의 혼합비 Si-MMP/Hf-MMP를 0.5로 해도 좋다.
또, 원료 가스의 공급비 Hf-MMP/(Hf-MMP+Si-MMP)의 변화 패턴은, 도 17에 나타내는 것으로 한정되지 않는다. 예를 들면, 도 19 내지 도 22에 나타내는 것 같은 패턴을 가지는 것도 가능하다. 도 19는, 제2 버퍼 탱크에서의 제2 원료 및 제1 버퍼 탱크에서의 제1 원료를 각각 단계적으로 감소 및 증가하도록 제어하는 예를 나타낸다. 도 20은, 제2 버퍼 탱크에서의 제2 원료를 선형으로 감소하도록 제어하고, 제1 버퍼 탱크에서의 제1 원료를 단계적으로 증가하도록 제어하는 예를 나타낸다. 도 21은, 도 20과 반대로 제2 버퍼 탱크에서의 제2 원료를 단계적으로 감소하도록 제어하고, 제1 버퍼 탱크에서의 제1 원료를 선형으로 증가하도록 제어하는 예를 나타낸다. 그리고, 도 22는, 제2 버퍼 탱크에서의 제2 원료를 2차 함수적으로 감소하도록 제어하고 제1 버퍼 탱크에서의 제1 원료를 2차 함수적으로 증가하도록 제어하는 예를 나타낸다.
또, HfSiO막의 심도 방향의 조성 분포 또한 도 18에 나타내는 그래프로 한정되지 않는다. 예를 들면, 도 23 내지 도 26에 나타내는 것 같은 조성 분포로 하는 것도 가능하다. 도 23은, 막표면으로부터 심도 방향을 향해 하부면까지의 조성비가 자연 함수적으로 증가하는 예를 나타낸다. 도 24는, 막표면으로부터 막 두께 도중까지는 조성비는 0.1을 유지하지만 막 두께 도중부터 조성비가 급격하게 1.0에 가 까운 값까지 상승한 후 점진적으로 증가해 하부면에서는 조성비가 1.0이 되는 예를 나타낸다. 도 25는, 도 24보다 좀더 극단적으로, 막표면으로부터 심도 방향을 향해 하부면 부근까지는 조성비가 0.1이고, 하부면 부근에서 급격하게 하부면을 향해 조성비가 1.0이 되도록 증가하고 있는 예를 나타낸다. 도 26은, 막표면 부근과 하부면 부근에서는 조성비가 모두 0.1이지만, 막 두께의 중간에서는 심도 방향을 향해 정규 분포를 그리게 되어 있어 정규 분포의 조성비 피크가 1.0인 예를 나타낸다.
또, 도 17 내지 도 22에 나타내는 원료 공급 방법은 2개의 버퍼 탱크(203, 204)에 충전한 제1 원료, 제2 원료를 기판에 동시에 공급하고 있지만, 도 27에 나타난 바와 같이, 각각의 버퍼 탱크(203, 204)에 충전한 제1 원료, 제2 원료를 교대로(간헐적으로) 반복 공급할 수도 있다. 이 경우, 최초로 제2 버퍼 탱크(204)로부터 액체 원료를 공급하고, 다음으로 제1 버퍼 탱크(203)으로부터 액체 원료를 공급한다. 제2 버퍼 탱크(204)로부터의 혼합비 Si-MMP/Hf-MMP를 0으로 한 액체 원료(Hf-MMP)를 성막 개시시에 상대 공급량을 1. 0으로 하여 공급하고, 반복 공급할 때마다 그 상대 공급량을 단계적으로 감소시켜 간다. 제1 버퍼 탱크(203)으로부터의 혼합비 Si-MMP/Hf-MMP를 200으로 한 액체 원료(Hf-MMP+Si-MMP)는, 반복 공급할 때마다, 그 공급량을 단계적으로 증가시켜 성막 종료시에 상대 공급량을 1.0으로 한다.
도 27에 있어서, 제2 버퍼 탱크(204)에서의 제2 원료의 혼합비 Si-MMP/Hf-MMP를 0으로 할 경우의 장점은 다음과 같다. 제2 버퍼 탱크(204)에 충전되는 제2 원료의 혼합비 Si-MMP/Hf-MMP가 0이므로, 제2 버퍼 탱크(204)에 Hf-MMP만이 충전되 어 성막 개시시에 제2 버퍼 탱크(204)로부터 Hf-MMP만이 반응실(104)내에 공급된다. 따라서, 성막 초기에 기판 상에 Si를 포함하지 않는 HfO2가 형성되어 기판과의 계면에 Si를 포함하지 않는 막을 형성할 수 있다. 그 결과, HfSiO막을 성막한 후에 형성한 HfSiO막에 질화 처리를 실시하여도 기판과의 계면이 질화되기 어려워진다.
덧붙여 도 27에 나타내는 예에서는, 2 종류의 액체 원료의 공급 타이밍만을 나타내고 있지만, 이에 N2 퍼지, RPO 처리를 더한 성막 순서를 예시하면, 도 28 내지 도 30과 같다. 도 28은, 제2 버퍼 탱크에서의 제2 원료 공급→제1 버퍼 탱크에서의 제1 원료 공급→N2 퍼지→RPO 처리→N2 퍼지의 순서를 1 사이클로 하는 예이다. 도 29는, 제2 버퍼 탱크에서의 제2 원료 공급→N2 퍼지→RPO 처리→N2 퍼지→제1 버퍼 탱크에서의 제1 원료 공급→N2 퍼지→RPO 처리→N2 퍼지의 순서를 1 사이클로 하는 예이다. 그리고, 도 30은, 제2 버퍼 탱크(204) 및 제1 버퍼 탱크(203)으로부터 제2 원료 및 제1 원료를 동시 공급하도록 하여, 원료 공급→N2 퍼지→RPO 처리→N2 퍼지의 순서를 1 사이클로 하는 예이다.
다음으로, 이렇게 형성된 HfSiO막에 대해서, 예를 들면 RPN 처리에 의해 질화 처리를 실시했다. 그 때의 상대 질소 원자수(N 농도 분포)를 도 31에 나타낸다. 도 31으로부터, 표면 부근은 HfSiO막이 SiN막으로 바뀌어, 표면 부근으로부터 심도 방향을 향해 막 두께 중앙 부근까지는 상대 질소 원자수가 2차 함수적으로 감소하고 막 두께 중앙 부근에서 상대 질소 원자수가 0이 되며 그 0값은 하부막 부근까지 유지되어, 막의 하부에 HfSiO막이 남을 수 있음을 알 수 있다(도 13 참조). 표면 부근의 Si 농도가 높은 HfSiO막을 형성할 수가 있었기 때문에, 이러한 결과를 얻을 수 있는 것이라고 추측된다.
덧붙여, 본 실시예서는 HfSiO막을 성막한 후에 RPN 처리 등에 의해 질화 처리를 실시하고 있지만, 도 32에 나타내도록(듯이), 성막 전에 RPO 처리를 실시하고 후반에는 RPN 처리를 실시할 수 있다. 즉, 성막 전에는 제2 버퍼 탱크에서의 제2 원료 공급→제1 버퍼 탱크에서의 제1 원료 공급→N2 퍼지→RPO 처리→N2 퍼지를 1 사이클로서 여러 차례 반복하고, 성막 후에는 제2 버퍼 탱크에서의 제2 원료 공급→제1 버퍼 탱크에서의 제1 원료 공급→N2 퍼지→RPN 처리→N2 퍼지를 1 사이클로서 여러 차례 반복할 수 있다.
상술한 것처럼 제3 실시예에 의하면, 다음과 같은 뛰어난 효과가 있다.
(1) 제1 버퍼 탱크에 충전된 2 종류의 액체 원료를 조제해 얻은 Si-MMP와 Hf-MMP의 혼합 액체 원료를 제1 기화기로 기화시키고, 제2 버퍼 탱크에 충전된 1 종류의 액체 원료인 Hf-MMP 원료를 제2 기화기로 기화시키며, 각각 제1 액체 유량 제어장치와 제2 액체 유량 제어장치의 설정량을 시간의 경과와 함께 변화시켰으므로, 기판 상에 형성된 HfSiO막의 조성비 Hf/(Hf+Si)를 막의 심도 방향으로 0.1~1.0의 범위에서 제어할 수 있다.
(2) 제1 버퍼 탱크에 충전하는 Si-MMP와 Hf-MMP의 혼합비 Si-MMP/Hf-MMP를 100~1000으로 함으로써, 형성되는 HfSiO막의 조성비 Hf/(Hf+Si)를 막의 심도 방향 으로 0.1~1.0의 범위에서 선형으로 제어할 수 있다.
(3) 제2 버퍼 탱크에 충전한 Hf-MMP를 원료로 하는 HfO막의 형성과, 제1 버퍼 탱크에 충전한 Si-MMP와 Hf-MMP의 혼합 액체를 원료로 하는 HfSiO막의 형성과, 개질 처리를 위한 RPO 처리를, 반복하여 실시함으로써, 막의 개질을 실시하면서 HfSiO막의 조성비 Hf/(Hf+Si)를 막의 심도 방향에 대해서 0.1~1.0의 범위에서 임의로 제어할 수 있다.
(4) HfSiO막의 성막 중에 각 액체 유량 제어장치의 유량 설정값을 변화시켜, Si 농도를 막중의 심도 방향으로 변화시키고 있으므로, 질화 처리에 의해 막 내의 Si농도에 따른 Si-N 결합을 만들 수 있어 N 농도를 막 내의 심도 방향으로 임의로 변화시킬 수가 있다. 즉, HfSiO막 내의 질소 농도 분포를 심도 방향으로 연속적이고 단계적으로 제어할 수가 있다.
(5) 복수의 버퍼 탱크에서 다른 비율로 조제한 복수의 조제 액체 원료의 각각을 기화한 가스를 이용해 성막하므로, 하나의 액체 원료의 공급량이 다른 하나에 비해 지극히 작은 경우에서도 액체 유량의 제어성을 확보할 수 있다.
(6) 기존의 유량 제어장치에 개량 및 변경을 하지 않아도, 조성비 Hf/(Hf+Si)가 작은 막을 형성할 수 있다. HfSiO막의 성막 속도를 현저하게 저하시키지도 않는다.
(7) 혼합해도 반응하지 않는 원료 및 호환성이 있는 원료인 Hf-MMP와 Si-MMP을 사용하므로, 파티클의 발생을 억제할 수가 있다. 따라서, 샤워홀의 막힘, 배기 배관을 포함한 배기계의 막힘 등을 회피할 수 있다.
본 발명에 의하면, 막중의 실리콘 농도를 변화시키는 것으로, 막중의 질소 농도 분포를 용이하게 제어하여 고품질의 반도체 장치(디바이스)를 제조할 수가 있다.

Claims (21)

  1. 기판 상에 금속 원자와 실리콘 원자를 포함한 막을 성막하는 성막 공정; 및
    상기 막에 질화 처리를 실시하는 질화 처리 공정
    을 포함하며,
    상기 성막 공정에서는 적어도 2 단계로 실리콘 농도를 변화시켜 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 성막 공정에서는 심도 방향으로 실리콘 농도가 다른 막을 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 성막 공정에서는 실리콘 농도가 서로 다른 2층 이상의 층으로 구성되는 막을 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 성막 공정에서는, 상기 막의 표면측의 부분이 상기 기판측보다 실리콘 농도가 커지도록 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 성막 공정에서는, 상기 막의 표면측이 실리콘 리치(rich)가 되도록, 상기 기판측이 금속 리치가 되도록 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 성막 공정에서는, 금속 원자를 포함한 제1 원료와 실리콘 원자를 포함한 제2 원료를 이용해 각 원료를 기판에 간헐적으로 공급함과 동시에, 각 원료의 공급 유량 또는 공급 시간을 각각 변화시켜 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 금속 원자는 하프늄이고, 상기 막은 하프늄 실리케이트막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 질화 처리 공정은, 상기 성막 공정을 실시하는 반응실과 동일한 반응 실내에서 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 기판 상에 금속 원자와 실리콘 원자를 포함한 막을 성막하는 성막 공정; 및
    상기 막 내에 질소를 도입하는 질소 도입 공정
    을 포함하며,
    상기 질소 도입 공정에서 막 내에 도입되는 질소의 농도를, 상기 성막 공정에서 형성한 막 내의 실리콘 농도에 의해 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 기판을 반응실에 반입하는 반입 공정;
    복수 종류의 액체 원료를 조제하여 구성되는 제1 원료를 기화한 제1 원료 가스와, 복수 종류의 액체 원료를 상기 제1 원료와는 다른 혼합비로 조제하여 구성되거나 1 종류의 액체 원료로 구성되는 제2 원료를 기화한 제2 원료 가스를 기판에 공급하여 기판을 처리하는 기판 처리 공정; 및
    처리 후의 기판을 상기 반응실로부터 반출하는 반출 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  11. 제10항에 있어서,
    상기 기판 처리 공정에서는, 상기 제1 원료 또는/및 상기 제2 원료의 공급 유량을 각각 변화시키는 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 제10항에 있어서,
    상기 기판 처리 공정에서는, 상기 제1 원료 가스와 상기 제2 원료 가스를 기 판에 동시에 공급하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  13. 제10항에 있어서,
    상기 기판 처리 공정에서는, 상기 제1 원료 가스와 상기 제2 원료 가스를 기판에 교대로 공급하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  14. 제10항에 있어서,
    상기 기판 처리 공정에서는, 상기 제1 원료 가스와 상기 제2 원료 가스의 공급과 이들 원료 가스와는 다른 제3 원료 가스의 공급을, 교대로 적어도 1회 이상 실시하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  15. 제10항에 있어서,
    상기 기판 처리 공정에서는, 상기 제1 원료 가스의 공급과 상기 제2 원료 가스의 공급 사이에 그들 원료 가스와는 다른 제3 원료 가스의 공급을 두어, 교대로 적어도 1회 이상 실시하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  16. 제10항에 있어서,
    상기 제1 원료를 구성하는 복수 종류의 액체 원료는 Hf액체 원료와 Si액체 원료이고, 상기 제 2 원료를 구성하는 1 종류의 액체 원료는 Hf액체 원료 또는 Si액체 원료이고, 상기 기판 처리 공정은 Hf 실리케이트막을 성막하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  17. 제16항에 있어서,
    상기 제1 원료의 Si 액체 원료와 Hf 액체 원료의 혼합비(Si 액체 원료/Hf 액체 원료)를 100~1000으로 하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  18. 제16항에 있어서,
    상기 기판 처리 공정에서는, 상기 제1 원료 또는/및 상기 제2 원료의 공급 유량을 각각 변화시키는 것으로, 상기 기판 상에 형성되는 Hf 실리케이트막의 조성비 Hf/(Hf+Si)를 심도 방향으로 0.1~1.0의 범위에서 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  19. 제18항에 있어서,
    상기 기판 처리 공정에서 형성한 Hf 실리케이트막을 질화 처리하는 질화 처리 공정을 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  20. 기판을 처리하는 반응실;
    복수 종류의 액체 원료를 각각 수용하는 복수의 탱크;
    복수 종류의 액체 원료를 조제하는 조제 장치;
    복수 종류의 액체 원료를 조제 장치에 의해 조제하여 구성되는 제1 원료를 수용하는 제1 탱크;
    복수 종류의 액체 원료를 조제 장치에 의해 상기 제1 원료와는 다른 혼합비로 조제하여 구성되거나 또는 1 종류의 액체 원료로 구성되는 제2 원료를 수용하는 제2 탱크;
    상기 제1 원료의 액체 유량을 제어하는 제1 액체 유량 제어장치;
    상기 제2 원료의 액체 유량을 제어하는 제2 액체 유량 제어장치;
    유량 제어된 제1 원료를 기화하는 제1 기화기;
    유량 제어된 제2 원료를 기화하는 제2 기화기; 및
    기화에 의해 얻은 제1 원료 가스와 제2 원료 가스를 반응실에 공급하는 공급구
    를 포함하는 것을 특징으로 하는 기판 처리 장치.
  21. 제20항에 있어서,
    상기 기판 처리 공정 중에, 상기 제1 액체 유량 제어장치 또는/및 상기 제2 액체 유량 제어장치의 설정값을 변화시키도록 제어하는 제어장치를 포함하는 것을 특징으로 하는 기판 처리 장치.
KR1020067007137A 2004-01-21 2005-01-21 반도체 장치의 제조 방법 및 기판 처리 장치 KR100848226B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004012885 2004-01-21
JPJP-P-2004-00012885 2004-01-21

Publications (2)

Publication Number Publication Date
KR20060095866A KR20060095866A (ko) 2006-09-04
KR100848226B1 true KR100848226B1 (ko) 2008-07-24

Family

ID=34805362

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067007137A KR100848226B1 (ko) 2004-01-21 2005-01-21 반도체 장치의 제조 방법 및 기판 처리 장치

Country Status (5)

Country Link
US (1) US7531467B2 (ko)
JP (1) JPWO2005071723A1 (ko)
KR (1) KR100848226B1 (ko)
CN (1) CN100447962C (ko)
WO (1) WO2005071723A1 (ko)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080145533A1 (en) * 2006-11-29 2008-06-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
JP4946718B2 (ja) * 2007-08-11 2012-06-06 東京エレクトロン株式会社 バッファタンク、原料ガスの供給システム及びこれを用いた成膜装置
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9456925B2 (en) * 2007-09-06 2016-10-04 Alcon Lensx, Inc. Photodisruptive laser treatment of the crystalline lens
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5801632B2 (ja) * 2011-07-15 2015-10-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103090190B (zh) * 2013-01-31 2014-06-25 北京七星华创电子股份有限公司 一种化学液分配系统
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11162174B2 (en) * 2018-09-20 2021-11-02 Taiwan Semiconductor Manufacturing Co, Ltd. Liquid delivery and vaporization apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR20040076798A (ko) * 2003-02-26 2004-09-03 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10242461A (ja) * 1997-02-27 1998-09-11 Sony Corp 半導体装置およびその製造方法
US5958508A (en) * 1997-03-31 1999-09-28 Motorlola, Inc. Process for forming a semiconductor device
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
DE10296448T5 (de) * 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US7164169B2 (en) 2001-08-23 2007-01-16 Nec Corporation Semiconductor device having high-permittivity insulation film and production method therefor
JP2003258242A (ja) * 2002-03-07 2003-09-12 Fujitsu Ltd 半導体装置およびその製造方法
JP3975797B2 (ja) 2002-03-25 2007-09-12 株式会社ジェイテクト 半導体レーザ光集光装置
JP2004006699A (ja) 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
JP3974547B2 (ja) * 2003-03-31 2007-09-12 株式会社東芝 半導体装置および半導体装置の製造方法
JP2005045166A (ja) * 2003-07-25 2005-02-17 Toshiba Corp 半導体装置及びその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR20040076798A (ko) * 2003-02-26 2004-09-03 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법

Also Published As

Publication number Publication date
KR20060095866A (ko) 2006-09-04
JPWO2005071723A1 (ja) 2007-09-06
US20070042581A1 (en) 2007-02-22
CN1879203A (zh) 2006-12-13
US7531467B2 (en) 2009-05-12
WO2005071723A1 (ja) 2005-08-04
CN100447962C (zh) 2008-12-31

Similar Documents

Publication Publication Date Title
KR100848226B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
US7569284B2 (en) Incorporation of nitrogen into high k dielectric film
US7795160B2 (en) ALD of metal silicate films
US7655567B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
JP5048476B2 (ja) 絶縁膜または金属膜を形成する方法
KR101202299B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US20040203232A1 (en) Methods for treating pluralities of discrete semiconductor substrates
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
JP2005534181A (ja) 超高品質シリコン含有化合物層の形成方法
WO2005096362A1 (ja) 金属シリケート膜の成膜方法および装置、並びに半導体装置の製造方法
JP4356943B2 (ja) 基板処理装置及び半導体装置の製造方法
TWI671422B (zh) 薄膜之形成方法
KR102027360B1 (ko) 복합막을 위한 나노층 퇴적 공정
JP3718297B2 (ja) 薄膜作製方法および薄膜作製装置
TWI235422B (en) Manufacturing method for semiconductor device
US20080050928A1 (en) Semiconductor constructions, and methods of forming dielectric materials
JP2006093240A (ja) 成膜方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140626

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 11