JP2005534181A - 超高品質シリコン含有化合物層の形成方法 - Google Patents

超高品質シリコン含有化合物層の形成方法 Download PDF

Info

Publication number
JP2005534181A
JP2005534181A JP2004523521A JP2004523521A JP2005534181A JP 2005534181 A JP2005534181 A JP 2005534181A JP 2004523521 A JP2004523521 A JP 2004523521A JP 2004523521 A JP2004523521 A JP 2004523521A JP 2005534181 A JP2005534181 A JP 2005534181A
Authority
JP
Japan
Prior art keywords
silicon
layer
substrate
thickness
containing compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004523521A
Other languages
English (en)
Other versions
JP5005170B2 (ja
JP2005534181A5 (ja
Inventor
マイケル エー. トッド
キース デー. ウィークス
クリスチャン ジェイ. ワークホベン
クリストフ エフ. ポマレード
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2005534181A publication Critical patent/JP2005534181A/ja
Publication of JP2005534181A5 publication Critical patent/JP2005534181A5/ja
Application granted granted Critical
Publication of JP5005170B2 publication Critical patent/JP5005170B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

シリコンナイトライド層を含む、超高品質シリコン含有化合物層を形成するため、複数の順次的なステップ140が、反応チャンバー中で実施される。好ましい実施態様において、シリコン前駆物質としてトリシランを用いて、シリコン層が基板上に堆積100される。シリコン前駆物質は、反応チャンバーから除去される110。その後、シリコンナイトライド層が、シリコン層を窒化すること120によって形成される。窒素反応物質は、反応チャンバーから除去される110。これらのステップ100、110、120及び130を繰り返すことによって、所望の厚さのシリコンナイトライド層が形成される。
【式1】

Description

本発明は、主に集積回路製造の間にシリコン含有層を形成すること、ならびに、より詳しくは、均一なシリコン化合物層の形成方法に関する。
マイクロ電子デバイスの寸法が小さくなるにつれ、厚さの均一性、組成及びカバレージを含む、堆積された層の物理的特性が、より重要になってきている。これは、特に、集積回路の導体素子を分離するための誘電体(dielectrics)又は絶縁体として作用し得る、シリコン化合物の層又は膜にあてはまる。例えば、シリコンナイトライド材料は、トランジスタゲート誘電体(gate dielectrics)、金属レベル(metal level)間の絶縁体、酸化及び他の拡散防止用バリア、ハードマスク(hard mask)、パシベーション層(passivation layer)、トランジスタにおけるスペーサー材料、反射防止コーティング材料、不揮発性メモリにおける層等として、半導体産業において、広く使用されている。シリコンオキサイド及びシリコンカーバイド材料は、集積回路製造において、同様に普及している。
現在、化学気相成長法(CVD)は、基板上に薄層を堆積させるために最も一般的に使用されるプロセスである。このプロセスにより、最終的に堆積層を形成する分子又は原子の前駆物質(precursor)は、分子前駆物質として反応チャンバー内に同時に供給される。基板は、所望の原子又は分子種の層が基板上に堆積するように、分子前駆物質間の化学反応を促進するために最適な温度に維持される。従来のCVDによるシリコン含有薄層の形成のための最も一般的な分子前駆物質は、シランである。
CVDは、比較的均一な厚さで層を堆積するという優れた能力を有することを示した。加えて、それは、比較的コンフォーマル層(conformal layer)、すなわち、堆積される表面の形状を厳密に複製する層を生み出す。しかしながら、デバイス密度が増加し続け、幾何学的形状(geometries)がより複雑になり続けるにつれ、堆積プロセスは、さらに、なお均一かつコンフォーマル層の必要性を満たすために改良されてきた。
これらの理由のため、原子層堆積(ALD)は、半導体製造において、より重要になってきた。ALDは、主として、各サイクルが薄層を堆積する、多重堆積サイクル(multiple deposision cycle)を包含する。ALDは、各サイクルの間、単一のモノレイヤー(monolayer)以下を堆積することによって、完全にコンフォーマルかつ均一な層を堆積することを追求する。一般的に、これは、自己終結前駆物質分子の使用ならびに前駆物質の凝縮(condensation)及び熱分解を抑制するために条件を最適化することによって達成される。例えば、チタン化合物の層を堆積するため、TiCl等のチタン前駆物質分子が使用され得る。TiClにより、基板の表面にチタン原子が付着する一方、塩素原子は、基板表面の反対側のチタン原子側上の吸着層(adsorbed layer)を終結する(terminate)。その結果、基板表面が、ひとたびチタン分子のモノレイヤーによって被覆されれば、チタン層の表面(top of titaium layer)は、比較的不活性で、自己終結するための吸着プロセスを生じる塩素原子を含むことになる。
CVDに比べ、化合物層、すなわち2以上の元素(element)を含む層を生じるために使用されるALD分子前駆物質は、一般的に、別々のパルスにおいてALD反応器中に導入される。例えば、最初の前駆物質は、さらなる吸着を抑制する吸着された種のリガンドと共に、自己制限的に(self−limitingly)最初のパルスにおいて基板上に吸着する。前駆物質の導入の間、反応チャンバーは、異なる前駆物質間の気相反応を抑制するために、排気又は不活性ガスでパージされる(purged)。第1前駆物質のパージの後、第2前駆物質が、(例えば、リガンドを奪うか又はリガンドを置換するため)第1前駆物質の導入によって堆積された層と反応させるために、反応チャンバー中に導入され得る。この方法において、1つのサイクルが完了し、1つの薄い化合物層が基板上に堆積される。第2前駆物質との反応の後、第2前駆物質(及びあらゆる副生成物)は、排気又は不活性ガスパージによって除去され得る。これらの前駆物質に加え、他の反応物質も、各サイクルの間、反応チャンバー中に送り込まれ(pulse into)得る。サイクルは、その後、所望の厚さの化合物層に達するまで、繰り返され得る。
ALDがCVDに比べて優れたコンフォーミティ(coformality)及び均一性を付与する一方、ALDは、速度に関しては比較的不十分である。なぜなら、所望の厚さの層は、理論上は、一度に(実際には、立体障害の結果としての反応部位のブロックにより、1分子未満のモノレイヤーが一般的である)1分子のモノレイヤーを形成しなくてはならず、また、各モノレイヤーを形成するために、多数のステップを採用しなくてはならないことから、ALDは、CVDが行うよりもより遅く、既定の厚さを有する層を形成する。その結果、コンフォーミティ及び均一性が増加する一方、ALDは、CVDと比較して処理量の減少という欠点を有する。
それにもかかわらず、高いコンフォーミティ及び均一性は、重要事項であり、これは現在、半導体製造が、何千、何百万のデバイスを同時に直径200ミリメートル(mm)の基板上に製造するプロセスの間、シリコン含有化合物膜を堆積することを包含するからである。さらに、この産業が300mmウェハに移行し(transitioning)、そして、将来的にはさらに大きなウェハを使用し得る。加えて、さらに大きな基板は、フラットパネルディスプレイ等の形態で、ますます一般的になってきている。製造プロセス中のシリコン含有化合物膜の厚さ及び/又は組成における顕著な変化は、影響を受けたデバイスが要求される性能仕様を満たさない場合、より低い生産収率を導く可能性がある。同様に、特定のデバイス内のフィルムにわたる変化は、デバイス性能及び/又は信頼性を減少する可能性がある。従って、多数の回路上のマイクロ電子デバイスの製造に適合するために基板サイズが増加するにつれ、従来のCVDプロセスの欠点によって生み出される問題も増大する。
その結果、高い処理能力、マイクロ電子デバイスにおける回路のサイズを減少すること及び基板の表面積を増加することに対する絶え間ない必要性のため、高い処理能力をも可能にする一方、シリコン化合物のより均一かつコンフォーマル層を形成する方法が、引き続き必要とされている。
本発明の1つの側面によれば、集積回路におけるシリコン含有化合物層を形成するための複数のサイクルを含む方法が提供される。各サイクルは、トリシランに基板を暴露することによってプロセスチャンバーにおいて基板上にシリコン層を堆積することを含む。トリシランは、その後、プロセスチャンバーから実質的に除去される。該シリコン層は、シリコン含有化合物層を形成するために、反応種に暴露される。該反応種は、実質的にプロセスチャンバーから除去される。
本発明の他の側面によれば、絶縁フィルムの形成するための方法が提供される。この方法は、反応チャンバー内に基板を装填(loading)することを含む。シリコンフィルムは、基板をシリコン供給源に暴露することによって形成される。基板を装填した後、第1のシリコン膜を基板上に形成するためのシリコン供給源は、ポリシランである。該シリコン供給源は、その後、実質的に反応チャンバーから除去される。該シリコン膜は、シリコンナイトライド膜を形成するために窒素源に暴露され、その後、該窒素源は、実質的に反応チャンバーから除去される。
さらに、本発明の他の側面によれば、集積回路用の、絶縁シリコン化合物の層を形成するための方法が提供される。該層は、所望の厚さを有し、反応チャンバー内で複数の化学気相成長サイクルを行うことによって形成される。各サイクルは、まず、シリコン供給源に基板を暴露することによって、基板上にシリコン層を堆積することを含む。この堆積されたシリコン層は、およそ3Å〜25Åの厚さのシリコン層を有する。次に、該シリコン層は、部分的に絶縁シリコン化合物の層を形成するために反応される。該シリコン層を反応させるためのプロセス温度は、およそ650℃未満である。
本発明の他の側面によれば、シリコンナイトライド層を基板上に形成するためのプロセス(process)を提供する。該プロセスは、結晶シリコン表面を有する基板を、単一基板層流反応チャンバー(single substrate laminar flow reaction chamber)内に装填することを含む。シリコン層は、その後、ポリシランを含むシリコン供給源を分解することによって、結晶シリコン表面上に形成される。
シリコン層の形成後、窒素供給源をプロセスチャンバー内に流入させることによって、該シリコン層は、窒化されてシリコンナイトライド層を形成する。その後、シリコン層の形成及びシリコン層の窒化を、結果として、約3Å〜1000Åの厚さのシリコンナイトライド層になるまで、繰り返す。
さらに本発明の他の側面によれば、シリコンナイトライド膜を形成するための方法を提供する。該方法は、単一基板層流反応チャンバー内に基板を装填し、該基板上にシリコン層を化学気相成長することを含む。堆積されたシリコン層は、およそ5%以下の厚さ不均一性を有し、基板全体を覆う(over)シリコン層の上面の高さが、およその窒化飽和深度(nitridation saturation depth)よりも大きい。その後、該シリコン層は、窒化される。
本発明の他の側面によれば、集積回路が提供される。該集積回路は、基板を覆うシリコン化合物の絶縁層を含む。該絶縁層は、およそ10パーセント以下の厚さ不均一性及びおよそ2原子パーセント未満の水素濃度を有する。
好ましい実施形態の詳細な説明
好ましい反応器
好ましい実施態様は、単一基板、水平流コールドウォール反応器(horizontal flow cold−wall reactor)のコンテクストにおいて示されるが、本発明の特定の側面は、当該技術分野において公知の様々なタイプの反応器への適用を有し、本発明はそのような反応器に限定されないことが理解される。例えば、バッチリアクター(batch reactors)が使用され得、複数のウェハを同時に処理する能力によって、向上した処理能力を有利に可能なものとする。好適なバッチリアクターは、オランダのASM International,N.V.から、商品名A412TMの下で商業的に入手できる。
それにもかかわらず、単一基板、水平流コールドウォール反応器の使用は、特に好都合である。例えば、示されるシングルパス水平流(single−pass horizontal flow)デザインは、反応物質どうし及びチャンバー表面との相互作用を最小限に抑える一方、特に、下記の循環する堆積プロセスにおいて、順に、迅速で順次的な処理を容易にする、低い滞留時間の、反応物質ガスの層流を可能にする。そのような層流は、互いに反応し得る反応物質を順次的に流入することを可能にする。回避される反応は、酸素及び水素含有反応物質によって生じるような、高い発熱性及び爆発性の反応、ならびにチャンバーの粒子汚染を生じる反応を含む。しかしながら、当業者は、特定の順次的プロセスに対して、他の反応器デザインもこれらの結果を達成するために提供され得、不適合な反応物質を除去することを可能にするのに十分なパージ又は排気時間が提供されることを認識するであろう。
図1は、好ましい実施態様によって構築された、クォーツプロセス(quartz process)又は反応チャンバー12を含む、化学気相成長法(CVD)反応器10を示し、ここに開示される方法は、それに対して特有の実用性を有する。反応器10の優れた処理コントロールは、多数の異なる材料のCDVにおける有用性を有し、同じチャンバー12において順次的に複数の処理ステップを安全かつクリーンに達成し得る。反応器10の基本的な構成は、アリゾナ州フェニックスのASM America Inc.から商品名EpsilonTMの下で商業的に入手することができる。
複数の放射熱源は、相当な量の吸収を伴うことなく、チャンバー12において熱エネルギーを提供するために、チャンバー12の外側で、クオーツチャンバー(quartz chamber)12ウォールによって支持される。図示される放射熱源は、伸長された管状の放射熱エレメント13(radiant heating element 13)の上部加熱アセンブリ(upper heating assembly)を備える。上部加熱エレメント13は、好ましくは、空間を空けて平行関係に配置され、また、実質的に下にある反応チャンバー12を通る反応物質ガス流路(reactant gas flow path)とも平行に配置される。下部加熱アセンブリ(lower heating assembly)は、反応チャンバー12の下の同様に伸長された管状放射熱エレンメント14を含み、好ましくは、上部加熱エレメント13を横断して位置される。望ましくは、放射熱の一部は、それぞれ上部及び下部ランプ13及び14の上及び下の粗い鏡面リフレクタプレートによって、チャンバー12中に広く反射される。加えて、複数のスポットランプ15は、反応チャンバー12の底部を通じるコールドサポート構造によって生み出されるヒートシンク効果を妨げるために、基板支持構造(後述)の底面に対して濃縮された熱(concetrrated heat)を供給する。
各伸長された管状加熱エレメント13、14は、好ましくは、ヨウ素等のハロゲンガスを含む透明なクォーツエンベロープ(quartz envelope)を有する高輝度タングステンフィラメントランプである。そのようなランプは、相当量の吸収を伴うことなく、反応チャンバー12の壁を通して伝えられる広範囲の放射熱エネルギーを生じる。半導体処理装置の技術分野において公知であるように、様々なランプ13、14、15のパワーは、独立に又はグループ化した領域において、温度センサーに応じて制御され得る。しかしながら、当業者は、ここに記載されるプロセスの原理及び利点が、他の加熱及び温度制御システムによって達成され得ることを理解するであろう。
基板は(好ましくは、シリコンウェハ16を含む)、基板支持構造18上の反応チャンバー12内で支持されることが示される。ここで留意すべきは、図に示される実施態様の基板は単結晶シリコンウェハであるが、用語“基板”は、層が堆積されるあらゆる表面を広く言及するものと理解されるであろう。さらに、薄い、均一な層は、しばしば、ガラス又は他の基板上の光学的な(optical)薄膜の堆積を含む(ただし、これに限定されない)他の基板について要求される。
図に示された支持構造18は、ウェハ16が置かれ、支持スパイダー22によって順に支持される、基板ホルダー20を含む。スパイダー22は、チャンバーの下部壁に垂れ下がるチューブ26の下方に延びるシャフト24に取り付けられる。好ましくは、チューブ26は、処理の間に流れ得るパージ又はスウィープ(sweep)ガスを供給源に通じ、プロセスガス(process gas)がチャンバー12の下部セクションへと逃げていくのを防ぐ。
複数の温度センサーは、ウェハ16に接近して位置する。温度センサーは、光高温計又はサーモカップル等の、あらゆる様々な形態を取ることができる。温度センサーの数及び位置は、以下に記載される好ましい温度コントローラーを考慮して理解されるように、温度均一性を促進するために選択される。図に示される反応(reaction)10において、温度センサーは、直接又は間接に、ウェハに近接する位置の温度を感知する。
示される実施態様において、温度センサーは、あらゆる好適な様式でウェハホルダー20の下に吊された、第1又は中心サーモカップル28を含む、サーモカップルを備える。示された中心サーモカップル28は、ウェハホルダー20に近接するスパイダー22を通る。さらに、反応器10は、同様にウェハ16に近接する複数の第2又は周辺(peripheral)サーモカップルを含み、前縁(leading edge)又は前方(front)サーモカップル29、後縁又は後方サーモカップル30及び側方サーモカップル(示さない)を含む。各周辺サーモカップルは、基板ホルダー20及びウェハ16を取り囲む、スリップリング(slip ring)32内に納められる。各中心及び周辺サーモカップルは、様々な加熱エレメント13、14、15のパワーを、サーモカップルの読みに応じて設定する、温度コントローラーに接続される。
周辺サーモカップルを納めることに加え、スリップリング32は、ウェハエッジでのより大きな熱の損失又は吸収への傾向(そのようなエッジの近くの領域における容積に対する表面積のより大きな比によって生じることが知られている現象)を補償するように、高温加工中の放射熱を吸収及び放射する。エッジ損失を最小化することによって、スリップリング32は、ウェハ16を横断する放射熱不均一性のリスクを減少し得る。スリップリング32は、あらゆる好適な手段で吊され得る。例えば、図に示されるスリップリング32は、前方チャンバーディバイダ(front chamber divider)36及び後方チャンバーディバイダ(rear chamber divider)38に従属するエルボー(elbow)34上に位置する。ディバイダ36、38は、望ましくはクウォーツで形成される。いくつかのアレンジメントにおいて、後方ディバイダ38は省略され得る。
図に示された反応チャンバー12は、反応物質及びキャリアガスの注入用のインレットポート(inlet port)40を含み、また、ウェハ16もそれを通して受け取られ得る。アウトレットポート(outlet port)42は、インレット40及びアウトレット42間に位置されるウェハ支持構造18と共に、チャンバー12の反対側に存在する。
インレットコンポーネント50は、反応チャンバー12にフィットされ、インレットポート40を囲むように適応され、そしてウェハ16が挿入され得る、水平に伸長されたスロット52を含む。一般的に、垂直インレット54は、図2に関してより完全に記載される、離れた供給源からガスを受け取り、そのようなガスをスロット52及びインレットポート40に通じる。インレット54は、U.S.Patent No.5,221,556(Hawkins et al.により発行)又は1996年4月25日に出願された、U.S.Patent Application No.08/637,616の図21〜26に関して記載され(これらの開示がここに参考として援用される)、ガスインジェクターを含み得る。そのようなインジェクターは、単一ウェハ反応器に対するガスフローの均一性を最大にするようにデザインされる。
同様に、アウトレットコンポーネント56は、排気口58がアウトレットポート42と整列され、排気導管59に導くように、プロセスチャンバー12に取り付ける。チャンバー12を通してプロセスガスを取り出すために、導管59を、今度は、好適な減圧手段(記載せず)に通じることができる。好ましい実施態様において、プロセスガスは、反応チャンバー12及び下流スクラバー(downstream scrubber)88を通して取り出される(図2)。ポンプ又はファンが、好ましくは、チャンバー12を通るプロセスガスの取り出しにおいて補助するため及び低圧加工用のチャンバーを排気するために含まれる。
また、好ましい反応器10は、好ましくは、チャンバー10から上流に位置された励起種の供給源60も含む。図示された実施態様の励起種供給源60は、ガスライン62に沿うマグネトロン発電機及びアプリケーターを含む、リモートプラズマ発生器(remote plasma generator)を含む。典型的なリモートプラズマ発生器は、ドイツ、ミュンヘンのRapid Reactive Radicals Technology(R3T)GmbHから商品名TRW−850の下で商業的に入手可能である。図に示される実施態様において、マグネトロンからのマイクロ波エネルギーは、ガスライン62に沿ったアプリケーターにおいて流れるガスに連結される(coupled)。前駆体ガスの供給源63は、励起種発生器60に導入するために、ガスライン62に連結される。図に示される実施態様は、前駆物質ガスとしてNを採用する。キャリアガス64の別々の供給源も、ガスライン62に連結され得、実施態様においては窒素源として窒素ガスを採用しているが、別々のキャリアガスは省略され得る。1以上のさらなる分岐ライン65も、追加の反応物質用に提供され得る。各ガスラインは、発生器60に導入され、そこから反応チャンバー12へと導入されるキャリア及び反応種の相対量の選択を可能にするため、示されるように、別々のマスフローコントローラ(MFC)及びバルブを備え得る。
ウェハを、好ましくは、周囲の環境から隔離されたハンドリングチャンバー(handling chamber)(示さず)から、ピックアップデバイスによってスロット52を通す。ハンドリングチャンバー及びプロセスチャンバー12は、好ましくは、垂直アクチュエータを有するスリットバルブ又はU.S.Patent No.4,828,224に開示されるタイプのバルブ等のゲートバルブ(記載していない)によって分離される。
200mmのウェハを加工するためにデザインされた単一ウェハプロセスチャンバー12のトータル容積キャパシティは、例えば、好ましくは、およそ30リットル未満、より好ましくはおよそ20リットル未満、さらに好ましくはおよそ10未満である。図に示されるチャンバー12は、およそ7.5リットルの最大容積(capacity)を有する。しかしながら、図に示されるチャンバー12は、ディバイダ32、38、ウェハホルダー20、リング32及びチューブ26から流れるパージガスによって分割されるため、それを通るプロセスガスの有効容積は、総容積のおよそ半分である(図に示される実施態様においておよそ3.77リットル)。もちろん、チャンバー12が適合するようにデザインされたウェハのサイズによって、単一ウェハプロセスチャンバー12の容積は異なると理解される。例えば、図に示されたタイプの単一ウェハプロセスチャンバー12は、300mmウェハ用であるが、好ましくはおよそ100リットル未満、より好ましくはおよそ60リットル未満、さらに好ましくはおよそ30リットル未満の最大容積を有する。1つの300mmウェハプロセスチャンバーは、およそ24リットルの総容積を有し、およそ11.83リットルの有効加工ガス最大容積を有する。そのようなチャンバーの比較的小さな容積は、望ましくは、下記の循環するプロセスの相(phases)間の迅速なチャンバーの排気又はパージを可能にする。
図2は、好ましい実施態様によるガスラインの概略図を示す。反応器10には、好ましいシリコン供給源ガス又は前駆物質として、トリシランの液体反応物質供給源74が提供される。液相反応物質74を泡立たせるため及び気相反応物質をバブラー(bubbler)から反応チャンバー12へ運ぶための、ガス(好ましくはH)を含む不活性ガス供給源75も、示される。バブラーは、シリコン供給源として液体トリシラン74を保持する一方、ガスラインは、液体シリコン供給源を通して不活性ガスを泡立たせ、前駆物質を気体の形態で反応チャンバー12へと輸送する。
同様に図2に示されるように、反応器10は、さらに、水素ガス(H)の供給源72を含む。当該技術分野において周知の通り、水素は、その低い沸点によってきわめて高い純度で提供され得ることから、水素は有用なキャリアガス及びパージガスであり、シリコン堆積に適している。
また、好ましい反応器10も、窒素ガス(N)の供給源73を含む。当該技術分野において周知の通り、Nは、しばしば、半導体製造において、Hのかわりにキャリア又はパージガスとして採用される。窒素ガスは比較的不活性であり、多くの集積材料(integrated materials)及びプロセスフローに適している。他の可能性のあるキャリアガスは、ヘリウム(He)又はアルゴン(Ar)等の、希ガスを含む。
加えて、2原子窒素(N)等の、他の窒素供給源63は、チャンバー12中における堆積されたシリコン層との反応のための活性種を提供するために、リモートプラズマ発生器60に、提供され得る。追加として又はそのかわりに、アンモニア(NH)供給源84が、熱窒化のための揮発性窒素供給源としてはたらくために、提供され得る。さらに、当該技術分野において公知の通り、他のあらゆる好適な窒素供給源を採用することができ、直接又はリモートプラズマ発生器60を通して、チャンバー12中に流入させることが可能である。他のアレンジメントにおいて、ガス供給源63は、シリコン含有化合物層を形成するため、他の反応物質ラジカルの供給源(例えば、O、C、Ge、金属等)を含むことができる。
また、反応器10にも、酸化剤又はオキシダントの供給源70を備え得る。オキシダント供給源70は、あらゆる数々の公知のオキシダント、特に、O、NO、HO、NO、HCOOH、HClO等の揮発性オキシダントを含み得る。
望ましくは、反応器10は、ドーパント供給源(例えば、図に示されるホスフィン76、アルシン78及びジボラン80供給源)、ならびに反応器の壁及び他の内部構成部品をクリーニングするためのエッチャント(例えば、励起種発生器60を通して提供されるHCl供給源82又はNF/Cl(記載していない))等の他の供給源ガスをも含む。下記に議論されるように、ポリシランを用いて最初のシリコン層が堆積された後のシリコン層の堆積のため、シランの供給源86も同様に提供され得る。
各ガス供給源は、ガスパネルにて調整されたマスフローコントローラ(“MFCs”)と同様に、安全及び制御バルブ(attendant safety and control valves)を有するガスラインを介して、インレット54(図1)に接続され得る。プロセスガスは、中央コントローラー中にプログラムされ、インジェクターを通してプロセスチャンバー12に分布された方向に従って、インレット54(図1)に通じる。プロセスチャンバー12を通った後、未反応プロセスガス及び気体の反応副生成物は、大気中に排気する前に、環境的に危険な蒸気を濃縮するために、スクラバー88に排気される。
上述の通り、従来のガス供給源に加え、好ましい反応器10は、反応チャンバー12から離れて又はその上流に位置される、励起種供給源60を含む。図に示される供給源60は、ガスが反応物質供給源63由来の反応前駆物質(reactant precursors)を取り込む(include)、アプリケーター中に流入するガスにマイクロ波エネルギーを結合する(couple)。プラズマはアプリケーター内で発火し、励起種は、チャンバー12へと運ばれる。好ましくは、供給源60によって生じた励起種の過剰に反応性のイオン種は、実質的に、チャンバー12に入る前に、再結合する。一方、Nラジカルは、チャンバー12に入るように生存することが可能であり、適当なように反応する。
加えて、プラズマを、反応チャンバーにおいて、in situで発生させることができる。しかしながら、そのようなin situプラズマは、一部の堆積層に損傷、均一性及び粗度の問題の原因となる可能性がある。その結果、プラズマが使用されるところでは、一般的に、遠く離れて発生されたプラズマが好ましい。
トリシラン
マイクロ電子デバイスの製造は、シリコン含有化合物膜を堆積するために、CVDプロセスにおいてシラン(SiH)を長く使用してきた。それにもかかわらず、以下に議論されるように、多数の欠点を有することが見出された。
製造の文脈において、十分に制御されかつ再現可能な堆積プロセスは、デバイス収率および生産速度を最大化するために高度に所望されることが理解される。さらに、一般的に、堆積膜は、厚みおよび元素組成の両方においてできるだけ均一であることが望ましい。しかし、シランを使用する既存のプロセスは、シランの制限に起因して、種々の程度に非均一である膜を製造する傾向がある。
劇的な温度バリエーションは、加熱および温度制御システムにおける制限に起因して、CVDによって基板表面に堆積される膜の非均一性において重要な役割を果たす。基板の表面温度は、得られた膜の堆積速度および組成に影響することが見出された。従って、基板の表面全体にわたる温度バリエーションは、堆積速度におけるバリエーションを引き起こし、これは、次いで、堆積した膜における厚みのバリエーションを引き起こし得る。
これらの温度バリエーションは、いくつかの因子に起因し得る。例えば、温度は、堆積が進行するにつれて変化し得る。なぜなら、温度制御は、しばしば、基板の暴露された表面に依存するからである。また、単一のウェハ、水平ガスフローリアクター中のSiCコーティング黒鉛成分(例えば、予め加熱したリングおよびサセプタ(susceptor))の温度バリエーションは、温度および膜の非均一性に貢献し得る。さらに、他のプロセスパラメーターの不完全な制御(ガスフロー速度および全圧を含む)はまた、膜の物理的特性における非均一性に貢献すると考えられる。
これらのプロセスにおけるバリエーションは変化し得るので、基板上の位置の関数として、任意の特定の瞬間に堆積速度が変化し、基板の表面全体にわたって堆積する膜における厚みのバリエーションを得る。同様に、時間において任意の特定の瞬間に堆積する膜の組成は、多成分膜についての基板の表面全体にわたって場所毎に変化する。理論に制限されることなく、このようなバリエーションは、多成分膜の元素成分の各々を導入するために使用される前駆体間に存在する解離吸収活性化エネルギー(dissociative absorption activation energy)における差異の直接的な結果であり得る。すなわち、化合物を形成する際に使用される異なる前駆体は、異なる速度で堆積し得、そして、温度バリエーションに対して異なる感受性を有する堆積速度を有し得る。結果として、以下に議論される平均化(averaging−out)/調整(tuning)アプローチは、組成の非均一性の問題を必ずしも解決しない。
厚みおよび組成の非均一性に関する問題は、いくつかの実用的な問題を引き起こし得る。製造プロセスの間のシリコン含有化合物膜の厚みおよび/または組成における有意なバリエーションは、影響されるデバイスが要求される仕様または基準を満たさない場合、製造収率の低下を導き得る。また、特定のデバイス内の膜全体にわたるバリエーションは、デバイス性能および/または信頼性を減少させ得る。多数の場合において、これらの問題は悪化する。なぜなら、製造は、直径が200ミリメートル(mm)であるウェハ上に同時に何千または何百万ものデバイスを製造するプロセスの間に、シリコン含有化合物膜を堆積することを包含するからである。工業は、現在300mmウェハに変化し、そして将来より大きいウェハでさえ使用し得る。
厚みの非均一性を和らげる1つの技術は、反応条件が実験的に調整された後、比較的厚い膜を堆積することである。このアプローチは、厚みの非均一性が、任意の特定の層の堆積時間にわたり平均化する傾向があるという事実による。加熱ランプの温度および配置、ガスフロー速度、ガス圧、ガス組成等のような変化し得るリアクタープロセス変数は、ウェハ支持体を回転させて、特に、単一のウェハシステム中において、全体の膜の厚みを平均化するために調整され得る。
調整は、各々、異なる予め選択されたセットの堆積条件下で、大多数の膜を堆積することを含む。次いで、各膜内の厚みのバリエーションは、測定され、そして厚みのバリエーションを減少または除去する条件を同定するために結果を分析する。所望でないことには、この反復プロセスを実施するために必要な時間および試験ウェハに起因して、多大な費用がかかる。
さらに、調整は、堆積プロセス中で基板全体にわたり均一な温度を生成することを必ずしも必要としない。むしろ、調整プロセスの結果は、特定の反応温度「設定ポイント」(すなわち、反応チャンバー装置が設定される基板温度)についての温度バリエーションによって生成される厚みのバリエーションを時間平均化(time−average)することである。しかし、特定の設定ポイントにおいて、基板の表面全体にわたる温度は、依然として変動し得る。
次いで、これは、組成のバリエーションの問題を提起する。なぜなら、組成の均一性は3次元で所望される(膜表面全体と膜の厚みの両方)。例えば、多数の膜は、ドーパントを含み、そしてこれらのドーパントのレベルは、膜の電子特性に影響する。非均一な温度は、膜へのドーパントまたは他の反応物の非均一な導入を生じ得る。
堆積の非均一性の問題は、非常に薄いシリコン含有化合物膜を堆積する際に、特に激しい。薄膜を製造するための能力は、回路の寸法が減縮し、得られたデバイスがよりコンパクトになるので、より重要となっている。しかし、上に記載される平均化/調整アプローチは、ますます不適切となっている。なぜなら、薄膜についての堆積プロセスが、一般的に、厚い膜についてよりも短く、膜の厚みを平均化する時間がより少ないからである。さらに、高度にコンパクトなデバイスは、組成の非均一性(平均化/リアクター調整によって適切に取り組まれていない問題)により感受性である。
変化し得るプロセス条件によって導入される複雑性に加えて、薄膜における膜均一性はまた、核形成現象によって影響される。核形成は完全に理解されていないが、シランを用いるCVDによる堆積は、多数の別々のシリコンアイランドが基板の表面に最初に形成するプロセスによって生じることが観察されている。堆積が進行するにつれて、これらのアイランドは、お互いに接触するまで成長する傾向があり、最終的に、連続的なシリコン膜を形成する。この時点において、シリコン膜は、代表的に、最初の核形成部位に対応するピークおよび核形成部位間の領域に対応する谷を有する粗い表面を有する。堆積がさらに進み、膜が厚化するにつれて、厚みの均一性は、上に記載されるのと類似のプロセスを平均化することによって増加する。
しかし、薄い連続的なシリコン含有化合物膜は、一般的に、既存のシラン堆積プロセスによって調製するのが非常に困難である。なぜなら、膜は、アイランドが成長して一緒になって連続膜を形成する前に、アイランドのピーク近くの領域で所望の厚みを達成し得るからである。これらの問題は、より薄い膜について、そしてアモルファス膜における原子の表面移動によって、悪化する。連続性の問題は、代表的に、約200Å以下の厚みを有する膜を堆積するためにシランを使用する場合に観察され、そして約100Å未満の厚みを有する膜について、より多く観察される。これらの問題はまた、膜の表面積が増加するにつれて悪化する。重大な困難性は、しばしば、約1平方ミクロン以上の表面積を有する非常に薄い膜について遭遇し、約5平方ミクロン以上の表面積を有する非常に薄い膜について、より多く遭遇する。基板の性質はまた、表面が核形成および成長に影響する程度にシラン堆積を複雑化し得る。従って、例えば、シランを使用する、パターン化された誘電性基板上での非常に薄い連続的なアモルファスシリコン含有化合物膜の堆積は、特にやりがいがある。
前述を考慮して、米国出願番号10/074,564(2002年2月11日出願)および公開されたPCT出願WO02/064,853(2002年8月2日公開)(これらの開示は、本明細書中にその全体が参考として援用される)に開示されるように、トリシラン(HSiSiHSiHまたはSi)は、シリコン供給源として使用される場合に相当な利益を提供することが発見されている。トリシランを利用する膜堆積方法は、ここで、基板の表面全体にわたる温度バリエーションにあまり感受性でないことが発見された。さらに、トリシランを用いる堆積速度は、堆積チャンバーへのトリシランのフロー速度とともに実質的に連続的に増加し、そして基板物質および厚みに対して比較的非感受性である。また、トリシランは、極端に短い膜核形成時間を有し、これは、シリコンの局在化した結晶堆積のサイズを減少させる。結果として、堆積したシリコン膜は、より薄くされ得、依然として均一である。さらに、この膜は、局在化したシリコン堆積物の減少したサイズに起因して、減少した表面の粗さを示す。
さらに、プロセス生産量(throughput)に関して、トリシランは、シランと比較してより高い堆積速度を示す。トリシランはまた、熱経費を減少させる。なぜなら、これは、シランよりも低いプロセス温度の使用を可能にするからである。
従って、本明細書中に記載される堆積方法においてトリシランを使用することは、多数の利点を提供する。例えば、これらの堆積方法は、均一に薄くそして連続的であるシラン含有化合物膜の製造を可能にする。これらの利点は、次いで、デバイスがより高い収率で製造されることを可能にし、そしてより小さい回路の寸法および/またはより高い信頼性を有する新しいデバイスの生産を可能にする。これらおよび他の利点は、以下に議論される。
プロセスフロー
以下により詳細に記載されるように、シリコン含有化合物層の形成において、薄いシリコン層は、望ましくは、シリコン前駆体、好ましくはトリシランに基板を最初に暴露することによって基板に堆積される。シリコン層は、別の前駆体と反応されてシリコン含有化合物層を形成し得る。好ましい実施形態において、他の前駆体は、窒素供給源である。窒素供給源は、シリコン層を窒化するために反応チャンバー中に導入され、シリコンナイトライドを形成する。窒化は、シリコン層中のシリコンが窒素供給源由来の窒素と反応する場合に生じて、シリコンナイトライドを生成する。窒化は、好ましくは、基板の表面のシリコン層に限定され、そして有利には、窒素供給源とのシリコン層の反応において実質的に完全な化学量論を生じる。このような完全な反応は、不純物があまり組み込まれないこと、より密度が高い膜、ならびに改善された厚み制御およびステップカバレッジを可能にする。さらに、以下に議論されるように、堆積された層は、絶縁特性を改善し、従来の絶縁薄膜よりもより厚くされ得、拡散バリアとしてこれらの堆積層の効果を増加する。
他の実施形態において、シリコン層は、窒素以外の反応物と反応されて、他のシリコン含有化合物層を形成し得る。例えば、シリコン層は、酸化されて、シリコンオキサイド層を形成し得る。このような場合において、酸素供給源は、窒素供給源の代わりに使用され得る。酸素供給源としては、例えば、原子状酸素、水、オゾン、酸素、一酸化窒素、亜酸化窒素または当該分野で公知の他のオキシダントが挙げられ得る。同様に、当該分野で公知の他の前駆体が、シリコンゲルマニウム、シリコンカーバイド、金属ケイ化物を含むがこれらに限定されないシリコン含有化合物層を形成するために使用され得る。
さらに、これらの堆積および反応の多数の連続サイクルは、シリコン含有化合物層を所望の厚みに構築するために実施され得る。有利には、本発明の方法によって形成される異なるシリコン含有化合物層は、他のものの上に(one over another)形成され得る。例えば、シリコンナイトライド膜は、シリコンオキサイド膜上に堆積され得る。さらに、シリコン含有化合物層は、ドープされてもよいし、そうでなければさらに反応されてもよい。例えば、シリコンナイトライド層は、シリコンオキシナイトライド層を形成するために酸化され得る。
図3は、本発明の好ましい実施形態に従う一般的なプロセスシーケンスを示す。基板は、プロセスチャンバー中に提供され、全てのシーケンスステップは、好ましくは、そのプロセスチャンバー中でインサイチュで実施される。
好ましくは、プロセスチャンバーは、Phoenix, ArizonaのASM America, Inc.より市販され、図1および2に関して上に記載される、EpsilonTMシリーズの単一ウェハリアクターのような、単一ウェハの、水平ガスフローリアクターであり、好ましくは、放射加熱される。結果として、図3に示されるプロセスがまた、シャワーヘッドの配置を有するもののような、代替的なリアクター中で使用され得るが、増加した均一性および堆積速度における利益は、回転基板を使用する、EpsilonTMチャンバーの、水平単一パス層ガスフロー配置において特に効果的であることが見出された。
図3を参照すると、ステップ100において、シリコン層は、基板上に形成される。「基板」は、シリコン含有物質が本発明の好ましい実施形態に従って堆積されるかまたは適用される、任意の基礎をなす表面を含むように、その通常の意味で、本明細書中で使用される。好ましい基板は、金属、シリコン、ゲルマニウム、プラスチック、および/またはガラス、好ましくは、シリコン化合物(Si−O−C−H低誘電率の膜を含む)およびケイ素合金を含むがこれらに限定されない、実質上任意の物質からなり得る。基板はまた、トレンチまたはステップのような物理的構造上にそれらを有し得る。特に好ましい基板は、その上にインターフェーシャル・レイヤーを有するシリコン基板を含む。さらに、約480℃以上の加工温度で、水素で不動態化したSi<100>表面は、シリコン基板として有利に使用され得る。
ポリシランは、好ましくは、シリコン層100を形成するためにシリコン供給源として使用される。本明細書中で使用される場合、「ポリシラン」は、化学式Si2n+2(ここで、n=2〜4)を有する。好ましくは、ポリシランは、ジシランまたはトリシランである。最も好ましくは、ポリシランはトリシランである。結果として、本発明は、トリシランと共にCVDサイクルを使用する特に好ましい実施形態の文脈において記載されるが、当業者は、本発明の開示を考慮して、記載されるプロセスの特定の利点が他の前駆体および/または他の堆積技術で得られ得ることを理解するであろう。
シリコン層100を形成する際に、シリコン前駆体の堆積は、当業者に公知の種々の堆積方法に従って適切に行われ得るが、最も大きい利益は、堆積が本明細書中に教示されるCVD法に従って行われる場合に得られる。開示される方法は、プラズマ・エンハンスト化学気相成長法(PECVD)または熱CVD(気体のトリシランを利用して、CVDチャンバー内に含まれる基板上にシリコン含有化合物膜を堆積させる)を含む、CVDを使用することによって適切に実践され得る。熱CVDは、プロセスのシリコン堆積相について好ましい。
ポリシランは、好ましくは、供給ガスの形態でまたは供給ガスの成分として、プロセスチャンバー12(図1)に導入される。供給ガスは、ポリシラン以外のガス(例えば、不活性キャリアガス)を含み得る。キャリアガスは、当該分野で公知のキャリアガス(例えば、窒素、水素、ヘリウム、アルゴン、またはその種々の組み合わせ)を含み得る。好ましくは、窒素は、本明細書中に使用される方法についてキャリアガスとして使用される。
ポリシランがトリシランである場合、トリシランは、好ましくは、トリシラン蒸気を同伴するキャリアガスと共に使用されるバブラー、より好ましくは温度制御バブラーによりチャンバーに導入される。好ましくは、バブラーからのトリシランフロー速度は、約1sccm〜1slmまで、より好ましくは、約50sccm〜500sccmまで変化する。好ましくは、キャリアガスは、約2slm〜20slmで変化するフロー速度を有する。
反応チャンバー12(図1)における全圧は、好ましくは、約0.001Torr〜約780Torrの範囲、より好ましくは、約0.001Torr〜約100Torrの範囲、最も好ましくは、約0.001Torr〜約10Torrの範囲である。トリシランの分圧は、好ましくは、全圧の約0.0001%〜約100%の範囲、より好ましくは、全圧の約0.001%〜約50%の範囲である。供給ガスのポリシランおよび他の成分の相対的な分圧は、好ましくは、シリコン含有化合物膜を堆積する過程にわたり比較的一定に維持される。しかし、シリコン層形成100および他のステップは、必ずしも等圧的に行われる必要はない。例えば、ステップ100は、ステップ120よりも高い圧力で行われ得、逆も行われ得る。
有利には、0.001Torr〜10Torrの範囲の圧力での堆積が、優れた均一性を生じることが見出されている。さらに、低分圧が、一般的に、プロセスの間により低い水素含量を維持するために所望である。しかし、より多くのケイ素原子数を有するシランにおける固有の低いH:Si比に起因して、例えばトリシランについての分圧は、より低次のシラン(例えば、ジシランおよびシラン)についてのそれよりも高くあり得る。
好ましくは、シリコン層形成100およびサイクル140は、一般的に、等熱的に行われる。熱CVDについて、好ましい堆積温度は、約400℃〜約800℃、好ましくは、約450℃〜約750℃、より好ましくは、約450℃〜約650℃の範囲である。好ましくは、これらの温度は、基板についての温度設定に対応する。
好ましくは、熱い基板表面でシリコン前駆体を熱分解または分解するのに十分なエネルギーを供給し、そして、基板表面に送達される速度によって主に制御される速度でシリコンを堆積するために、堆積条件は創られる。従って、トリシランのような前駆体について、堆積はまた、好ましくは、質量輸送制限レジーム(mass transport limited regime)中またはそれに近い化学気相成長堆積条件化で行われる。質量輸送制限レジームにおいて、堆積速度は、本質的に温度と無関係である。これは、基板の表面全体にわたる小さい温度バリエーションが堆積速度にほとんどまたは全く影響しないことを意味する。質量輸送制限レジームにおける堆積は、厚みおよび組成バリエーションを非常に最小化し、そして本明細書中に記載される好ましいシリコン含有化合物膜の製造を可能にすることが見出された。従って、有利には、このような条件は、最小の負荷効果またはパターン感受性を有する堆積を可能にする。
好ましいシリコン含有化合物層の形成において、ステップ100で形成される膜の厚みは、当該分野で公知のように、所定の一連の堆積パラメーター(例えば、全圧および温度)について堆積時間および/またはガスフロー速度を変化させることによって、意図される適用に従って変化され得る。特定の一連の堆積条件について、シリコン層形成100のシリコン堆積の期間は、薄いシリコン層が形成されるように選択されるべきである。薄くかつ均一なシリコン層を形成することにより、層は容易に十分に反応され得、例えば、窒化または酸化され得、以下に記載される、薄くかつ均一なシリコン含有化合物層の形成を可能にする。結果として、約650℃未満で、シリコン層の厚みは、約3Åと25Åとの間であり、より好ましくは、約3Åと15Åとの間であり、最も好ましくは、約3Åと8Åとの間である。しかし、好ましい厚みの範囲は、温度のようなプロセス条件に依存して変化し得ることが理解される。より高い温度において、より厚いシリコン層が、依然として堆積され得るが、依然として、層が十分に反応されることを可能にする。例えば、約900℃以上の温度において、約20〜50Åのシリコン層が使用され得る。
シリコン層形成100後、任意の過剰なシリコン供給源および副産物は、プロセスチャンバーから除去110され得る。シリコン供給源除去110は、以下を含む除去プロセスのいずれか1つまたは任意の組み合わせによって生じ得る:不活性ガスでのプロセスチャンバーのパージ、シリコン供給源の排気、または反応種を運ぶガスによるシリコン供給源ガスの置換。しかし、シリコン供給源ガス除去110が、反応種を運ぶガスとの供給源ガスの置換によって達成される場合、プロセスチャンバーは、好ましくは、上に記載され図1に示される、ASM EpsilonTMシリーズの単一ウェハリアクターのような、単一基板層流チャンバーである。さらに、シリコン供給源ガス除去110がパージによって行われる場合、プロセスチャンバー12は、好ましくは、数秒間パージされる。
シリコン供給源ガス除去110は、好ましくは、チャンバー12(図1)中の特定の反応物の量がチャンバー12に入る次の反応物との所望でない副反応を最小化するために十分に低いレベルにあるように行われることが理解される。これは、次いで、形成されるシリコン含有化合物層中の不純物の所望でない混入を最小化する。このような低いレベルの反応物は、例えば、チャンバー12のパージまたは排気の期間を最適化することによって、達成され得る。このようなレベルにおいて、プロセスチャンバーは、特定の反応物が実質的にないと言われ得る。
シリコン供給源ガス除去110後、シリコン層を、シリコン含有化合物層120の形成のために反応種と反応され得る。このような反応種は、例えば、シリコンナイトライド層を形成するための窒素供給源、またはシリコンオキサイド層を形成するための酸素供給源を含み得る。好ましくは、ステップ100で形成されるシリコン層は、化学量論的に完全に反応種と反応するのに十分な期間、流入する(inflowing)反応種に暴露される。より好ましくは、反応条件は、シリコンナイトライド層の形成に関して以下により詳細に議論されるように、形成されるシリコン層と完全に反応するように、そして基礎をなす構造への損傷を避けるように選択される。
シリコン含有化合物層120の形成後、反応物除去130は、シリコン供給源除去110について上に記載される方法のいずれかを使用して、実施され得る。しかし、ステップ110および130は、正確に同じ方法によって起きる必要はない。例えば、1つのステップは、パージを包含し得るが、他のプロセスは、排気を含み得る。
ステップ100、110、120および130の実施は、1つのサイクル140を含み、そして基板上に1つの層のシリコン含有化合物を堆積する。次いで、サイクル140は、シリコン含有化合物層が所望の厚さに構築されるまで、連続して繰り返され得る。
結果として、当業者は、本発明が種々の厚みの層の形成を可能にし、厚みは、例えば、特定の適用の要求に基づいて選択されることを理解する。例えば、ゲート誘電体としての使用のために、十分なサイクルが、好ましくは、約3Åと20Åとの間の厚みのシリコンナイトライド層を成長するために行われる。別の実施例において、トランジスタ側壁スペーサーとしての使用のために、実施される多数のサイクルが、好ましくは、約150Åと400Åとの間の厚みのシリコンナイトライド層を形成するように選択され得る。しかし、より大きい厚みが可能である;例えば、5000Åまでの厚みが十分な数のサイクルを実施した後に形成され得ることが理解され得る。
図4A〜4Eを参照すると、上記プロセスの結果が様式化した例示で示される。図4A〜4Cは、図3に示されるサイクル140の一回のラン後の、シリコン含有化合物層の形成を示す。図4Aは、シリコン供給源のチャンバー12への導入の前の基板200を示す。図4Bは、シリコン供給源の導入後に堆積されたシリコンの第1層202を示す。図4Cは、シリコン層202と反応種との反応後、シリコン層202から形成されるシリコン含有化合物層204を示す。
1サイクルを完了した後、他のサイクルが行われ得る。図4Dは、以前に形成されたシリコン含有化合物層204上の、新しいサイクル140中に堆積した第2のシリコン層206を示す。好ましくは、以前のサイクル140で使用されるのと同じ反応種が再びチャンバー12に導入され、第2のシリコン層206と反応する。結果として、シリコン含有化合物層204と第2のシリコン層206の合わせた厚みを有する、より厚いシリコン含有化合物層208が形成される。この様式で、このようなサイクル140を繰り返した後、第1のシリコン含有化合物層204は、所望の厚さまで構築され得る。
上に示されたように、本発明は、特にシリコンナイトライド層を形成するために利用され得る。図5は、このような層を形成するための1つの可能なプロセスシーケンスを示す。例示される実施形態において、シリコンナイトライドを含むゲート誘電層は、基板上の半導体構造上に形成される。
ゲート誘電体の形成のための調製において、ウェハは、好ましくは、半導体構造上の混入物および天然に存在するかまたは自然酸化膜を除去するために、最初にクリーニング300される。従来は、ウェハクリーニングは、プロセスチャンバー12(図1)へのウェハのローディングの前に、エキソサイチュ(ex situ)で行われる。例えば、ウェハは、SC1/HF湿式エッチバス中でクリーニングされ得る。あるいは、統合されたHFおよび酢酸蒸気クリーニングは、クラスターツール内で近隣のモジュール中で行われ得、輸送時間および再混入または再酸化の機会を減少させる。別のアレンジメントにおいて、水素ベーキングステップは、自然酸化膜を昇華させるためにチャンバー12(図1)内で行われ得る。少量のHCl蒸気は、水素ベーキングの間に金属混入物などをクリーニングする際に補助するためにこのステップに添加され得る。なお別のアレンジメントにおいて、プラズマ生成物は、例えば、Hラジカルを水素ガスと置換することによって、インサイチュクリーニングを補助してもよいし、これを行うのに使用してもよい。当該分野で公知のウェハクリーニングの他の方法もまた適していることが理解される。
エキソサイチュクリーニング後またはインサイチュクリーニングの前のいずれかに、ウェハをプロセスチャンバーにローディングする。自然酸化膜のクリーニングは、水素終結表面を残す傾向があり、これは、クリーンルームの環境または他のオキシダントの供給源への暴露の際の自発的な再酸化を有利に阻害する。このような水素終結化は、さらなるプロセスの前に脱着され得る。
次いで、インターフェーシャル・レイヤー(例えば、シリコンオキサイド層)は、好ましくは、堆積された層の誘電性能を改善するために、基板上に形成される310。インターフェーシャル・レイヤーは、エキソサイチュまたはインサイチュで形成され得る。シリコンオキサイド層は、熱酸化または基板のオキシダントへの暴露を含む他の方法を含むが、これらに限定されない、当該分野で公知の方法に従って、形成され得る。例えば、結晶性シリコン基板表面(例えば、Si<100>)は、原子状酸素または他の公知の酸化化学物質(例えば、水、オゾン、酸素、一酸化窒素、亜酸化窒素等)に暴露され、シリコンオキサイドを形成し得る。さらに、シリコンオキサイド層はまた、例えば、シリコン層を堆積するためにトリシランを使用して、そして反応種としてオキシダントを使用して、図3のサイクルプロセスによって形成され得る。
次いで、シリコンナイトライド層は、上に開示される方法に従って形成され得る。
特に、シリコン層は、シリコンオキサイド層上に最初に形成される320。好ましくは、トリシランは、チャンバー12に流入され、トリシランの議論において上に議論される条件下および図3で、熱CVDによって基板上に堆積する。
好ましい実施形態は、形成される種々の厚みのシリコン層を可能にし、好ましくは、シリコン層の厚みは、窒化条件に基づいて選択される。これは、シリコン層が窒化される場合、原子状窒素は、シリコン層を通って基礎をなすシリコン基板に拡散し得るからである。窒素拡散の深さは、当該分野で公知のように測定され得、そして窒化温度および窒化の期間を含む種々のプロセス条件に関連する。従って、所定のセットのプロセス条件について、原子状窒素は、シリコン層を通って、特定の深さ(窒化飽和深度(nitridation saturation depth)と呼ばれる)まで拡散し得る。窒化が約1分未満の間起こる場合、窒化飽和深度は、短期窒化飽和深度と呼ばれ得る。
堆積されたシリコン含有化合物層の議論において以下に議論されるように、基板の窒化は、理論的に予想されるものよりも劣る誘電特性を有するシリコンナイトライド層を生じることが見出されている。従って、堆積されたシリコンナイトライド膜の誘電特性を改善するために、基礎をなす基板の窒化は、好ましくは、窒化飽和深度以上の厚みまで基板上に形成される第1のシリコン層を堆積することによって最小化される。引き続き堆積される層は、代表的に、第1のシリコン層上に堆積される結果として、窒化飽和深度よりも基板からさらに間隔が開いていることが理解される。結果として、第1の後に堆積されるシリコン層の厚みは、好ましくは、窒化飽和深度以下である。
しかし、所定のセットの窒化条件について、第1のシリコン層の形成後、引き続くサイクルにおいて形成される引き続くシリコン層は、より薄くあり得る。なぜなら、シリコンナイトライド層の厚みは増加するが、窒化飽和深度は、比較的一定のままであるからである。例えば、好ましい実施形態において、第1のシリコン層は、例えば、約8〜20Åの窒化飽和深度まで堆積され得、そして引き続く層は、1サイクル当たり、例えば、約3Å〜10Åのより薄い厚みで堆積され得る。1つの好ましい実施形態において、第1のシリコン層は、約12Åの厚さまで堆積され、そして引き続く層は、1サイクル当たり約6Åのより薄い厚みまで堆積される。シリコン層の厚みを変化させることに加えて、他のプロセス条件(例えば、窒化温度および/または窒化の期間)が、窒化飽和深度がシリコン層の厚みよりも深くならないように、変更され得ることが理解される。
次いで、ステップ320後、任意の過剰のトリシランを、好ましくは、窒素種を含む供給ガスをチャンバー12に流入させることによって、チャンバー12から除去する330。有利には、層流チャンバー12(図1)は、供給ガス中の窒素供給源中のフローを可能にし、供給ガスは、堆積されたシリコン層と反応させるため、そして反応チャンバー12から過剰なトリシランガスを強制的に除く(force)ための両方に使用される。しかし、上に議論されるように、トリシランはまた、チャンバー12をパージまたは排気することによって除去され得る。
次いで、シリコンナイトライド層は、チャンバー12(図1)への窒素供給源の導入によって形成される340。好ましい窒素前駆体としては、(HSi)N(トリシリルアミン)、アンモニア、原子状窒素、ヒドラジン(H)、ヒドラゾ酸(HN)、NF、前述の混合物、および不活性ガス(例えば、H、N、Ar、He)と前述の希釈物のような化学前駆体を含む。最も好ましくは、原子状窒素が窒素前駆体である。
原子状窒素は、好ましくは、励起種発生器60(図2)を使用して産生される。窒素ガスは、好ましくは、原子状窒素を産生するために、約1slm〜10slmで励起種発生器60を介して流される。より詳細には、窒素フローは、ヘリウムのキャリアガスと合わされ、キャリアガスは、好ましくは、約1sls〜10slmのフローを有する。従って、ASM EpsilonTMシリーズ単一ウェハリアクターと組み合わせて遠隔プラズマ発生器を使用して、励起した窒素種を、代替的にトリシランを用いて、好ましくは、チャンバー12(図1)にパルスする。
代表的に、議論される条件下で、窒素供給源への暴露は、二分未満の間生じる。しかし、パルスの期間は、温度、窒化されるシリコン層の厚み、基礎をなす構造の感受性、および使用されるリアクターと共に変化し得ることが理解される。例えば、約2分未満のパルス期間は、ASM EpsilonTMシリーズの単一ウェハリアクターの特定の堆積特性に関連する;他のリアクターは、当該分野で公知のように、リアクターの特定の特性に依存して、3Å〜25Åシリコン層を窒化するために、より短いまたはより長い期間を必要とし得る。
シリコンナイトライド層形成340後、窒素供給源除去350は、好ましくは、実質的に窒素供給源がないチャンバー12(図1)を作るために行われる。例えば、窒素供給源除去350は、窒素フローを停止する一方、トリシランを含む供給ガスでフローするか、または単純に不活性なNを流し続ける間に励起種発生器60の電源を切ることによって、チャンバー12をパージするかまたは排気することを包含し得る。
ステップ350後、シリコン層形成320は、次いで、新しいサイクル360を始めるために新しいシリコン層を形成するように実施され得る。実施されるサイクル360の数は、カウントされ得、所望の厚みの層を堆積するように最適化され得る。結果として、複数のサイクル360が、所望の厚さが達成されるまで実施され、実施されるサイクル360の合計数はカウントされ、そして特定の膜の厚みと相関する。
従って、ゲート誘電体層についてシリコンナイトライド層を形成するためのプロセスについての好ましい実施形態は、以下のステップを包含する:
・Siパルス
・Si除去
・窒化
・窒素供給源除去
サイクル360によるシリコンナイトライド層の形成後、ゲート電極は、当該分野で公知の方法によって形成され得る370。
図6A〜6Eを参照すると、上記プロセスの結果を、様式化した例示によって示す。図6Aは、ウェハクリーニング後の基板400を示す。図6Bは、基板400上に堆積されたインターフェーシャル・レイヤー410を示す。図6Cは、インターフェーシャル・レイヤー410上に第1サイクル360(図5)を実施することによって形成されたシリコンナイトライド層420を示す。図6Dは、サイクル360(図5)の引き続く実施によってより厚くされたシリコンナイトライド層420を示す。図6Eは、引き続いて形成されたゲート電極430を示す。
本発明は、シリコンナイトライド層を形成することを特に参照することによって示され、本発明の教示はまた、他のシリコン含有化合物層を形成するために適用され得ることが理解される。例えば、シリコンオキサイドはまた、基板表面上に直接形成され得る。この場合において、シリコン含有化合物層120(図3)の形成の間に窒素供給源を導入するのではなく、酸素供給源が導入され得る。酸素供給源としては、原子状酸素、水、オゾン、酸素、一酸化窒素、亜酸化窒素を含むがこれらに限定されない、当該分野で公知のオキシダントを含む。同様に、当該分野で公知の他の前駆体が、シリコンゲルマニウムおよびシリコンカーバイドを含むがこれらに限定されない、他のシリコン含有化合物層を形成するために使用され得る。
他の好ましい実施形態において、シリコン含有化合物層は、各サイクルにおけるステップ130(図3)又は350(図5)の後、引き続き反応され得る。例えば、半導体としてはたらくシリコン含有化合物層(例えば、SiGe)は、引き続きドープされ得る。別の例では、シリコンオキシナイトライド(SiO)層は、シリコンナイトライド層から形成され得る。この実施形態では、シリコンナイトライド層を形成した後、酸素供給源が導入されてシリコンナイトライドが酸化され得、そしてシリコンオキシナイトライド層が形成される。このようなシリコンオキシナイトライド層は、図5を参照して前記で述べたシリコンオキサイド層に代えて、誘電層を形成するためのインターフェーシャル・レイヤーとして使用され得ることが理解されるであろう。シリコンカーバイドナイトライド(SiC)又はシリコンオキシカーバイド(SiO)は、同様に、続く窒化又は酸化プロセスによって形成され得る。
また、異なるシリコン供給源が異なるサイクル140(図3)又は360(図5)において使用され得ることが理解されるであろう。1つの好ましい実施形態において、トリシランがあるサイクルのためのシリコン供給源として使用され得、そしてジシランが別のサイクルのために使用され得る。好ましくは、トリシランは、サイクル140(図3)又は360(図5)の最初の実施において、基板に堆積される第一のシリコン層を形成するために使用される。続くシリコン層は、ハロシラン(即ち、化学式R4−xSiH(ここで、R=Cl、Br又はI、及び、X=0〜3)を有するシリコン化合物)又は他のシラン(Si2n+2(ここで、n=1〜4、好ましくはn≧2))を用いて形成され得る。シリコン供給源の組み合わせが使用され得る(例えば、トリシラン及びジシランが、第一シリコン層が形成された後に同時に使用され得る)ことが理解されるであろう。さらに、「純粋な」トリシランを供給しようとしても、通常いくらかのジシランが存在することに留意されたい。
好都合には、異なるサイクルにおいて異なるシリコン供給源を使用することに加えて、図3のCVD法とともに異なる堆積方法が使用され得る。図7に言及すると、1つの好ましい実施形態において、図3のCVD法が使用され、シリコン含有化合物層が所望の厚さより小さい厚さに堆積される440。例えば、図3に示される方法のたった1サイクルだけが行われる。引き続き、電気的性能、組成物の純度(compositional purity)、及び高い材料密度が主要な関心事とならないスペーサー層を有するような場合においてスループットを増大させるためには、シリコン含有化合物層の残りの所望の厚さの大部分は、非サイクル法(前駆体の同時導入を伴う従来のCVD450を含む)を用いるバルク堆積によって堆積され得る。トリシランに加えて、好ましいシリコン供給源としては、ハロシラン(即ち、化学式R4−xSiH(ここで、R=Cl、Br又はI、及び、X=0〜3)を有するシリコン化合物)又は他のシラン(例えば、Si2n+2(ここで、n=1〜4、好ましくはn≧2))が挙げられる。好ましくは、シリコン含有化合物層は、また、シリコン含有化合物層の合計厚さが所望の厚さとなるように、図3のサイクルプロセスに従って形成された460シリコン含有化合物層でシールされる。
他の好ましい実施形態において、薄いシリコン含有化合物層(例えば、シリコンナイトライド)を堆積させた後440、基板を含むウェハがその反応チャンバーから第二反応チャンバーへ移され得る。第二反応チャンバー中では、より厚いPECVD又はファーネス(furnace)シリコン含有化合物層(例えば、シリコンナイトライド)が、その薄いシリコン含有化合物層上へ堆積され得る。続いて、このウェハは、好ましくは、より厚いシリコン含有化合物層上への別の薄いシリコン含有化合物層のサイクル堆積460のための別の反応チャンバーへ移される。好ましくは、サイクル堆積460のためのこの反応チャンバーは、サイクル堆積440が行われたのと同じ反応チャンバーである。
1つの好ましい実施形態において、シリコンナイトライド層は、シリコン供給源としてトリシランを用いる図3の方法の1サイクルを行うことによって堆積される。次いで、より厚い従来のシリコンナイトライドは、シラン及び窒素供給源がともに導入されている同じ反応チャンバー中で従来のCVDによって形成される。好ましくは、次に、シリコンナイトライドシーリング層が、再びトリシランを用いて、図3の方法によって第二のシリコンナイトライド層上へ形成される。第三のシリコンナイトライド層が形成される場合、全ての3つのシリコンナイトライド層の厚さは、その3層の総厚が所望の合計厚さとなるように調整されることが理解されるであろう。
かくして、このシリコンナイトライド層の頂部及び底部は、低い水素含有量を有する非常にコンフォーマル(conformal)及び均一(uniform)なシリコンナイトライド層からなる。好都合なことに、この低い水素含有量は、低いホウ素拡散率に対応する。望ましいことに、これらの優れた頂部及び底部シリコンナイトライド層は、それらが一部となっているより厚いシリコンナイトライド層の界面における拡散バリアとして機能し得る。
更に、ステップ100(図3)又はステップ320(図5)のための温度が必ずしも等温である必要がないことが理解されるであろう。1つの好ましい実施形態において、シリコン層形成100(図3)又は320(図5)は、約525℃より低い、好ましくは約500℃より低い、最も好ましくは約475℃より低い第1温度で起こり得る。好ましくは、その層は次に、シリコン含有化合物層120(図3)又は340(図5)の形成の前に、堆積時の(as−deposited)シリコン層からの水素の完全な除去を可能にするため、数秒間放置される。好ましくは、層は、10秒より長い間放置される。シリコン含有化合物層120(図3)又は340(図5)の形成のために、温度は、次いで、第1温度より高い第2温度まで上昇される。好ましくは、続くサイクル140(図3)又は360(図5)がこの第2高温にて等温で行われ、所望の厚さのシリコン含有化合物層を堆積する。低温及び水素除去時間が、基板表面との界面で膜に低い水素含有量をもたらすので、このようなプロセスは、特に、非結晶性シリコン基板表面上のシリコンナイトライド膜堆積に有用である(例えば、ガラス材料、金属酸化物、金属シリケート及び金属上のSiO低誘電率スピン)。好都合なことに、続く堆積サイクルのための高温は、より迅速な堆積及び低水素界面の形成後の増大されたスループットを可能にする。
堆積されたシリコン含有化合物層
望ましくは、好ましい実施形態に従う好ましいシリコン含有化合物膜は、膜の表面に渡って、非常に均一な厚さを有する。膜厚の均一性は、好ましくは、マルチポイント(multiple−point)厚さ測定を行うこと(例えば、偏光解析法又は断面法(cross−sectioning)、様々な厚さ測定値を平均することにより平均厚さを決定すること、及び、rms変動性(variability)を測定すること)によって決定される。所定の表面積での比較を可能にするために、結果は、rms厚さ変動性を平均厚さで割り、そしてその結果をパーセンテージとして表すために100をかけることによって計算された不均一性のパーセント(percent non−uniformity)として表現され得る。好ましくは、その厚さ不均一性は、約20%以下、より好ましくは約10%以下、更により好ましくは約5%以下、最も好ましくは約2%以下である。
膜厚を測定するための適当な方法としては、マルチポイント偏光解析法(multiple−point ellipsometric)が挙げられる。膜厚を測定するための機器は、良く知られており、市販されている。好ましい機器としては、Nanometrics, Inc., Sunnyvale, Californiaからの機器であるNanoSpec(登録商標)シリーズが挙げられる。シリコン含有化合物膜の厚さは、また、基板を断面化し、適当な顕微鏡技術(最も好ましくは、電子顕微鏡)によってその厚さを測定することによって決定され得る。厚さが測定されるスパンは、膜の約10倍の厚さからシリコン含有化合物膜の全体のスパンまでの範囲におけるあらゆるスパンであり得る。もし膜厚がスパンに渡り変化するならば、その厚さは平均厚さ(即ち、所定のスパンに渡る膜の最も厚い及び最も薄い寸法の数値平均(numerical average))であると考えられる。
本書で使用される場合、rms(より正確には、平均平方誤差の平方根)は、所定の集団のメンバーによって示される変動性の量を表現する方法である。例えば、yグラムの平均重量を有する対象のグループにおいて、グループの各メンバーがいくらかの量だけ平均値と異なる重量y’を有するとすると、(y’−y)として表される。rmsを計算するためには、これらの差を二乗し(それらが正の数であること確実にするため)、足し合わせ、そして平均して、平均平方誤差が得られる。平均平方誤差の平方根がrms変動性である。
厚さの均一性に加え、好ましいシリコン含有化合物膜は、好ましくは、様々なトポグラフィー上にコンフォーマルコーティングを提供する。コンフォーマルコーティングは、それが重なる構造の湾曲(もし存在するならば)に従う層である。コンフォーマルシリコン含有化合物膜は、好ましくは、良好なステップカバレッジを示す。「ステップカバレッジ(step coverage)」は、階段状の表面に重なるコンフォーマル膜の厚さの均一性をいう。階段状の表面は、同じ水平面において配置されていない2又はそれ以上の平行なコンポーネントを有する表面である。ステップカバレッジは、好ましくは、段の底部における膜の平均厚さを測定し、それを段の頂部における平均厚さで割り、そして、その結果をパーセントの数字で表すために100をかけることによって、決定される。
好ましいシリコン含有化合物膜は、比較的高いアスペクト比でも良好なステップカバレッジを有する。「アスペクト比」とは、構造の水平幅に対する段の垂直高さの比をいう。約4.5〜約6の範囲のアスペクト比において、好ましいシリコン含有化合物膜は、約70%以上、より好ましくは80%以上のステップカバレッジを有する。約1〜約4の範囲におけるアスペクト比では、好ましいシリコン含有化合物膜は、約80%以上の、より好ましくは90%以上のステップカバレッジを有する。ステップカバレッジは、好ましくは、上記のように計算されるが、側壁の厚さを考慮することによっても計算され得る。例えば、ステップカバレッジの代替的な定義としては、段の頂部及び/又は底部の平均厚さに対する側壁の厚さの比が挙げられる。しかしながら、他に言及しない限り、本書におけるステップカバレッジは、段の底部におけるシリコン含有化合物膜の水平部分の平均厚さを測定し、これを段の頂部における水平部分の平均厚さで割り、その結果をパーセンテージで表すために100をかけることによって、前述のように決定される。
好都合には、好ましいシリコン含有化合物膜の表面の滑らかさ及び厚さは、約1平方ミクロン(μm)又はそれより大きい、より好ましくは約5μm又はそれより大きい、さらにより好ましくは約10μm又はそれより大きい表面積上で維持される。シリコン含有化合物膜は、大きい基板(例えば、ウェハ)の全て又は一部を被覆し得、それゆえ、約300cm又はそれより大きい、好ましくは700cm又はそれより大きい表面積を有し得る。
良好なステップカバレッジが通常達成されるので、多くの場合、シリコン含有化合物膜の表面粗さは、実質的に、それが重なっている表面粗さと同じである。表面粗さは、好ましくは、該当する表面の1ミクロン×1ミクロン部分について原子間力顕微鏡(AFM)により測定されるようなrms表面粗さである。下に位置する基板表面の粗さは、約1Årms(原子的に平坦な表面)から約25Årms又はそれより更に大きい範囲であり得る。好ましくは、下に位置する基板表面は、10Årms又はそれより小さい、より好ましくは5Å又はそれより小さい粗さを有し、それゆえ、重なっているシリコン含有化合物膜は、それに匹敵する粗さを有する。所定の程度の粗さを有する下に位置する基板表面に対して、その上に堆積されるシリコン含有化合物膜は、好ましくは、約5Å又はそれより小さい、より好ましくは約3Å又はそれより小さい、更により好ましくは約2Å又はそれより小さい量だけ、基板表面粗さよりも大きい表面粗さを有する。例えば、もし基板表面粗さが約7Årmsである場合、その上に堆積されるシリコン含有化合物膜の測定される表面粗さは、好ましくは約12Årms(7Å+5Å)又はそれより小さい。好ましくは、下に位置する表面は、約2Årms又はそれより小さい粗さを有し、重なっているシリコン含有化合物膜は約5Årms又はそれより小さい、より好ましくは、約3Årms又はそれより小さい、最も好ましくは約2Årms又はそれより小さい測定された表面粗さを有する。
これらの利点は、トリシランがシリコン供給源として使用され且つ原子状窒素が窒素供給源として使用された以下の実施例の実施形態によって形成されたシリコンナイトライド層について得られたデータにおいて証明される。
図8は、好ましい実施形態に従って形成されたシリコンナイトライド膜の断面図を示す実際の透過型電子顕微鏡写真の複写物である。顕微鏡写真のナノメートルスケールであっても、たった14Å厚さのシリコンナイトライド層が優れた均一性を示したことが証明される。
シリコンナイトライド膜は、また、優れた化学量論及び純度を示した。特に、当該分野で知られているように、シリコンナイトライド膜中のシリコンとナイトライドの比についての値は、Rutherford Backscattering(RBS)によって決定され得る。図9は、本発明の好ましい実施形態に従って形成されたシリコンナイトライドサンプルの代表的なRBSスペクトル(2MeVHe++)である。RUMPモデリングプログラムに基づくシミュレーションを用いるRBSスペクトルデータの分析は、シリコン及び窒素濃度の定量化を可能にした。意味深いことに、このデータは、シリコンナイトライドが、シリコン原子当り約1.33窒素原子の比を有する完全に化学量論のシリコンナイトライド(Si)にほぼ等しい約Si4356の化学量論又はシリコン原子当り約1.30窒素原子の比を有することが見出されたように、実質的に化学量論のシリコンナイトライド膜を示す。
さらに、また当業者に知られているように、水素含有量を分析するためにRBS Elastic Recoil Detection(ERD)スペクトルが使用され得る。このようなスペクトルが得られ、そして図10に示される。また、シリコンナイトライド膜は、膜内の水素濃度が技術の検出限界より低い(即ち、0.2原子パーセントより低い水素が組み込まれた)ことを示すRUMPを使用する定量的分析を用いて、優れた組成物純度を示した。それゆえ、シリコンナイトライド膜の分析は、その膜が優れた純度と化学量論を有することを示した。
好都合なことに、好ましい実施形態に従って形成されたシリコン含有化合物層の高いコンフォーマリティー(conformality)並びに物理的及び化学的均一性は、従来法によって形成される類似の層に比べて、改善された物理的特性を有する。例えば、シリコン化合物(例えば、シリコンナイトライド及びシリコンオキサイド)の絶縁層は、シリコン化合物を形成するときの下に位置する基板の反応に一部起因し、そしてまた、組み込まれた不純物(組み込まれた水素のような)に起因し、理論的に予測されるより低い絶縁特性を有する。望ましくは、好ましい絶縁層は、低い組み込まれた水素及び下に存在する基板の最小化された反応を有し、結果的に改善された絶縁特性をもたらす。
特に、本発明に従って形成されるシリコンナイトライド膜は、他の化合物(例えば、シリコンオキシナイトライド)が伝統的に好まれてきた適用において、使用され得る。望ましくは、シリコンオキシナイトライドとの比較におけるその異なる材料特性に起因して、このような適用におけるシリコンナイトライドの使用は、より高い誘電率及びより良いバリア特性を有する層をもたらす。
さらに、シリコンナイトライド層を形成するとき、プロセス温度は、好ましくは、シランを利用する従来のCVD法と比べて低減される。そのようなものとして、シリコンチャネルへ向かう窒素拡散は、シリコン誘電界面における窒素の量が注意深く制御され且つ制限されなければならないゲート誘電適用において、低減され得る。
さらに、その高い誘電率のため、シリコンナイトライド層は、幾つかの適用において(例えば、ゲート誘電体のために)優れたものであり得る。これは、デバイスの限界寸法が小さくなり続けるとき、シリコンオキサイドの基本的な材料特性から生じる限界に起因して、ゲート誘電体適用のための伝統的な材料(例えば、SiO)の有用性が低下するからである。これらの限界は、特に、量子力学的トンネリング(quantum mechanical tunneling)が誘電層を通る電流漏れのための主なメカニズムになる場合、約15Åより小さい物理的厚さに対して深刻(acute)である。さらに、超薄SiO2層は、電気的に活性なドーパント原子の分散に対する低いバリアである。結果的に、SiO2のものよりも大きな誘電率を有する絶縁薄膜材料は、リーク電流性能を改善するために及びドーパント原子の分散に対するより良いバリアとして働くために望ましいことが決定された。ゲート誘電適用に加え、シリコンナイトライド膜は、また、多くのトランジスタ適用におけるスペーサーとして使用され得る。これらの適用の全てについて、薄いシリコンナイトライド膜は、好ましくは、リーク電流の性能に関して優れた特性を有する。さらに、ゲート誘電適用について、薄いシリコンナイトライド膜は、好ましくは、キャリア移動度パースペクティブ(carrier mobility perspective)から優れた電気的性能を示し、これは、それらが低いインターフェーシャルトラップ密度(即ち、それらが堆積される結晶半導体表面との許容される界面)を有することを意味する。
従来のシリコンナイトライド膜は、シリコンナイトライド自体の高誘電率のために、理論的にこの必要性に適しているようにみえるが、実際は、従来のCVD法によって形成されたシリコンナイトライド膜は、ゲート誘電適用に求められる物理的及び電気的特性を示していない。典型的に、これらの膜は、匹敵する物理的厚さにおいて、SiOのものよりも僅かだけ良いリーク電流を示した。これは、膜の化学組成(即ち、シリコンナイトライド層へ組み込まれた不純物の存在)に一部起因していると考えられる。水素、炭素及酸素のような元素は、膜特性が理論的な予測を満たさない原因となる主要な不純物であると考えられている。また、シリコンナイトライド層自体より「下(below)」において、結晶シリコン表面との界面における窒素の意図的でない存在は、予期されたよりも低い電気的性能に寄与する。下に位置するバルク半導体内の窒素は、シリコンナイトライド堆積プロセスの副産物として存在すると考えられている。
従来のCVDにより形成されたシリコンナイトライド層が前述された領域において失望させてきた一方で、上記のように形成された好ましいシリコンナイトライド層は、優れたウェハ内(within−wafer)及びウェハ間(wafer−to−wafer)の厚さ、元素濃度の均一性及び低い汚染(contamination)元素濃度を有する。さらに、これらの好ましいシリコンナイトライド層は、従来法により形成されたシリコンナイトライド層よりも少ない不純物を有し、理論予測により一致する電気特性を示す。さらに、窒化飽和深度(nitridation saturation depth)と等しい又はそれ以上の厚さまで基板上へ第1シリコン層を堆積させることによって、シリコンナイトライド層より下の窒素の存在が最小化される。第一層がすでに窒化飽和深度より大きい又は等しい場合、続くシリコン層は、好都合には、窒化飽和深度と等しい厚さよりも小さい厚さまで堆積され得る。このように、従来のCVD法によって形成されたシリコン含有化合物層よりも均一及びコンフォーマルであることに加え、好ましい実施形態に従って形成されるシリコン含有化合物膜は、また、優れた誘電的又は電気的特性を示し得る。
後述する実施例に従って形成されたシリコンナイトライド膜について、リーク電流データが得られた。図11に示すように、約14Åと同じくらい小さい物理的厚さを有する膜についてさえも、シリコンナイトライド膜は、非常に低いリーク電流を示した。
さらに、シリコンナイトライド層がシリコンオキサイド又はシリコンオキシナイトライド層上へ形成され得、さらに一体化されたシリコンナイトライド膜の電気的特性が改善されることが理解されるであろう。シリコンオキサイド及びシリコンオキシナイトライド層は、それゆえ、インターフェイシャル・レイヤーとして使用され得る。これらの層は、前述したように、又は当業者に知られた方法により、形成され得る。
さらに、本発明の方法に従って形成されたシリコンナイトライド層は、また、改善された酸化耐性を示す。この改善された酸化耐性は、膜を、クリーンルームの空気暴露に対して或いは続くプロセス(例えば、層形成後のアニーリング)の間の反応チャンバー中に存在する酸素又は湿気(例えば、漏れ又はガス純度の問題に起因する)に対して低感度にする。好都合なことに、このようなアニールは、酸化しながら又は不活性な周囲条件内で行われ得る。
本発明は、好ましい実施形態の詳細な説明及び添付の図面から、より良く理解され、これらは、本発明を説明することを意図するが、本発明を限定するものではなく、ここで、同じ数字は、同じ構造を示す。
図示されるゲート構造を形成するための例示的な方法を次に詳細に記載する:
シリコンナイトライド層を、ASM America, Inc. of Phoenix, AZからの単一基板Epsilon(登録商標)リアクター中で形成した。ウェハを、反応チャンバー中へ装入し(loaded)、そして、トリシラン堆積のための準備を行った。トリシランを、6秒間、63sccmにて、反応チャンバー中へ流し込んだ。反応チャンバーを、10秒間、窒素ガスでパージした。原子状窒素を、窒素ガスを6slmにてマイクロ波ラジカルジェネレーター(MRG)へ流し込むことによって生成し、そして、145秒間、反応チャンバーへ流し込んだ。次いで、反応チャンバーを、10秒間、窒素ガスでパージした。約5Åのシリコンナイトライドをサイクルごとに堆積し、そして、8サイクルを実行して約40Åの厚さのシリコンナイトライド層を形成した。各サイクルの各ステップを、650℃にて等温で且つ3Torrにて等圧で行った。
当業者によって、本発明の範囲から逸脱することなく、上記の方法に種々の省略、追加及び改変が行われ得ること、そして、全てのこのような改変及び変更が、添付の特許請求の範囲によって規定される本発明の範囲内であると意図されることが理解されるであろう。
図1は、本発明の好ましい実施態様で使用される、典型的な単一基板反応チャンバーの概略断面図である; 図2は、本発明の好ましい実施態様による、典型的な反応物質及び不活性ガス供給源を図示するガスフローの概略図である; 図3は、主に、本発明の好ましい実施態様による、シリコン含有化合物層を形成するためのステップを示す、フローチャートである; 図4Aは、本発明の好ましい実施態様による、シリコン含有化合物層の形成前の基板を図示する; 図4Bは、本発明の好ましい実施態様による、シリコン層の形成後の図4Aの基板を図示する; 図4Cは、本発明の好ましい実施態様による、図4Bのシリコン層と反応種との反応後に形成されるシリコン含有化合物層を図示する; 図4Dは、本発明の好ましい実施態様による、図4Cのシリコン含有化合物層上に形成されたシリコン層を示す; 図4Eは、本発明の好ましい実施態様による、図4Dのシリコン層と反応種との反応後に形成されるシリコン含有化合物層を図示する; 図5は、本発明の好ましい実施態様による、シリコンナイトライド誘電層(dielectric layer)を形成するためのステップを示すフローチャートである; 図6Aは、本発明の好ましい実施態様による、ウェハクリーニング後の基板を図示する; 図6Bは、本発明の好ましい実施態様による、シリコンオキサイド層の形成後の図6Aの基板を図示する; 図6Cは、本発明の好ましい実施態様による、図6Bのシリコンオキサイド層上に形成されたシリコンナイトライド層を図示する; 図6Dは、本発明の好ましい実施態様による、図6Cのシリコンナイトライド層上の、次のシリコンナイトライド層の形成によって、より厚くされた図6Cのシリコンナイトライド層を図示する; 図6Eは、図6Dのシリコンナイトライド層が本発明の好ましい実施態様により好ましい厚さに形成された後に形成されたゲート電極を図示する; 図7は、主に、本発明の好ましい実施態様による、シリコン含有化合物層の形成のためのステップを示す他のフローチャートである; 図8は、本発明の好ましい実施態様により形成されたシリコンナイトライド膜の横断面を示す透過型電子顕微鏡写真の複製である; 図9は、本発明の好ましい実施態様により形成されたシリコンナイトライド膜に対するRBSスペクトルを示す; 図10は、本発明の好ましい実施態様により形成されたシリコンナイトライド膜に対するRBS ERDスペクトルを示す;ならびに 図11は、本発明の好ましい実施態様により形成されたシリコンナイトライド膜に対するリーク電流(leakage current)データを示す。

Claims (40)

  1. 集積回路におけるシリコン含有化合物層の形成方法であって、該方法が、複数のサイクルを含み、各サイクルが、以下:
    基板をトリシランに暴露することによって、プロセスチャンバー内において基板上にシリコン層を堆積すること;
    その後、プロセスチャンバーからトリシランを除去すること;
    シリコン層を反応種(reactive species)に暴露することによってシリコン含有化合物層を形成すること;及び
    その後、プロセスチャンバーから反応種を除去すること
    を含む方法。
  2. 反応チャンバーが、単一基板層流反応チャンバー(single substrate laminar flow reaction chamber)である、請求項1に記載の方法。
  3. 反応チャンバーがバッチリアクターである、請求項1に記載の方法。
  4. シリコン層の堆積が、化学気相成長を含む、請求項1に記載の方法。
  5. シリコン層の堆積が、1を超えるシリコンの原子層を形成することを含む、請求項1に記載の方法。
  6. 反応種が、窒素種及び窒化ケイ素を含むシリコン含有化合物層を含む、請求項1に記載の方法。
  7. シリコンナイトライド層が、シランを用いた化学気相成長法によって堆積された実質的に同様な厚さのシリコンナイトライド層に比べてより均一である、請求項6に記載の方法。
  8. シリコンナイトライド層が、インターフェーシャル・レイヤー上に形成される、請求項6に記載の方法。
  9. インターフェーシャル・レイヤーが、以下:
    基板をトリシランに暴露することによって基板上にシリコン層を堆積すること;及び
    シリコン層を酸素種に暴露することによってインターフェーシャル・レイヤーを形成すること
    を含むプロセスによって形成される、請求項8に記載の方法。
  10. 酸素種が、原子状酸素、水、オゾン、酸素、一酸化窒素及び亜酸化窒素からなる群より選択される1以上のオキシダントを含む、請求項9に記載の方法。
  11. 実質的なトリシランの除去が、プロセスチャンバーを排気すること及び不活性ガスでプロセスチャンバーをパージすることからなる群より選択される除去プロセスを含む、請求項1に記載の方法。
  12. 実質的な反応種の除去が、反応種を排気すること及び不活性ガスでプロセスチャンバーをパージすることからなる群より選択される除去プロセスを含む、請求項1に記載の方法。
  13. シリコン含有化合物層が、およそ5%以下の厚さ不均一性を有する、請求項1に記載の方法。
  14. シリコン含有化合物層が、およそ80%以上のステップカバレッジを有する、請求項13に記載の方法。
  15. 反応チャンバーにおける複数の化学気相成長サイクルを行うことによる、集積回路用に所望の厚さを有する、絶縁シリコン化合物の、層形成方法であって、各サイクルが以下:
    第1に、シリコン層が、およそ3Å〜25Åの厚さのシリコン層を有する、シリコン供給源に基板を暴露することによって基板上にシリコン層を堆積させること;及び
    第二に、絶縁シリコン化合物の層を部分的に形成するためにシリコン層を反応させること
    (ここで、ポリシランは、複数のサイクルのうち、サイクルの第1の実行(performance)において、基板上に第1のシリコン層を堆積するために使用されるシリコン供給源である)
    を含む方法。
  16. 反応が窒化を含み、該絶縁シリコン化合物がシリコンナイトライドである、請求項15に記載の方法。
  17. 絶縁シリコン化合物の層が、56窒素原子に対し、およそ43シリコン原子の化学量論(stoichiometry)を有する、請求項16に記載の方法。
  18. 反応が、酸化を含み、絶縁シリコン化合物がシリコンオキサイドである、請求項15に記載の方法。
  19. トリシランが、第1シリコン層を堆積するために使用されるシリコン供給源である、請求項15に記載の方法。
  20. 第1シリコン層の堆積の後、後のシリコン層を堆積するためのシリコン供給源が、シラン化学式、Si2n+2(式中、n=1〜4)を有するシラン及びハロシラン化学式R4−XSiH(式中、R=Cl、Br又はI及びX=0〜3)を有するハロシランからなる群より選択されるシリコン化合物を含む、請求項19に記載の方法。
  21. 反応温度が、およそ650℃未満である、請求項15に記載の方法。
  22. 第1シリコン層の堆積のための第1基板温度が、およそ525℃未満である、請求項20に記載の方法。
  23. 第1基板温度が、およそ475℃未満である、請求項22に記載の方法。
  24. 第1シリコン層を反応させるための第2基板温度が、第1基板温度より高い、請求項23に記載の方法。
  25. 第1シリコン層の反応後、堆積及び反応が等温的に行われる、請求項24に記載の方法。
  26. 第1シリコン層の反応後、堆積及び反応のための第3基板温度が、およそ400〜650℃である、請求項25に記載の方法。
  27. さらに、第1シリコン層を反応させる前に、少なくともおよそ10秒間、反応チャンバーを排気することを含む、請求項24に記載の方法。
  28. 反応温度及び持続時間が、シリコン層下の基板を反応させることを抑制するように選択される、請求項15に記載の方法。
  29. 基板上の第1シリコン層の厚さが、窒化飽和深度よりも大きいか、又はほぼ等しい、請求項15に記載の方法。
  30. 以下:
    基板上のシリコン化合物の絶縁層、
    (ここで、該層は、およそ10パーセント以下の厚さ不均一性及びおよそ0.2原子パーセント未満の水素濃度を有する)
    を含む、集積回路。
  31. 絶縁層が、シリコンナイトライドを含む、請求項30に記載の集積回路。
  32. 絶縁層中のシリコンと窒素の比が、実質的に化学量論的である(stoichiometric)、請求項31に記載の集積回路。
  33. さらに、絶縁層の下に結晶シリコン表面を含み、該シリコン表面が実質的に窒素を含まない、請求項30に記載の集積回路。
  34. 絶縁層がシリコンオキサイドを含む、請求項30に記載の集積回路。
  35. 絶縁層がシリコンオキシナイトライドを含む、請求項30に記載の集積回路。
  36. 厚さ不均一性がおよそ5%以下である、請求項30に記載の集積回路。
  37. 厚さ不均一性がおよそ2%以下である、請求項36に記載の集積回路。
  38. 水素濃度がおよそ1原子パーセント未満である、請求項30に記載の集積回路。
  39. 水素濃度がおよそ0.2原子パーセント未満である、請求項38に記載の集積回路。
  40. 絶縁層の表面粗度が、基板表面粗度よりおよそ5Å以下だけ大きい、請求項30に記載の集積回路。
JP2004523521A 2002-07-19 2003-07-18 超高品質シリコン含有化合物層の形成方法 Expired - Lifetime JP5005170B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39757602P 2002-07-19 2002-07-19
US60/397,576 2002-07-19
PCT/US2003/022393 WO2004009861A2 (en) 2002-07-19 2003-07-18 Method to form ultra high quality silicon-containing compound layers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010143215A Division JP2010283357A (ja) 2002-07-19 2010-06-23 超高品質シリコン含有化合物層の形成方法

Publications (3)

Publication Number Publication Date
JP2005534181A true JP2005534181A (ja) 2005-11-10
JP2005534181A5 JP2005534181A5 (ja) 2006-07-27
JP5005170B2 JP5005170B2 (ja) 2012-08-22

Family

ID=30771082

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004523521A Expired - Lifetime JP5005170B2 (ja) 2002-07-19 2003-07-18 超高品質シリコン含有化合物層の形成方法
JP2010143215A Pending JP2010283357A (ja) 2002-07-19 2010-06-23 超高品質シリコン含有化合物層の形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010143215A Pending JP2010283357A (ja) 2002-07-19 2010-06-23 超高品質シリコン含有化合物層の形成方法

Country Status (3)

Country Link
US (3) US7297641B2 (ja)
JP (2) JP5005170B2 (ja)
WO (1) WO2004009861A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008235397A (ja) * 2007-03-19 2008-10-02 Elpida Memory Inc 半導体装置の製造方法
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2012028741A (ja) * 2010-06-22 2012-02-09 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2013062541A (ja) * 2012-12-21 2013-04-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2014033229A (ja) * 2009-01-07 2014-02-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2014116626A (ja) * 2009-09-30 2014-06-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2018524808A (ja) * 2015-06-16 2018-08-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
JP2021061414A (ja) * 2013-03-14 2021-04-15 エーエスエム アイピー ホールディング ビー.ブイ. 低温でのSiNの蒸着用Si前駆体

Families Citing this family (444)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7405452B2 (en) * 2004-02-02 2008-07-29 Hamza Yilmaz Semiconductor device containing dielectrically isolated PN junction for enhanced breakdown characteristics
FR2867310B1 (fr) 2004-03-05 2006-05-26 Soitec Silicon On Insulator Technique d'amelioration de la qualite d'une couche mince prelevee
US7282449B2 (en) 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
FR2867307B1 (fr) 2004-03-05 2006-05-26 Soitec Silicon On Insulator Traitement thermique apres detachement smart-cut
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
KR100632460B1 (ko) * 2005-02-03 2006-10-11 삼성전자주식회사 반도체 소자의 제조 방법
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP4455381B2 (ja) * 2005-03-28 2010-04-21 住友電工デバイス・イノベーション株式会社 半導体装置およびその製造方法、容量素子およびその製造方法、並びにmis型半導体装置およびその製造方法。
FR2886457B1 (fr) * 2005-05-27 2007-12-07 Soitec Silicon On Insulator Procede de fabrication d'une structure a couche d'oxyde d'epaisseur desiree,notammentt sur substrat de ge ou sige
EP1898456A4 (en) * 2005-06-08 2009-11-18 Univ Tohoku PLASMA NITRURATION METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND PLASMA PROCESSING APPARATUS
US7678420B2 (en) * 2005-06-22 2010-03-16 Sandisk 3D Llc Method of depositing germanium films
JP4203054B2 (ja) * 2005-08-16 2008-12-24 株式会社東芝 半導体膜の成膜方法
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7704894B1 (en) * 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
KR20090033788A (ko) * 2007-10-01 2009-04-06 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법과 기판 처리 장치
WO2009045964A1 (en) * 2007-10-01 2009-04-09 Applied Materials, Inc. Low temperature conformal oxide formation and applications
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
US20090155606A1 (en) * 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP4611414B2 (ja) 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8189364B2 (en) 2008-12-17 2012-05-29 Qs Semiconductor Australia Pty Ltd. Charge retention structures and techniques for implementing charge controlled resistors in memory cells and arrays of memory
US8142862B2 (en) * 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110042685A1 (en) * 2009-08-18 2011-02-24 Qs Semiconductor Australia Pty Ltd Substrates and methods of fabricating epitaxial silicon carbide structures with sequential emphasis
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9064693B2 (en) 2010-03-01 2015-06-23 Kirsteen Mgmt. Group Llc Deposition of thin film dielectrics and light emitting nano-layer structures
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110272707A1 (en) * 2010-05-06 2011-11-10 Qs Semiconductor Australia Pty Ltd Substrates and methods of forming film structures to facilitate silicon carbide epitaxy
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
KR101147727B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
JP5560147B2 (ja) * 2010-09-13 2014-07-23 東京エレクトロン株式会社 成膜方法及び半導体装置の製造方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5687715B2 (ja) * 2010-12-27 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
TWI496932B (zh) * 2012-03-09 2015-08-21 Air Prod & Chem 用於顯示裝置的阻絕物材料
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589803B2 (en) 2012-08-10 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate electrode of field effect transistor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5839606B2 (ja) 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
KR101551199B1 (ko) * 2013-12-27 2015-09-10 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
FR3043699B1 (fr) * 2015-11-16 2019-06-14 Kobus Sas Procede de formation d'oxyde et/ou de nitrure d'aluminium et dispositif pour la mise en oeuvre d'un tel procede
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR20180011713A (ko) * 2016-07-25 2018-02-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 상기 반도체 장치의 제작 방법
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US11261524B2 (en) * 2018-04-09 2022-03-01 Silcotek Corp. Chemical vapor deposition process and coated article
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11538677B2 (en) * 2020-09-01 2022-12-27 Applied Materials, Inc. Systems and methods for depositing high density and high tensile stress films
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6195535A (ja) * 1984-10-16 1986-05-14 Matsushita Electric Ind Co Ltd 窒化硅素膜の製法
JPH01179710A (ja) * 1988-01-08 1989-07-17 Nec Corp 絶縁薄膜の製造方法
JP2000100811A (ja) * 1998-09-18 2000-04-07 Rohm Co Ltd 半導体装置の製造方法
US6087229A (en) * 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
WO2002043115A2 (en) * 2000-11-24 2002-05-30 Asm America, Inc. Surface preparation prior to deposition

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3900597A (en) 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
GB1573154A (en) 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
JPS5845177B2 (ja) * 1979-03-09 1983-10-07 富士通株式会社 半導体表面絶縁膜の形成法
US4237151A (en) * 1979-06-26 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Thermal decomposition of silane to form hydrogenated amorphous Si film
US4277320A (en) * 1979-10-01 1981-07-07 Rockwell International Corporation Process for direct thermal nitridation of silicon semiconductor devices
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4363828A (en) * 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
JPS5958819A (ja) * 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5989407A (ja) * 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4610859A (en) * 1983-12-29 1986-09-09 Mitsui Toatsu Chemicals, Inc. Process for producing silicon hydrides
JPS6193630A (ja) * 1984-10-15 1986-05-12 Matsushita Electric Ind Co Ltd 二酸化硅素膜の製法
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
US4715937A (en) * 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
JP2592238B2 (ja) 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
US4684542A (en) * 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) * 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
JPS6414928A (en) * 1987-07-09 1989-01-19 Matsushita Electric Ind Co Ltd Amorphous semiconductor element and manufacture thereof
US4902645A (en) * 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
EP0332101B1 (en) 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
US5091761A (en) * 1988-08-22 1992-02-25 Hitachi, Ltd. Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover
US4891103A (en) * 1988-08-23 1990-01-02 Texas Instruments Incorporated Anadization system with remote voltage sensing and active feedback control capabilities
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) * 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
KR100209856B1 (ko) * 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
EP0486047B1 (en) 1990-11-16 1999-09-01 Seiko Epson Corporation Process for fabricating a thin film semiconductor device
US5849601A (en) * 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JP2794499B2 (ja) 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3200863B2 (ja) 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
US5695819A (en) * 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5614257A (en) * 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
JP3121131B2 (ja) * 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
JP3181357B2 (ja) * 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JP2845303B2 (ja) 1991-08-23 1999-01-13 株式会社 半導体エネルギー研究所 半導体装置とその作製方法
JPH0562911A (ja) 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
DE4419074C2 (de) * 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) * 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US6083810A (en) * 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5656531A (en) * 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JPH07249618A (ja) 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
WO1995034916A1 (fr) * 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
KR0180779B1 (ko) 1995-02-27 1999-03-20 김주용 반도체소자의 캐패시터 제조방법
US5698771A (en) * 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US6161498A (en) 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
US6355656B1 (en) * 1995-12-04 2002-03-12 Celgene Corporation Phenidate drug formulations having diminished abuse potential
US5869389A (en) * 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) * 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US5789030A (en) * 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JPH09270421A (ja) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US6136654A (en) * 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5907792A (en) * 1997-08-25 1999-05-25 Motorola,Inc. Method of forming a silicon nitride layer
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
JP4014700B2 (ja) * 1997-10-01 2007-11-28 独立行政法人科学技術振興機構 結晶薄膜製造方法
US6228181B1 (en) * 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
US6027705A (en) * 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
JP4208281B2 (ja) 1998-02-26 2009-01-14 キヤノン株式会社 積層型光起電力素子
JP3854731B2 (ja) * 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
EP1097473A1 (en) * 1998-07-10 2001-05-09 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
JP2000058483A (ja) * 1998-08-05 2000-02-25 Hitachi Ltd 半導体装置の製造方法
US6210813B1 (en) * 1998-09-02 2001-04-03 Micron Technology, Inc. Forming metal silicide resistant to subsequent thermal processing
KR100363083B1 (ko) 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
JP3754568B2 (ja) 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6197669B1 (en) * 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
DE60042045D1 (de) 1999-06-22 2009-06-04 Panasonic Corp Heteroübergangsbipolartransistoren und entsprechende Herstellungsverfahren
JP4192353B2 (ja) * 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6649496B2 (en) 2000-03-23 2003-11-18 Matsushita Electric Industrial Co., Ltd. Production method for semiconductor crystal
US6533183B2 (en) * 2000-05-03 2003-03-18 Novo Nordisk A/S Coding of cartridges for an injection device
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6482705B1 (en) 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
US6503846B1 (en) * 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7005160B2 (en) 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7601860B2 (en) * 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6195535A (ja) * 1984-10-16 1986-05-14 Matsushita Electric Ind Co Ltd 窒化硅素膜の製法
JPH01179710A (ja) * 1988-01-08 1989-07-17 Nec Corp 絶縁薄膜の製造方法
US6087229A (en) * 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
JP2000100811A (ja) * 1998-09-18 2000-04-07 Rohm Co Ltd 半導体装置の製造方法
WO2002043115A2 (en) * 2000-11-24 2002-05-30 Asm America, Inc. Surface preparation prior to deposition

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008235397A (ja) * 2007-03-19 2008-10-02 Elpida Memory Inc 半導体装置の製造方法
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8524580B2 (en) 2009-01-07 2013-09-03 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor substrate and substrate processing apparatus
JP2014033229A (ja) * 2009-01-07 2014-02-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2014116626A (ja) * 2009-09-30 2014-06-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012028741A (ja) * 2010-06-22 2012-02-09 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2013062541A (ja) * 2012-12-21 2013-04-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2021061414A (ja) * 2013-03-14 2021-04-15 エーエスエム アイピー ホールディング ビー.ブイ. 低温でのSiNの蒸着用Si前駆体
JP7123115B2 (ja) 2013-03-14 2022-08-22 エーエスエム アイピー ホールディング ビー.ブイ. 低温でのSiNの蒸着用Si前駆体
JP2018524808A (ja) * 2015-06-16 2018-08-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス

Also Published As

Publication number Publication date
JP2010283357A (ja) 2010-12-16
US7651953B2 (en) 2010-01-26
JP5005170B2 (ja) 2012-08-22
WO2004009861A8 (en) 2004-05-27
US20080038936A1 (en) 2008-02-14
US7297641B2 (en) 2007-11-20
WO2004009861A3 (en) 2004-07-22
US7964513B2 (en) 2011-06-21
US20050118837A1 (en) 2005-06-02
US20090311857A1 (en) 2009-12-17
WO2004009861A2 (en) 2004-01-29

Similar Documents

Publication Publication Date Title
JP5005170B2 (ja) 超高品質シリコン含有化合物層の形成方法
US7629267B2 (en) High stress nitride film and method for formation thereof
JP4281082B2 (ja) 堆積前の表面調整方法
JP4685104B2 (ja) 低温シリコン化合物堆積
KR100809759B1 (ko) 산질화막을 형성하는 방법 및 장치
US6348420B1 (en) Situ dielectric stacks
US7202166B2 (en) Surface preparation prior to deposition on germanium
US6825051B2 (en) Plasma etch resistant coating and process
US7294582B2 (en) Low temperature silicon compound deposition
US20030215570A1 (en) Deposition of silicon nitride
US11417518B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2006310801A (ja) 高誘電率膜上のシリコンオキサイドキャップ
JP2004529489A (ja) 高誘電率ゲート絶縁層の形成方法
EP1449240A1 (en) Incorporation of nitrogen into high k dielectric film
CN115110058A (zh) 半导体装置的制造方法、基板处理方法、记录介质和基板处理装置
JP2004296887A (ja) 半導体装置の製造方法及び基板処理装置
KR101462154B1 (ko) 텅스텐 박막 증착방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060612

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090527

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090610

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090610

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090827

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100623

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100706

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20100827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120208

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120523

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150601

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5005170

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term