JP4685104B2 - 低温シリコン化合物堆積 - Google Patents

低温シリコン化合物堆積 Download PDF

Info

Publication number
JP4685104B2
JP4685104B2 JP2007530121A JP2007530121A JP4685104B2 JP 4685104 B2 JP4685104 B2 JP 4685104B2 JP 2007530121 A JP2007530121 A JP 2007530121A JP 2007530121 A JP2007530121 A JP 2007530121A JP 4685104 B2 JP4685104 B2 JP 4685104B2
Authority
JP
Japan
Prior art keywords
silicon
layer
process chamber
batch
trisilane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007530121A
Other languages
English (en)
Other versions
JP2008511993A (ja
Inventor
ルーベン ハヴェルコルト
ユエ メイ ワン
ブランク マリヌス ジェイ. デ
ヤコブス ヨハネス ベウレンス
マイケル エー. トッド
キース ディー. ウィークス
クリスチャン ジェイ. ウェルクホーフェン
クリストフ エフ. ポマレド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2008511993A publication Critical patent/JP2008511993A/ja
Application granted granted Critical
Publication of JP4685104B2 publication Critical patent/JP4685104B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Luminescent Compositions (AREA)

Description

本発明は一般に集積回路作製時のシリコン含有層の形成に関し、より詳しくは、反応速度制限プロセッシングによる均一なシリコン化合物層を形成する方法に関する。
超小型電子デバイスの寸法が小さくなるにつれて、厚さ、組成およびカバレージの均一性を含む堆積層の物理的特性が重要になってくる。これは特に集積回路の導体素子を分離する誘電体または絶縁体として作用することが可能なシリコン化合物の層または膜について言える。例えば窒化シリコン材料は半導体産業においてトランジスタゲート誘電体、金属レベル間の絶縁体、酸化または他の拡散を防止するバリア、ハードマスク、パシベーション層、トランジスタ内のスペーサ材料、反射防止コーティング材料、不揮発性メモリ内の層等として広く用いられている。酸化シリコンおよび炭化シリコン材料は同様に集積回路作製において一般的である。
現在、化学気相成長(CVD)が基板上の薄層の堆積のために最も一般的に用いられているプロセスである。このプロセスにより最終的に堆積層を形成する分子または原子の前駆体が分子前駆体として反応チャンバ内に同時に供給される。基板は所望の原子または分子種の層が基板上に堆積されるように分子前駆体間の化学反応を促進するために最適化された温度に維持される。従来のCVDによりシリコン含有薄層を形成するのに最も一般的な分子前駆体はシランであった。
CVDは比較的均一な厚さを有する層を堆積する優れた能力を有することが証明されている。加えて比較的コンフォーマルな層、すなわちそれらの層が上に堆積される表面の形状を厳密に複製する層を作製する。しかしデバイス密度が上昇し続けるとともに、形状がより複雑になっていくため、堆積プロセスはより均一且つコンフォーマルな層のニーズを満たすようにさらに改良されてきた。
これらの理由のため原子層堆積(ALD)が半導体製造においてより広がってきた。ALDは通例多数の堆積サイクルを含み、各サイクルは薄層を堆積する。ALDは各サイクル中に単一の単分子層しか堆積しないことにより完全にコンフォーマル且つ均一な層を堆積しようとするものである。通例これは自己終結前駆体分子の使用および前駆体の濃縮および熱分解を回避するように条件を最適化することにより達成される。例えばチタニウム化合物層を堆積するためには、TiClなどのチタニウム前駆体分子を用いることができる。TiClによればチタニウム原子が基板の表面に付着する一方で、塩素原子が基板表面と反対側のチタニウム原子の側で吸着層を終結させる。その結果基板表面がチタニウム分子の単分子層で被覆されると、チタニウム層の上部は比較的不活性で吸着プロセスを自己終結させる塩素原子を含む。
CVDとは対照的に、化合物層すなわち2つ以上の元素を含む層を作製するのに用いられるALD分子前駆体は通例別々のパルスでALDリアクタ内に導入される。例えば第1の前駆体は第1のパルスで基板に自己制限的に吸着し、吸着種のリガンドはさらなる吸着を防止する。前駆体の導入間に反応チャンバは、異なる前駆体間の気相反応を防止するために不活性ガスで排気またはパージされる。第1の前駆体のパージ後、第2の前駆体を反応チャンバ内に導入することにより第1の前駆体の導入により堆積された層と反応する、例えばリガンドを剥離またはリガンドを置換することができる。このようにして1サイクルが完了するとともに1つの薄化合物層が基板上に堆積される。層が第2の前駆体と反応した後、第2の前駆体(および任意の副産物)を排気または不活性ガスパージにより除去することができる。これらの前駆体に加えて他の反応物も各サイクル中に反応チャンバ内にパルスで送ることができる。そして所望の厚さの化合物層が達成されるまでこのサイクルを繰り返すことができる。
ALDはCVDに比べて優れた共形性および均一性をもたらすが、ALDは速度の点で比較的非効率である。所望の厚さの層は理論的には一度に1分子の単層が形成されなければならず(実際には立体障害の結果として反応部位のブロッキングにより、1分子未満の単分子層が通例である)、さらに各単分子層を形成するために多数のステップを用いなければならないため、ALDは所与の厚さを有する層の形成がCVDより遅い。その結果共形性および均一性が向上する一方で、ALDはCVDに比べてスループットが低下するという欠点を有する。
それにもかかわらず半導体製造は現在、数千さらに数百万のデバイスを同時に直径が200ミリメートル(mm)の基板上に作製するプロセス中に、シリコン含有化合物膜の堆積を含むため高い共形性および均一性は重要な問題点である。さらにまたこの産業は300mmウェハに移行するとともに、将来さらに大型のウェハを使用することもある。加えてフラットパネルディスプレイの形状でさらに大型の基板等がますます一般的になってきている。製造プロセス中のシリコン含有化合物膜の厚さおよび/または組成の大きな変動は、影響を受けたデバイスが必要な性能仕様を満たさなければ製造歩留まりの低下につながる恐れがある。また特定のデバイス内の膜にわたる変化はデバイス性能および/または信頼性を低下させる恐れがある。このように回路上の多数の超小型電子デバイスの製造に対応するために基板サイズが増加するにつれて、従来のCVDプロセスの短所により生じる問題も増加する。
その結果高いスループット、超小型電子デバイス内の回路のサイズの低下、および基板の表面積の増加に対する変わらぬニーズにより、高いスループットも可能にしつつ、シリコン化合物のより均一且つコンフォーマルな層を形成する方法に対して引き続きニーズがある。
本発明の一局面によれば集積回路の作製方法が提供される。この方法は複数の基板をトリシランの供給に露出することによりバッチプロセスチャンバ内で基板上にシリコン層を堆積することを含む。シリコン層の反応速度制限堆積を達成するようにプロセスチャンバ内のプロセス条件を選択する。トリシランの供給を遮断する。トリシラン供給の遮断後に、シリコン層を反応種に露出することによりシリコン化合物層を形成する。
本発明のさらに他の局面によれば半導体処理方法が提供される。この方法は反応チャンバ内に反応速度制限堆積条件を設定することを含む。複数の基板をシリコン源に露出することにより反応チャンバ内で基板の各々上にシリコン層を堆積する。シリコン層は約3Å〜約30Åの厚さを有する。シリコン源はポリシランである。シリコン源の流れを遮断し、シリコン源を反応チャンバから除去する。シリコン層をラジカルに露出してシリコン化合物層を形成する。
本発明の他の局面において半導体リアクタが提供される。該リアクタはプロセスチャンバとプロセスチャンバ内の真空チューブとを備える。チューブは絶縁スリーブにより形成され、スリーブは電源に接続された導電性材料のコイルを収容している。チューブはスリーブの外側であるがプロセスチャンバ内にプラズマを発生するように構成されている。
好適な実施形態の詳細な説明および添付の図面(これらは本発明を図示するものであって限定するものではなく、全体を通して同様な番号は同様な部分を指す。)から本発明をより良く理解されよう。
2002年7月16日に出願された、上記に援用した米国特許出願第10/623,482号明細書に対する優先権を主張する、トッド(Todd)らに与えられた特許国際公開第04009861A2号パンフレットは、窒化シリコンを堆積して高均一且つコンフォーマルな膜を形成する一方法を開示している。トッド(Todd)らは、介在パージステップと交互に行われるトリシランおよび窒素源パルスを教示している。しかしトッド(Todd)らはこのような堆積に対する質量流量制限レジームの重要性を強調している。
質量流量制限レジームにおける高品質の結果がバッチシステムよりも枚葉式基板リアクタの状況においてより容易に達成されることは理解できよう。バッチシステムは、複数の基板を同時に処理することにより高スループットを可能にするため好都合である一方で、反応チャンバ内のすべての基板にわたる前駆体蒸気の均等分布を達成する際に困難に直面し得る。質量流量制限レジームにおける局所堆積速度は前駆体の局所濃度によるため、前駆体の不均等分布は、基板にわたってまたは基板のバッチにわたって不均等な堆積速度を生じ得る。そして不均等な堆積速度が不均一層を生じ得る。その一方でバッチシステムは高均一温度分布を達成するためにホットウォールリアクタの原理を採用することが多い。従って好適な実施形態による堆積を、質量移動制限レジームではなく、速度論的制限反応レジームまたは速度論的レジームとしても既知の、反応速度制限条件下で行うことが好ましく、堆積速度は温度変化に敏感であるが供給される反応物濃度には比較的影響を受けない。
好適な実施形態による堆積は、特にバッチ処理の場合、高均一且つコンフォーマルなシリコン含有化合物層の形成を可能にする。シリコン前駆体はバッチプロセスチャンバ内に流入されてシリコン層を堆積する。シリコン前駆体はその後、例えば排気によりまたはパージガスなどの他のガスによるパージにより該チャンバから除去される。他の前駆体が該チャンバ内に流入されてシリコン層と反応することにより、シリコン化合物層を形成する。他の前駆体はその後該チャンバから除去される。この前駆体の流入およびチャンバからの除去のシーケンスを所望であれば繰り返して、所望の厚さのシリコン含有化合物層を形成することができる。堆積条件は、シリコン含有化合物層の形成が速度論的レジームで生じるように選択されることが好ましい。
シリコン前駆体はシランであることが好ましく、ポリシラン(化学式Si2n+2(式中、n=2〜4)を有するシラン)であることがより好ましく、ポリシランがトリシランであることが最も好ましい。窒化シリコンを形成するために、他の前駆体は窒素種、例えば窒素ラジカルを含む励起窒素種である。励起窒素種をリモートまたはインサイチュ(in−situ)プラズマによって発生させることができる。いくつかの好適な実施形態において励起窒素種流はアンモニア流と混合される。他の好適な実施形態においてアンモニア流は窒素種ではない励起種流と混合される。予想に反してアンモニアと励起種との組合せは、堆積膜の品質、特に均一性を有利に向上させることが分かった。
このように好適な実施形態による堆積は、以下にさらに説明するように非常に均一且つコンフォーマルな膜の形成を有利に可能にする。
ここで図を参照するが、全体を通して同様な番号は同様な部分を指す。
好適なバッチリアクタ
図1〜6は、オランダ国ビルトホーヘン(Bilthoven,The Netherlands)のASMインターナショナル(International)N.V.から商品名アドバンス(Advance)412TMまたはA412TMで市販されている、例示的なバッチリアクタの2つの異なる型を図示する。図示のリアクタは垂直炉タイプのリアクタであり、効率的な加熱および装填シーケンスの利点があるが、当業者には本明細書に開示した原理および利点が他のタイプのリアクタに適用され得ることは理解されよう。
図1を参照すると、ガス注入器を備えた例示的な細長炉の概略的断面側面図が示されている。プロセスチューブまたはチャンバ526は加熱素子(図示せず)によって好適に取り囲まれている。反応空間529の外周を定めるライナ528はプロセスチャンバ526内に好適に設けられている。プロセスチャンバ526の下部においてウェハロード550がドア530によってプロセスチャンバ526に出入りし得ることが好ましい。前駆体原料ガスはガス注入器540を通過して、好適にはガス供給管544により注入される。ガス注入器540には、好適には実質的にウェハロード550の高さわたって延在する、あるパターンの穴548が設けられている。なおガスはまずガス注入器540の穴548から反応空間529内に導入されるため、ガスが移動するガス注入器540などのガス送出装置の内部は、反応空間529の一部ではなく、ある意味では反応空間529の外側である。したがって反応空間529は、ガス注入器540などのガス送出装置により占有される容積を除くプロセスチャンバ526の室内容積を備える。
好適な実施形態においてプロセスチャンバ526内では、ガスは概して上方向552に流された後、プロセスチャンバ526とライナ528との間の排気空間554を介して反応空間529から除去される。排気空間554ではガスはポンプ(図示せず)に接続された排気管558へと下方向556に流れる。ガス注入器540はプロセスガスをプロセスチャンバ526内に反応空間529の高さ全体にわたって好適に分配する。ガス注入器540自体はガス流に対する制限として作用して、管544により近い穴548が、管544からより遠い穴548よりも多くのガスを反応空間内に注入しやすくなっている。穴548を通過するガス流の差のこの傾向は、穴548が管544から遠く離れて位置するほど、穴548間の距離を低減する(すなわち穴548の密度を増す)ことによりある程度補償することができることが好ましい。他の実施形態において、穴548を構成する個々の穴のサイズが、管544からの距離が増すにつれて増大してもよく、または管544からの距離が増すにつれて穴548のサイズが増大するとともに穴48間の距離も低減してもよい。しかし好適な実施形態は一定のサイズの穴548を備えて、穴548を含むガス注入器540の側面の表面積を最小限に抑えるように図示されており有利である。
注入器540はガス注入器内の圧力を低減するのに好都合に設計されていることにより、反応速度は通例圧の増加に伴って増加するため、注入器内の気相反応を低減する。このような圧力の低下はガス注入器540の高さにわたるガスの分布不良につながる恐れがあるが、注入器540の高さにわたる穴548の分布を選択することによりガス分布の均一性が改善される。
本発明の図示の一実施形態によるガス注入器540が図2に示されている。ガス注入器540は2つのガス注入部541および542を好適に備えており、各々別々のガス供給管接続部545および546がそれぞれ好適に設けられている。第1部541はガスを反応空間529の下方容積(図1)内に注入するとともに、第2部542はガスを反応空間529の上方容積(図1)内に注入する。部541および542は連結部549および551によって接続されている。その上端においてガス注入器540にフック553を設けて、ガス注入器540の上端をチャンバ526(図1)内のフック支持体に固定することができる。
ガス注入器540には、実質的にウェハロード550(図1)の高さ560(図1)にわたって延在するあるパターンの穴548が設けられている。穴の合計断面は好ましくは少なくとも約30mmである。穴548の各々の直径は好適には約1mm以上であり、より好適には約2.5mm〜3.5mm、最も好適には約3mmである。図2に示した図示の実施形態において、ガス注入器540は約282mmの合計穴断面積に対して全体で40個の穴を有する。より一般的に言うと穴548の合計断面積は、好適には約30mm以上、より好適には約196mm〜385mmである。
図3を参照すると、ガス注入器540の各部541および542はそれぞれ、ガス注入器540を介する原料ガスの誘導に利用可能な内部断面積564および562を有する。好適には内部断面積564および562の各々は少なくとも約100mmである。図示の実施形態においてガス注入器540の各部541、542の断面積は約330mmである。より一般的に言うと、各部541、542の断面積は、約140mm〜600mmであり、より好適には約225mm〜455mmである。
図3に示した断面はガス注入器540の下端を通り、ガスをプロセスチャンバ526の下端内に注入する、ガス注入部541内に設けられた一対の注入穴548を一直線に通っている。各ガス注入部において、穴548は同じ高さに対で設けられていることが好ましい。さらに2つの穴548は、約90度に図示されている、約60〜120度の角度570をなす2つの方向566および568に前駆体ガスを注入して、放射方向の均一性を改良する。さらにまた図示のようにガス注入器540を備えるチューブは、水平断面に見られるように楕円形状を有することが好ましい。好適には楕円形状の長径はプロセスチャンバ526の中心に面している。すなわち長径を有する楕円形状の側面はプロセスチャンバ526の中心から放射上に延びる仮想線に垂直である。
好適な実施形態においてCVDモードで、二成分膜の2つの構成要素を提供する2つの前駆体原料ガスは、供給管接続部545および546(図2)によりガス注入器540に進入する前にガス供給システム(図示せず)内で混合される。ガス供給システム内での前駆体ガスの事前混合は、ボートの高さにわたって注入されるガスの均質組成を確実にする1つの方法である。しかしガスを事前混合せずにプロセスチャンバ526(図1)内に流入させることができる。他の実施形態において2つの前駆体原料ガスは、各々それらの別々のガス注入器540(図示せず)により注入することができるため、ガスはまず反応空間529内に注入された後に混合される。その結果2つ以上のガス注入器540をプロセスチャンバ526内に配置し得ることは理解されよう。
有利には、2つのガス注入部541および542の利用によりさらなる調整可能性を許容する。異なるガス注入部541、542に供給される流れを異なるように選択することにより、反応空間529へのガス流を微調整することができる。これによりウェハロード550(図1)の高さ560にわたる前駆体の堆積速度の均一性が向上することになる。
図4を参照するとプロセスチューブ526がリモートプラズマ発生器と関連して示されている。図示のリモートプラズマユニットは、米国マサチューセッツ州、ウィルミントン(Wilmington,MA,USA)のMKSインスツルメンツ(Instruments)から市販されているマイクロ波ラジカル発生器(MRG)を備えているとともに、作動中反応物源が流れるプラズマキャビティまたはアプリケータ582に結合されたマイクロ波パワージェネレータ580を含んでいる。マイクロ波パワーはパワージェネレータ580から導波管584を介してプラズマキャビティ582に結合されている。好適には図示の実施形態では窒素の供給源であり、最も好適には2原子窒素ガス(N)である反応物源は作動中プラズマキャビティ582中を流れ、プラズマ生成物が管586によりプロセスチャンバ526に搬送される。管の材料および長さは当業者には理解できるように、Nへの再結合を最小限に抑えるとともにおよびイオンの送出を最小限に抑えつつ、中性窒素種(N)の送出を最大化するように最適化されることが好ましい。管は図1〜3に図示したタイプのガス注入器に通じることができ、またはプロセスチューブ526の下フランジ内などのより従来のガス注入口に通じることが可能であり、そこからプラズマ生成物(および他の反応物)が上方に流れて基板表面にわたって横方向に拡散する。
リモートMRGユニットの使用は特に好適な実施形態のパルス化シリコン前駆体プロセスに適用可能である。多くのバッチプロセスとは異なり、好適な実施形態の窒化(または他の化合物形成ステップ)は速度論的レジームの自己制限プロセスであり、プロセスチャンバ526内のラジカル分布の均一性は重要ではない。過剰反応は結果の観点から問題ではない。それでもなおラジカル分布の非均一性は、窒化プロセスを長引かせる、つまりプロセスチャンバ526内での各垂直位置における各ウェハにわたる完全な窒化を確実にするように窒化がより長時間行われることになるため不利である。さらにまた均一性の問題以外に、プラズマキャビティ582からプロセスチャンバ526まで、およびプロセスチャンバ526内で各ウェハに到達するまでの横断距離は、再結合を生じる途中の衝突による比較的低いラジカル残留率につながる。
図5を参照すると、インサイチュプラズマ源590をプロセスチャンバ526内に設けてウェハ550にわたるラジカルの分布を改善することができる。プラズマ源は、好適にはプロセスチャンバ526(図1)の高さの約半分を越えて延びており、プロセスチャンバ526内のウェハスタック550の高さの少なくとも約90%延びていることがより好適である。
図6を参照すると、プラズマ源590は例えば銅で形成され、例えば石英またはより好適にはサファイアで形成された絶縁スリーブ594内に封止された、導電性コイル592を含む。場合によっては鉄またはフェライトで形成された導電性コア596も含まれる。絶縁スリーブ594により規定される容積(図1)は、好適に排気されることにより絶縁スリーブ594内のプラズマ発生を防止する。
作動時には電流がコイルに印加される。容易に利用可能な高周波(RF)電源例えば13.56MHzをこの目的のために用いることができる。プラズマ源590を取り囲むプロセスガスは、絶縁スリーブ594の外であるがプロセスチャンバ526(図1)の内部で、プラズマ源590を取り囲む環内で点火される。ウェハ550に近接しているため、リモートプラズマ発生器の使用と比べて、より低い電力を用いることができる。ウェハにわたる分布の対称性は作動中にウェハボートを回転させることにより提供することができる。
好適なシリコン前駆体
シランをシリコン前駆体として用いることが好適である。シランをモノシラン(SiH)、ポリシラン、およびクロロシラン(SiH4−nCl(式中、n=1〜4))からなる群から選択することができる。
ポリシランを、以下に説明するようにシリコン層を形成するためのシリコン前駆体として用いることが好適である。本明細書で用いるように「ポリシラン」は化学式、Si2n+2(式中、n=2〜4)を有する。ポリシランはジシランまたはトリシランであることが好適である。ポリシランはトリシランであることが最も好適である。その結果本発明を、トリシランでCVDサイクルを用いる特に好適な実施形態の状況において説明しているが、当業者には本開示の観点から、記載のプロセスのある利点を他の前駆体および/または他の堆積技術で得ることができるということが理解されよう。
トリシラン(HSiSiHSiHまたはSi)は、2003年7月18日に出願された米国特許出願第10/623,482号明細書、2002年2月11日に出願された米国特許出願第10/074,564号明細書、および2002年8月2日に発行されたPCT出願国際公開第02/064,853号パンフレット、に開示されているように、シリコン前駆体として用いられる場合、大きな利点を提供する。これらの開示全体を本明細書に引用して援用する。例えばシラン(SiH)などの他のシリコン前駆体を用いるより実質的により低い温度でトリシランを用いて膜を堆積することができる。さらにまたトリシランによる堆積速度は基板材料および厚さに比較的影響を受けない。またトリシランは非常に短い膜の核生成時間を有し、これはシリコンの局所結晶堆積のサイズを低減する。その結果堆積シリコン膜をより薄くすることができる一方でなお均一である。さらにまた膜は局所シリコン堆積のサイズの低下による表面粗さの低下を示すことになる。
加えてプロセススループットに関して、トリシランはシランに対してより高い堆積速度を示す。またトリシランはシランより低いプロセス温度の使用を可能にするためサーマルバジェットを低減する。
このように本明細書に説明した堆積方法でトリシランを用いることは多数の利点をもたらす。例えばこれらの堆積方法は均一に薄く且つ連続したシリコン含有化合物膜の作製を可能にする。これらの利点はより高い歩留まりでのデバイスの製造を可能にするとともに、より小さい回路寸法および/またはより高い信頼性を有する新たなデバイスの製造を可能にする。これらのおよび他の利点を以下に説明する。
好適なプロセスフロー
以下により詳細に説明するように、シリコン含有化合物層を形成する際、基板をシリコン前駆体に露出することにより薄いシリコン層をまず基板上に堆積させる。そしてシリコン層を他の反応種と反応させてシリコン含有化合物層を形成することができる。これらの堆積および反応の多数の連続サイクルを行うことによりシリコン含有化合物層を所望の厚さに積み重ねることができる。
図7は本発明の好適な実施形態による一般的なプロセスシーケンスを示す。基板がプロセスチャンバ内に提供されて、すべてのシーケンスステップがそのプロセスチャンバ内でその場(in situ)で好適に行われる。「基板」はその一般的な意味で本明細書で用いられ、上に本発明の好適な実施形態によりシリコン含有材料が堆積または適用される任意の下層表面を含む。好適な基板は実際には、限定はしないが、金属、シリコン、ゲルマニウム、プラスチックおよび/またはガラスを含む任意の材料で作製することが可能であり、シリコン化合物(Si−O−C−H低誘電率膜を含む)およびシリコン合金が好適である。また基板は内部に、部分作製集積回路と同様にトレンチまたは段差などの物理的構造を有することもできる。
ステップ100において基板をシリコン前駆体に露出することによりシリコン層を基板上に堆積する。このシリコン前駆体は好適にはシランであり、より好適にはポリシランであり、最も好適にはトリシランである。シリコン前駆体は供給ガスの形態または供給ガスの成分としてプロセスチャンバ内に導入することが好ましい。供給ガスは不活性キャリアガスなどのシリコン前駆体以外のガスを含むことができる。キャリアガスは当該技術で既知のキャリアガス、例えば窒素、水素、ヘリウム、アルゴンまたはそれらの様々な組合せを含むことができる。好適には窒素をキャリアガスとして用いる。シリコン前駆体がトリシランである場合、トリシラン蒸気を搬送するために、キャリアガスと共に使用する気泡管によりトリシランをプロセスチャンバに導入することが好適であり、温度制御気泡管を利用することがより好適である。
シリコン層の形成100において、当業者に既知の様々な堆積方法によりシリコン前駆体からの堆積を行うことができるが、堆積が本明細書で教示するCVD法により行われるときに最も大きな利点が得られる。開示する方法は、プラズマエンハンスト化学気相成長(PECVD)またはより好適にはサーマルCVDを含むCVDを採用することにより実行することができる。
堆積条件は基板が装填される特定のタイプのリアクタにおけるプロセッシングに対して好適に調整される。一般に堆積条件は十分なエネルギーを供給して、熱い基板表面上のシリコン前駆体を熱分解または分解するように設定される。
加えて堆積条件は、シリコン前駆体の反応速度がシリコン堆積速度に対する制限変数になるように好適に設定される。このように高均一温度分布を達成するホットウォールリアクタの能力を、均一な層を形成するのに有利に適用することができる。反応速度論的制限条件下で行われる堆積は温度変化に敏感な堆積速度を有するが、高温均一性を確立する能力はこの敏感性の影響を最小限に抑える。さらにまた反応速度論的制限条件は、供給された反応物濃度に比較的影響を受けない堆積速度を有するため有利である。
反応速度論的制限レジームが主に比較的低温の使用により達成されることは理解されよう。これはバッチ炉内で好適な低成膜速度をもたらす。大きなバッチサイズのため、十分なスループットは、反応速度制限レジームに移行する温度に起因する堆積速度で達成することができる。トリシランは非常に低い温度で受容可能な堆積速度を可能にすることにより、サーマルバジェットの消費の大幅な低減を可能にするため有利である。当業者には容易に理解し得るように、サーマルバジェットは臨界寸法が低下し、拡散の許容範囲が低下し、さらに熱処理に対する耐性が低い新たな材料が導入されるにつれて常に低下する。プロセスは約600℃未満の温度で作動されることが好適であり、約500℃未満の温度であることがより好適であり、約300℃〜約500℃の温度であることがさらに好適である。
温度に加えて、当業者には速度論的レジームが反応物供給量、またはシリコン前駆体の分圧に部分的に依存していることが理解されよう。反応速度は反応物が供給される速度より遅いことが好適である。
ステップ100で形成された膜の厚さを、所定の組の堆積パラメータ(例えば全圧および温度)に対して堆積時間および/またはガス流量を変化させることにより、当該技術で既知のように目的の用途により変化させることができる。特定の組の堆積条件に対して、シリコン層形成100のためのシリコン堆積の継続時間は、薄いシリコン層が形成されるように好適に選択される。薄く且つ均一なシリコン層を形成することにより、層を容易に完全に反応させることができるため、均一なシリコン含有化合物層の形成を可能にする。シリコン層の厚さはシリコンの単分子層より大きいが、約20Å未満であることが好適であり、約10Å未満であることがより好適である。
図7を続けて参照するとシリコン層形成100の後、任意の過剰シリコン前駆体および副産物がプロセスチャンバから除去される110。シリコン前駆体除去110は、以下の不活性ガスによるプロセスチャンバのパージ、シリコン前駆体の排気、またはシリコン前駆体ガスの反応種キャリアガスによる置換を含む除去プロセスの任意の1つまたは任意の組合せにより行うことができる。シリコン前駆体ガス除去110がパージにより行われる場合、プロセスチャンバを少なくとも一度チャンバ内の雰囲気を置換するのに十分に長い継続時間パージすることが好適である。
シリコン前駆体ガス除去110は、プロセスチャンバ内の特定の反応物の量が十分に低いレベルで、チャンバ内に進入する次の反応物との望まない副反応を最小限に抑えるように好適に行われることは理解されよう。これは転じて、形成されるシリコン含有化合物層内の不純物の好ましくない取り込みを最小限に抑える。このような低レベルの反応物は、例えば反応チャンバのパージまたは排気の継続時間を最適化することにより達成することができる。このようなレベルではプロセスチャンバは特定の反応物が実質的にないと言える。
続けて図7を参照すると、シリコン前駆体ガス除去110の後、シリコン層は反応種と反応120して、シリコン含有化合物層を形成することができる。シリコン含有化合物層は反応種のプロセスチャンバ内への導入により形成することができる。例えば窒化シリコン層の形成に対して本明細書で以下により詳細に説明するように、シリコン層を完全に反応させるとともに下層の構造へのダメージを回避するように反応条件を選択することが好適である。反応種は、記載したように窒化シリコン層を形成する反応性窒素種、または酸化シリコン層を形成する反応性酸素種を含むことができる。例示的反応性窒素種には(HSi)N(トリシリルアミン)、アンモニア、原子状窒素、ヒドラジン(H)、ヒドラゾイックアシッド(HN)、NF、上記の混合物、および上記と不活性ガス(例えばH、N、Ar、He)との希釈物などの化学種が挙げられる。窒素ラジカルおよび/またはアンモニアが以下に説明するように反応性窒素種であることがさらに好適である。
続けて図7を参照すると、シリコン含有化合物層の形成120後、シリコン前駆体除去110に対して上述した方法のいずれかを用いて反応物除去130を行うことができる。しかしステップ110および130が厳密に同じ方法により行われる必要はなく、例えば一方のステップがパージを含む一方で他方のステップが排気を含み得ることは理解されよう。
従って、ステップ100、110、120および130の実行は、1サイクル140を含むとともに、シリコン含有化合物の1層を基板上に堆積する。その後シリコン含有化合物層を所望の厚さに積み重ねるまでサイクル140を繰り返すことができる。
様々な他の層を堆積シリコン化合物層上に形成可能であることは理解されよう。例えばシリコン化合物層がゲート誘電体を形成する窒化シリコンである場合、当該技術分野で既知の方法によりゲート電極をゲート誘電体にわたって形成することができる。
図8A〜8Eを参照すると、ゲート誘電体の形成に適用された上記のプロセスの結果が様式化図に示されている。図8Aはウェハ洗浄後の基板400を図示している。当該技術分野で既知の様々な、別の場所(ex situ)における方法およびその場における方法によって堆積前のウェハ洗浄を実行できることは理解されよう。図8Bは堆積層の電気性能を向上するように基板400上に形成された界面層410を図示する。界面層410は例えば、限定されないが熱または化学酸化を含む当該技術で既知の方法、もしくは基板を酸化剤に露出することを含む他の方法、により別の場所で、あるいはその場で形成される酸化シリコン層であり得る。図8Cは界面層410上で最初のサイクル140(図7)を行うことにより形成された窒化シリコン層420を図示する。図8Dはサイクル140(図7)をその後さらに行うことにより、より厚く作製された窒化シリコン層420を図示する。図8Eはその後形成されたゲート電極430を図示する。
いくつかの実施形態においてシリコン含有化合物層をその後、各サイクル140のステップ130(図7)の後に、またはすべてのサイクル140を完了した後、反応させることが可能であることは理解されよう。例えば半導体(例えばSiGe)として機能するシリコン含有化合物層をその後ドープすることができる。他の例において、酸素源を導入して窒化シリコンを酸化してシリコンオキシナイトライドを形成することにより、シリコンオキシナイトライド(SiO)層を窒化シリコン層から形成することができる。このようなシリコンオキシナイトライド層を、図8A〜8Eを参照して上述した酸化シリコン層の代わりに、誘電層を形成する界面層として用いることが可能であることは理解されよう。シリコンカーバイドナイトライド(SiC)またはシリコンオキシカーバイド(SiO)を後の炭化、窒化または酸化ステップにより同様に形成することができる。
いくつかの実施形態において異なるシリコン源を異なるサイクル140(図7)で用いることができる。例えば1サイクルに対してトリシランをシリコン前駆体として用いることができるとともに、他のサイクルに対してジシランを用いることができる。サイクル140(図7)の最初の実行で、トリシランを用いて基板上に堆積される少なくとも第1のシリコン層を形成することが好適である。例えばハロシラン類(すなわち化学式R4−XSiH(式中、R=Cl、BrまたはIでありかつX=0〜3)を有するシリコン化合物)または他のシラン類(Si2n+2(式中、n=1〜4でありn≧2が好ましい)を用いて後続のシリコン層を形成することができる。シリコン前駆体の組合せを用いることができ、例えば第1のシリコン層形成後にトリシランとジシランとを同時に用いることができることも理解されよう。
各サイクル140のステップ100(図7)の温度が等温であることが好ましいが、異なるサイクル140に対して変化することが可能であることはさらに理解されよう。例えばシリコン層形成100が約525℃未満である第1の温度で行い得るが、約500℃未満であることが好適であり、約475℃未満であることが最も好適である。好適にはその層をその後数秒間放置することにより、シリコン含有化合物層の形成120(図7)前に、堆積した状態のシリコン層から水素を完全に排除することが可能である。好適にはその層を10秒を超えて放置させる。シリコン含有化合物層の形成120(図7)の場合、その後温度を第1の温度より高い第2の温度まで上昇させる。その後のサイクル140(図7)をこの第2の高い温度で等温的に行って、所望の厚さのシリコン含有化合物層を堆積することが好適である。このようなプロセスは、低温および水素排除期間が基板表面との界面において低水素含有量を有する膜を生じるため、結晶シリコン(例えばSiO、低誘電率スピンオングラス材料、金属酸化物、金属シリケートおよび金属)以外の基板表面上の窒化シリコン膜堆積に特に有用である。その後の堆積サイクルに対するより高い温度は、低水素界面の形成後により速い堆積およびスループットの向上を可能にするため有利である。
サイクル140のステップ100〜130のいずれかのステップまたは組合せを、次のステップに進む前に複数回実行可能であることも理解されよう。例えば各々シリコン前駆体源除去を伴う複数のシリコン前駆体パルスを行うことにより、シリコン層が反応してシリコン含有化合物層を形成する前にシリコン層を形成することができる。同様に各々反応種除去のために続けられる複数の反応種パルスを行うことにより、シリコン層を反応させて、他のシリコン層を形成する前または形成せずにシリコン含有化合物層を形成することができる。
窒化シリコン膜の堆積
図9はトリシランによるシリコン層と反応するとともにシリコン化合物層を形成するラジカルの使用に対するある局面においてより具体的な方法で窒化シリコン層の堆積のプロセスを図示する。
図9を参照するとまずトリシランを流すことによりシリコン層を堆積する690。上記したようにプロセス条件を速度論的レジームの堆積用に構成する。プロセスを約600℃未満の温度で作動することが好適であり、約500℃未満の温度がより好適であり、約400〜450℃の温度がさらに好適である。加えて反応物供給量またはトリシランの分圧を十分に低いレベルに設定することにより速度論的レジームの堆積を維持する。反応速度が反応物が供給される速度より遅い限り、適切に調整されたバッチ炉(均一温度を維持することができる)における均一性は良好である。セー(Sze)、VLST技術(VLSI TECHNOLOGY)、pp.240〜241(1988)を参照し、その開示を本明細書に引用して援用する。図示のバッチリアクタにおいて、処理圧力は約10Torr以下に維持され、約1Torr以下であることがより好適である。反応速度制限堆積を維持するために、トリシランを約100sccmトリシラン未満で供給することが好適であり、約20sccm未満であることがより好適である。トリシランは通例、N、H、ArまたはHeなどの非反応または不活性ガス流で希釈される。トリシラン分圧はこのように約10mTorr未満であり、約3〜4mTorrであることがより好適である。トリシランステップ690は約30〜120秒の継続時間を有することが好適である。約3〜30Åのシリコンが堆積ステップ690で堆積されることが好適であり、約3〜8Åがより好適であり、約4〜5Åが最も好適である。
堆積シリコン層の厚さを以下に説明するステップ694の窒化条件に基づいて選択することが好適である。これはシリコン層の窒化中、原子状窒素がシリコン層中および下層のシリコン基板内に拡散することが可能であるからである。この窒素拡散の深さは、当該技術で既知のように測定することができ、窒化温度および窒化継続時間を含む様々なプロセス条件に関係する。このように所与の組のプロセス条件に対して、原子状窒素はシリコン層内におよび場合によってはシリコン層を介して、窒化飽和深さと呼ばれる特定の深さまで拡散することになる。窒化が約1分未満しか生じない場合、窒化飽和深さを短期窒化飽和深さと呼ぶことができる。
下層基板の窒化は、論理的に予想されるものより劣る誘電特性を有する窒化シリコン層になることが見出された。したがって堆積窒化シリコン膜の誘電性能を向上するために、好適には基板上に形成された第1のシリコン層を窒化飽和深さ以上の厚さまで堆積することにより、下層基板の窒化を最小限に抑えることが好適である。続いて堆積される層は通例、この第1のシリコン層上に堆積される結果、窒化飽和深さよりも基板から遠く離間することになることは理解されよう。その結果第1の層の後に堆積されたシリコン層の厚さは、窒化飽和深さ以下である。
しかし所与の組の窒化条件の場合、第1のシリコン層の形成後、後続サイクルで形成されるシリコン層は、窒化飽和深さが比較的一定である一方で窒化シリコン層厚さが増大するためより薄くてもよい。例えば第1のシリコン層をほぼ窒化飽和深さ、例えば約8〜20Åまで堆積することができ、後続層をより薄い厚さ、例えば1サイクル当り約3Å〜10Åまで堆積することができる。シリコン層の厚さを変化させることに加えて、窒化温度および/または窒化継続時間などの他のプロセス条件を変化させて、窒化飽和深さがシリコン層の厚さより深くならないようにすることが可能であることは理解されよう。
堆積ステップ690後、トリシラン流は遮断される。図示の実施形態においてリアクタを好適には10秒〜5分間パージする692ことが好適であり、約20〜40秒間であることが最も好適である。パージ692は、気相反応および粒子を不本意に生じる恐れがある、トリシランと後続のラジカルとの間の相互作用を防止する。バッチリアクタ用の例示的パージ流は5slmNである。他の非反応ガスを用いることができるが、Nは本明細書に記載した窒化プロセスでの効率にとって特定の利点を有する。
続いて活性種が供給されて、堆積ステップ690により残された薄いシリコン層と反応する。図示の実施形態において前のパージステップ692では窒素ガス(N)が流れていた。従って単にN流を継続するとともにプラズマパワーをチューニングして694窒素ラジカルを活性化することにより、活性種を供給することができる。
トリシラン堆積に好適な非常に低い温度条件下で非反応性であるが、プラズマパワーをチューニングすることにより活性化してシリコン層と反応するラジカルを形成するとともにシリコン化合物を形成することができる、多くの他の反応物についても同じことが言えることは当業者には理解できよう。さらにリモートプラズマ発生器(図4)に対して、またはインサイチュプラズマ源(図5〜6)に対してプラズマパワーをチューニングすることができる。
好適な実施形態において高周波電力の印加により窒素ラジカルを発生させる。好適な実施形態においてこの高周波はGHzの範囲である。一例では窒素ラジカルは、2.45GHzで3kWのマイクロ波パワーの印加で1〜5slmNをMRGを通すことにより、MSKインスツルメンツ(Instruments)のリモートマイクロ波ラジカル発生器(MRG)で発生させる。場合によっては1つまたは複数のガス注入器の使用により、遠隔発生させたラジカルをウェハロード全体にわたって分配することができる。代替的には炉チャンバ内で、好適には垂直細長チャンバの長さ全体にわたって窒素ラジカルを発生させることもできる。これは高周波電力のプロセスチューブ内への結合により達成することができる。図5および6の実施形態において、この結合はプロセスチャンバ529(図1)内に挿入されたコイル592を介して生じる。上記のようにコイル592は、電気絶縁材料の保護スリーブ594内に配置することが好ましく、このスリーブ594を約100mTorr未満の圧力まで排気して、スリーブ594内のプラズマの発生を回避することが好ましい。
ラジカル反応ステップ694中、圧力は通例約1Torrである。Nガスから発生したNラジカルの場合、例えば窒化時間は通例5〜10分である。堆積ステップ690により形成されたシリコンの厚さによって、形成されたシリコン化合物(この場合窒化物)の厚さは約5〜40Åであることが好適であり、約5〜11Åがより好適であり、さらに約5〜7Åが最も好適である。
シリコン層の窒化が完全であり、シリコン層と反応性窒素種との反応において実質的に完全な化学量論になることが好適である。このような完全反応は、不純物の組み込みが少なく、厚い膜になり、さらに厚さ制御およびステップカバレージの向上を可能にする。さらに堆積窒化シリコン層は絶縁特性が改善しているとともに、従来の絶縁薄膜より厚くすることが可能であり、拡散バリアとしてこれらの堆積層の効果が増大する。
薄いシリコン層が完全に反応して化合物シリコン層を形成した後、プラズマパワーのスイッチを切る696。Nガスの場合、N流を継続しつつプラズマパワーのスイッチを切ることはパージにつながり、ラジカルはパワーをオンすることなく迅速に消滅し、任意の後続のトリシランステップ690による反応を容易に防止することができる。
場合によってはステップ690〜696を必要な回数繰り返す698ことが可能であり、各サイクルは一層のシリコンを、ステップ696においてラジカルにより迅速且つ完全に反応することができるほど薄く堆積する690。1.5分のトリシランパルスの場合、5〜6Åの膜厚の窒化物がシリコン堆積および窒化の1サイクルで作製される。
シリコン化合物層の形成694は、異なる反応種に対して対象の原子種が同じ場合でも、2つ以上の反応種とのシリコン層の反応を含み得ることは理解されよう。例えば窒化の場合、窒素ラジカル流に加えてNH流の利用により有益な効果が観察された。このNHをラジカル発生器を介さずに直接プロセスチューブに供給した。非活性化NHは500℃未満の温度ではシリコンとほとんど反応しないが、非活性化NHを窒素ラジカルに加えることによってより完全な窒化アモルファスシリコン層を生じたことに留意した。理論による限定を望まないが、リモートプラズマ発生器からの窒素ラジカルがプロセスチャンバ内でアンモニアを活性化することが考えられる。これに対してNラジカル単体は若干シリコンリッチな窒化シリコン膜を残す。興味深いことにリモートMRGを介して供給されたアンモニアは実際にNラジカル単体に対しても窒化効果を低減した。
図10はオランダ国ビルトホーヘン(Bilthoven,The Netherlands)のASMインターナショナル(International)N.V.のバッチA412TMリアクタにおける実験の結果を図示し、基板を約435℃に維持するとともにウェハボートを5rpmで回転させた。反応速度制限堆積条件を設定した。1.5分のシリコン堆積パルスにおいて、トリシランをリアクタの下部の入口を介して供給して約3.3mTorrのトリシラン分圧を生成した。Nを5slmの速度でMRGを介して供給した。トリシラン流を遮断して約30秒間パージした後、リモートプラズマ電力を3,000Wのレベルで約10分間チューニングした。加えて1slmNH流をプロセスチャンバの下部を介して供給した。窒化ステップ中、反応チャンバ内の圧力は通例1Torrである。50サイクルを行って約257Åの厚さを有する窒化シリコン層を形成した。
窒素ラジカル流はトリシラン流と交互に適用される。窒素ラジカルがトリシランと同時に流れていると気相反応が発生しやすく、不要な粒子および非均一膜の形成につながる。NH流があるのであればトリシラン流と交互に適用することが可能であり、または連続的に適用可能であるため、NHもトリシランパルス中に流れている。上記のようにプラズマパワーがオフのとき(パージステップおよびシリコン堆積ステップ)NおよびNHが非活性化であるため、プラズマパワーのない好適な温度条件ではどちらのガスもあまり反応せず、ガスの一定の供給により圧力変動の防止などの利点を得ることができる。
実験においてすべてのガスを垂直リアクタの下部を介して供給するとともに上部から排気したが、本開示に鑑みて他の注入アレンジメントにより利点を得ることができることは理解されよう。場合によってはプロセスガスをガス注入チューブまたは多数の穴注入器(図2〜3を参照)により注入して、バッチ全体にわたる均一性を向上させることもできる。トリシランをこのような注入器を介して供給する場合、Nを同じ注入器を介して供給することも可能であり、トリシランパルス中にキャリアガスとして、および窒化(または他の反応)パルス中に反応物(遠隔活性化であろうとその場活性化であろうと)として、さらにトリシランパルスと窒素パルスとの間のパージガスとして作用する。好適な実施形態の低温下で、アンモニアを注入器を介してまたは下部入口を介して同時にまたは間欠的に(プラズマパワーで)供給することもできる。
上記のようにプロセスを一例として窒化膜堆積プロセスを用いて説明したが、炭化シリコン、酸化シリコン、シリコンオキシナイトライドおよびシリコンゲルマニウム層などの他のシリコン化合物層をこのような方法で堆積できることは明らかであろう。このような実施形態においてトリシランステップによる堆積シリコン膜は、連続トリシランパルス間で炭化、酸化、オキシナイトライド化、またはゲルマニウム前駆体への露出が行われる。例えばシリコン含有化合物層120(図7)の形成中に、窒素源の導入ではなく酸素源を導入することにより、酸化シリコンを形成することもできる。酸素源は、限定しないが、原子状酸素、水、オゾン、酸素、酸化窒素、および亜酸化窒素を含む当該技術分野で既知の酸化剤を含むことができる。
当業者にはバッチリアクタにまたはバッチリアクタの作動方法に既知のさらなる変更を加えてこのプロセスのパフォーマンスを向上させることができることが理解できよう。例えばホルダボートまたはリングボートを用いて各ウェハ上の膜堆積の均一性を向上させることが可能である。
堆積シリコン含有化合物層
好適な実施形態による好適なシリコン含有化合物膜は、膜の表面にわたって高均一な厚さを有することが望ましい。膜厚均一性は多数点厚さ測定、例えば偏光解析法または断面切片法を行い、様々な厚さ測定値を平均することにより平均厚さを決定し、さらにrms厚さ変動を決定することにより判定することが好ましい。所与の表面積にわたる比較を可能にするためにその結果を、rms厚さ変化を平均厚さで割って100を掛けて算出しその結果をパーセンテージとして表わす、パーセント非均一性として表現することができる。厚さ非均一性は約20%以下であることが好適であり、約10%以下であることがより好適であり、約5%以下であることがさらに好適であり、約2%以下であることが最も好適である。
膜厚を測定する適当な方法には多数点偏光解析法がある。膜厚を測定する機器は周知であり市販されている。好適な機器にはカリフォルニア州サニーベール(Sunnyvale,California)のナノメトリクス インコーポレーション(Nanometrics,Inc.)のナノスペック(NanoSpec)(登録商標)シリーズの機器がある。シリコン含有化合物膜の厚さは、基板の断面切片を取り厚さを適当な顕微鏡技術、最も好適には電子顕微鏡で測定することによっても決定することができる。厚さを測定するスパンは、膜の厚さの約10倍からシリコン含有化合物膜の全体スパンまでの範囲の任意のスパンでよい。膜厚がこのスパンにわたり変動する場合には、厚さを平均厚さ、すなわち所与のスパンにわたる膜の最も厚いおよび最も薄い寸法の数値平均であると考える。
本明細書で用いるようにrms(より適切には平均平方誤差の平方根)は所与の母集団の要素により表わされる変動量を表わす方法である。例えばyグラムの平均重量を有する物体群において、群の各要素は(y’−y)として表わされるある量だけ平均から異なる重量y’を有する。rmsを算出するためには、これらの差を自乗して(正の数になるようにするため)、合計してさらに平均して平均平方誤差を出す。平均平方誤差の平方根はrms変動である。
厚さ均一性に加えて好適なシリコン含有化合物膜は、好ましくは変動トポグラフィー上にコンフォーマルコーティングを提供する。コンフォーマルコーティングは覆う構造があればその湾曲に従う層である。コンフォーマルなシリコン含有化合物膜は良好なステップカバレージを示すため好ましい。「ステップカバレージ」とは段差状表面を覆うコンフォーマル膜の厚さ均一性を指す。段差状表面は同じ水平面内に配置されていない2つ以上の平行構成要素を有する表面である。ステップカバレージは、段差の下部における膜の平均厚さを測定し、それを段差の上部における平均厚さで割って、さらに100を掛けて、その結果をパーセントの数値で表わすことにより好ましく決定することができる。
好適なシリコン含有化合物膜は比較的高いアスペクト比でも良好なステップカバレージを有する。「アスペクト比」とは構造の水平幅に対する段差の垂直高さの比を指す。約4.5〜約6の範囲のアスペクト比において、好適なシリコン含有化合物膜は約70%以上、より好適には80%以上のステップカバレージを有する。約1〜約4の範囲のアスペクト比において、好適なシリコン含有化合物膜は約80%以上、より好適には90%以上のステップカバレージを有する。ステップカバレージは上記のように好適に算出されるが、側壁厚さを考慮することにより算出することもできる。例えばステップカバレージの代替定義には段差の上部および/または下部における平均厚さに対する側壁厚さの比がある。しかし特に明記しない限り本明細書におけるステップカバレージは上記のように、段差の下部におけるシリコン含有化合物膜の水平部分の平均厚さを測定し、それを段差の上部における水平部分の平均厚さで割り、さらに100を掛けてその結果をパーセンテージで表わすことにより決定される。
好適なシリコン含有化合物膜の表面平滑度および厚さを、約1平方ミクロン(μm)以上、より好適には約5μm以上、さらに好適には約10μm以上の表面積にわたり維持することが有利である。シリコン含有化合物膜は大型基板、例えばウェハのすべてまたは一部を被覆することができるため、約300cm以上、好適には約700cm以上の表面積を有することができる。
良好なステップカバレージが通常達成されるため、多くの場合シリコン含有化合物膜の表面粗さはそれが覆う表面の粗さと実質的に同じである。表面粗さは対象の表面の1ミクロン×1ミクロン部分上の原子間力顕微鏡(AFM)により測定されるrms表面粗さであることが好適である。下層基板表面の粗さは約1Årms(原子的に平坦面)〜約25Årmsまたはそれ以上の範囲でよい。好ましくは、下層基板表面は10Årms以下、より好適には5Årms以下の粗さを有し、覆っているシリコン含有化合物膜が同程度の粗さを有する。所与の粗度を有する下層基板表面の場合、その上に堆積されたシリコン含有化合物膜は、約5Å以下、より好適には約3Å以下、さらに好適には約2Å以下の量だけ基板表面粗さより大きい表面粗さを有することが好ましい。例えば基板表面粗さが約7Årmsの場合には、その上に堆積されたシリコン含有化合物膜の測定表面粗さは約12Årms(7Å+5Å)以下であることが好ましい。好適には下層基板は約2Årms以下の粗さを有するとともに、覆っているシリコン含有化合物膜は約5Årms以下、より好適には約3Årms以下、最も好適には約2Årms以下の測定表面粗さを有する。
また好適な実施形態により形成されたシリコン化合物層膜は良好な化学量論および純度を示す。これらの利点はトリシランをシリコン源として用いるとともに活性化NHおよびNを窒素源として用いた窒化シリコン層に対して図10に示した結果で明白である。
窒化シリコンが、シリコン原子当り約1.33窒素原子の比を有する完全に化学量論窒化シリコンSiにほぼ等しい、約Si4556の化学量論、すなわちシリコン原子当り約1.25窒素原子の比を有することが分かったため、膜の分析は実質的に化学量論窒化シリコン膜を示している。加えて窒化シリコン膜は、膜内の水素濃度が約0.8原子パーセント未満の良好な組成純度を示した。このように窒化シリコン膜の分析は膜が良好な純度と化学量論とを有することを示した。
有利には、好適な実施形態により形成されたシリコン含有化合物層の高い共形性ならびに物理的および化学的均一性は、従来のプロセスにより形成された類似層に対して物理的特性が向上する。例えばシリコン化合物、例えば窒化シリコンおよび酸化シリコンの絶縁層は、部分的にはシリコン化合物を形成する際の下層基板の反応のため、さらにまた混入水素などの混入不純物のため、理論的に予想されたものより悪い絶縁特性を有することが分かった。好適な絶縁層は混入水素が少なく、下層基板の反応を最小限に抑えて、絶縁特特性を向上させることが望ましい。
特に本発明により形成された窒化シリコン膜を、シリコンオキシナイトライドなどの他の化合物が従来好まれていた用途で用いることができる。シリコンオキシナイトライドと比べてその異なる材料特性のため、このような用途における窒化シリコンの使用は、より高い誘電率とより良好なバリア特性とを有する層を生じるため望ましい。
加えて窒化シリコン層を形成する際、プロセス温度は従来のシランを利用するCVDプロセスに比べて低いため好ましい。このようにシリコン誘電体界面における窒素量を慎重に制御且つ制限しなければならないゲート誘電体用途で、シリコンチャネルへの窒素拡散を低減することができる。
さらにまたその高い誘電率のため、窒化シリコン層はゲート誘電体に関するようないくつかの用途において優れている。これはデバイス臨界寸法が縮小し続けるにつれて、ゲート誘電体用途のためのSiOなどの従来の材料の有用性が、酸化シリコンの基本的な材料特性から生じる限界のため低下しているからである。これらの限界は特に量子力学トンネル現象が誘電体層を介する漏電電流の主要なメカニズムとなる、約15Å未満の物理的な厚さに対して特に深刻である。加えて極薄SiO層は電気的活性ドーパント原子の拡散に対して不良バリアである。その結果SiOより大きい誘電率を有する絶縁薄膜材料が、漏電電流性能を改善するとともにドーパント原子拡散に対してより良好なバリアとして作動するために望ましいことが分かった。ゲート誘電体用途に加えて、窒化シリコン膜を多数のトランジスタ用途でスペーサとして用いることもできる。これらの用途のすべてに対して薄い窒化シリコン膜は漏電電流性能に関して非常に優れた特性を有するため好ましい。加えてゲート誘電体用途の場合薄い窒化シリコン膜は、低い界面トラップ密度(すなわち堆積される結晶半導体表面との容認可能界面)を有することを意味する、キャリア移動度の観点から優れた電気性能を示すため好ましい。
従来の窒化シリコン膜は窒化シリコン自体のより高い誘電率のため理論的にこのニーズに適合するように見えるが、実際には従来のCVDプロセスにより形成された窒化シリコン膜は、ゲート誘電体用途に必要な物理的および電気的特性を示さなかった。通例これらの膜は同程度の物理的厚さでSiOよりほんのわずかに良好な漏電電流を示した。これは一部には膜の化学的構成、すなわち窒化シリコン層内に混入した不純物の存在のためであると考えられる。水素、炭素および酸素などの元素は理論的予測に合わない膜特性に関与する主要不純物であると考えられる。窒化シリコン層自体「下方の」結晶シリコン表面との界面における意図的でない窒素の存在が予想より劣る電気性能の一因となるとも考えられる。下層バルク半導体内のこの窒素は窒化シリコン堆積プロセスの副産物として存在すると考えられる。
従来のCVDにより形成された窒化シリコン層は上記の領域では期待外れだったが、上述したように形成された好適な窒化シリコン層は、非常に優れたウェハ内およびウェハ間厚さ、成分濃度均一性、ならびに低汚染成分濃度を有する。さらにまたこれらの好適な窒化シリコン層は従来のように形成された窒化シリコン層より不純物が少なく、理論的予想により一致する電気的特性を示す。さらにまた基板上に窒化飽和深さ以上の厚さまで第1のシリコン層を堆積することにより、窒化シリコン層の下方の窒素の存在が最小限に抑えられる。第1の層は既に窒化飽和深さ以上であるため、後続のシリコン層は窒化飽和深さと同等の厚さ未満の厚さまで堆積すればよいため有利である。このように従来のCVDプロセスにより形成されたシリコン含有化合物層より均一且つコンフォーマルであることに加えて、好適な実施形態により形成されたシリコン含有化合物膜はより良好な誘電または電気特性を示すこともできる。
さらに窒化シリコン層を酸化シリコンまたはシリコンオキシナイトライド層上に形成することにより、一体化窒化シリコン膜の電気特性をさらに向上できることは理解されよう。酸化シリコンおよびシリコンオキシナイトライド層をこのように界面層として用いることができる。これらの層を上記したように、または当該技術で既知の方法で形成することができる。
加えて本発明の方法により形成された窒化シリコン層は改良された耐酸化性も示す。改良された耐酸化性は膜を、後続の層形成後のアニールなどのステップ中にクリーンルーム空気露出もしくは反応チャンバ内に存在する酸素または水分(例えば漏れまたはガス純度問題による)の影響を受けにくくする。このようなアニールを酸化または不活性環境条件下で行うことができるため有利である。
実施例1
オランダ国ビルトホーヘン(Bilthoven,The Netherlands)のASMインターナショナル(International)N.V.のバッチA412TMリアクタ内で窒化シリコン層を形成した。ウェハのバッチをボート内に装填するとともに、そのボートを反応チャンバ内に装填してトリシラン堆積の準備をした。ウェハの温度は各ウェハにわたる温度が約435℃で均一になるように安定させる。ボートを反応チャンバ内で垂直軸について5rpmの速度で回転させた。圧力を約1.3Torrに設定した。不活性ガスで希釈されたトリシランを、3.3mTorrのトリシラン分圧で1.5分間反応チャンバ内に流した。アモルファスシリコンの薄層が生じる。トリシラン流を遮断した。そして電力を3000Wに設定して、10分間MKSリモートマイクロ波ラジカル発生器(MRG)を介して5slmNを供給することにより窒化を行った。このサイクルを約50回繰り返した。
実施例2
窒化中にマイクロ波ラジカル発生器(MRG)を介して5slmNに加えて反応チャンバ内にNHを別にさらに供給したことを除き、実施例1のプロセスを用いて窒化シリコン層を形成した。
堆積膜を分析して図10に示し且つ上記した結果を得た。
実施例3
オランダ国ビルトホーヘン(Bilthoven,The Netherlands)のASMインターナショナル(International)N.V.のバッチA412TMリアクタ内で窒化シリコン層を形成した。ウェハのバッチを、垂直方向に分離され且つ主面が水平に配向されているボート内に装填するとともに、そのボートを反応チャンバ内に装填してトリシラン堆積の準備をした。ウェハの温度は各ウェハにわたる温度が約435℃で均一になるように安定させた。ボートを反応チャンバ内で垂直軸について5rpmの速度で回転させた。プロセス条件は表1に規定した通りであった。4つの異なる窒化条件、すなわち1−窒化なし、2−Nによる窒化、3−N+90sccmNHによる窒化、4−N+180sccmNHによる窒化を詳細に調べた。すべての場合においてトリシラン露出ステップの50回のサイクルを用いてアモルファスシリコン膜を堆積し、各トリシラン露出ステップはパージステップと交互に行った。アモルファスシリコン堆積ステップ中、トリシランおよびNを第1の多数穴注入器を介して注入した。窒化ステップ中、N(およびNH)を、炉の垂直高さにわたって延びている一対の電極を有し、2.45GHzの周波数の高周波数電力により駆動されるインサイチュラジカル発生器に近接する第2の多数穴注入器を介して注入した。プラズマ点火のためにより高流量およびより高圧力を選択してプラズマの点火を容易にした。後続のプラズマ露出ステップ中、より低流量およびより低圧力を用いてラジカルの拡散長を増大し、垂直積層ウェハ間により容易に浸透できるようにした。
図11に示すように、N流へのNHの追加は窒化膜の膜均一性に有益な効果があった。平均膜厚の変動は約1.5Åで有利に低かった。それに対してNのみを用いて窒化した窒化シリコン膜の変動は約13Åであり、窒化なしに堆積したアモルファスシリコン膜の変動は約5Åであった。
またNHの追加は活性化N流のみによる窒化の場合より小さい平均窒化膜厚になった。平均厚さはより高いレベルのNHを追加するにつれて低下した。窒化膜の厚さが小さいほど、膜のより完全な窒化または各窒化ステップ後のシリコン堆積に対するより長い抑制時間を示し得る、もしくはそれらの両方の組み合わせを示し得る。
Figure 0004685104
プラズマ窒化ステップ中のNに対する他の添加物が同様な有益な効果を有し得ることは理解されよう。例えばAr、H、Heまたはそれらの混合物を、NHとの組合せであろうとなかろうとN流に添加することができる。理論により制限されることなく有益な効果はプラズマ均一性の向上、プラズマ効率の向上、より多くの反応性ラジカルの生成、またはより長い寿命を有するラジカル種の生成、もしくはこれらの効果の組合せから生じ得る。
従って当業者には、本発明の範囲から逸脱することなく上記のプロセスに対して様々な省略、追加および変更をなし得るとともに、そのような変更および変形のすべてを添付の特許請求の範囲により規定される本発明の範囲内に収めようとするものであることは理解されよう。
図1は、本発明の好適な実施形態により構成された、ガス注入器を有する細長バッチプロセスチューブの概略断面側面図である。 図2は、図1のバッチプロセスチューブとともに用いるガス注入器の正面図である。 図3は、図2のガス注入器の水平断面図である。 図4は、本発明の好適な実施形態による、プラズマ生成物をプロセスチューブに供給するリモートプラズマ発生器を有するバッチリアクタの概略側面図である。 図5は、本発明の他の実施形態による、バッチ反応チューブ内のインサイチュプラズマ源の概略断面図である。 図6は、図5のインサイチュプラズマ源の拡大概略断面図である。 図7は、本発明の好適な実施形態による、シリコン含有化合物層を形成するステップを示すフローチャートである。 図8Aは、本発明の好適な実施形態による、ウェハ洗浄後の基板を図示する。 図8Bは、本発明の好適な実施形態による、酸化シリコン層の形成後の図8Aの基板を図示する。 図8Cは、本発明の好適な実施形態による、図8Bの酸化シリコン層上に形成された窒化シリコン層を図示する。 図8Dは、本発明の好適な実施形態による、図8Cの窒化シリコン層上の後続窒化シリコン層の形成により、より厚く作製された図8Cの窒化シリコン層を図示する。 図8Eは、本発明の好適な実施形態による、図8Dの窒化シリコン層が好適な厚さに形成された後に形成されたゲート電極を図示する。 図9は、本発明のいくつかの好適な実施形態による、バッチリアクタ内で窒化シリコンを形成するプロセスを示すフローチャートである。 図10は、本発明のいくつかの好適な実施形態による、堆積窒化シリコン層に対する厚さおよび屈折率(RI)を図示するグラフである。 図11は、本発明のいくつかの好適な実施形態による、4つの異なる窒化条件を用いてバッチリアクタ内で堆積したシリコン層に対する厚さを図示するグラフである。

Claims (21)

  1. 複数の基板をトリシランの供給に露出することによりバッチホットウォールプロセスチャンバ内で前記基板上にシリコン層を堆積することであって、前記バッチホットウォールプロセスチャンバ内のプロセス条件は、前記シリコン層の反応速度により制限された堆積を達成するように選択されること、
    前記トリシランの供給を遮断すること、及び
    前記供給の遮断後に、前記バッチバッチホットウォールプロセスチャンバ内において、電流を導体コイルに供給し、かつ活性化させたプラズマ活性化反応種に前記シリコン層を露出することによりシリコン化合物層を形成することを含み、
    前記導体コイルは、真空絶縁スリーブ内に収容されており、
    前記プラズマ活性化反応種は、前記真空絶縁スリーブの外側かつ前記バッチホットウォールプロセスチャンバ内で形成される
    集積回路の作製方法。
  2. 前記シリコン層を堆積することが、約600℃以下の温度で行われる請求項1に記載の方法。
  3. 前記温度が約500℃以下である請求項2に記載の方法。
  4. 前記基板を前記トリシランの供給に露出することが、約10mTorr以下のトリシラン分圧を設定することを含む請求項1に記載の方法。
  5. 前記プラズマ活性化反応種が窒素ラジカルを含む請求項に記載の方法。
  6. 前記バッチホットウォールプロセスチャンバにアンモニアを供給することをさらに含む請求項に記載の方法。
  7. 前記アンモニアを供給することが、前記バッチホットウォールプロセスチャンバ内でまず前記アンモニアを前記プラズマ活性化反応種と混合することを含み、前記アンモニアを前記プラズマ活性化反応種と混合することが、前記アンモニアを活性化して前記アンモニアから窒素ラジカルを形成する請求項に記載の方法。
  8. 前記シリコン層を堆積することが、1を超えるシリコン原子層を形成することを含む請求項1に記載の方法。
  9. 前記プラズマ活性化反応種が窒素種を含み、前記シリコン含有化合物層が窒化シリコンを含む請求項1に記載の方法。
  10. 前記シリコン含有化合物層が約5%以下の厚さ非均一性を有する請求項1に記載の方法。
  11. 前記シリコン含有化合物層が約80%以上のステップカバレージを有する請求項10に記載の方法。
  12. ホットウォールバッチプロセスチャンバと、
    前記ホットウォールバッチプロセスチャンバ内の真空チューブを備え、前記真空チューブが絶縁スリーブにより形成され、前記絶縁スリーブが電源に接続された導電性材料のコイルを収容し、前記真空チューブが前記絶縁スリーブの外側であるが前記ホットウォールバッチプロセスチャンバ内にプラズマを発生するように構成され
    導電性コアをさらに含み、前記コイルが前記導電性コアに巻き付いている、
    バッチ半導体リアクタ。
  13. 前記真空チューブが前記ホットウォールバッチプロセスチャンバ内で垂直積層ウェハボートに隣接して作動するように構成されている請求項12に記載の半導体リアクタ。
  14. 前記真空チューブの高さが前記垂直積層ウェハボートの高さの約90%より大きい請求項13に記載の半導体リアクタ。
  15. 前記導電性材料が銅を含む請求項12に記載の半導体リアクタ。
  16. 前記導電性コアが鉄を含む請求項12に記載の半導体リアクタ。
  17. 前記電源が高周波(RF)電源である請求項12に記載の半導体リアクタ。
  18. 前記ホットウォールバッチプロセスチャンバがウェハボートを収容するように構成されている請求項12に記載の半導体リアクタ。
  19. 前記ホットウォールバッチプロセスチャンバとガス連通しているガス源をさらに含み、ここで前記ガス源がトリシラン源を含む請求項12に記載の半導体リアクタ。
  20. 前記ガス源がN源をさらに含む請求項19に記載の半導体リアクタ。
  21. 前記ガス源がNH源をさらに含む請求項20に記載の半導体リアクタ。
JP2007530121A 2004-08-27 2005-08-25 低温シリコン化合物堆積 Active JP4685104B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US60506804P 2004-08-27 2004-08-27
PCT/US2005/030243 WO2006026350A2 (en) 2004-08-27 2005-08-25 Low temperature silicon compound deposition

Publications (2)

Publication Number Publication Date
JP2008511993A JP2008511993A (ja) 2008-04-17
JP4685104B2 true JP4685104B2 (ja) 2011-05-18

Family

ID=36000573

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007530121A Active JP4685104B2 (ja) 2004-08-27 2005-08-25 低温シリコン化合物堆積
JP2005245398A Withdrawn JP2006086521A (ja) 2004-08-27 2005-08-26 リモートプラズマアクティベーテッドナイトライデーション

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2005245398A Withdrawn JP2006086521A (ja) 2004-08-27 2005-08-26 リモートプラズマアクティベーテッドナイトライデーション

Country Status (5)

Country Link
US (1) US7629270B2 (ja)
JP (2) JP4685104B2 (ja)
KR (2) KR101193628B1 (ja)
TW (1) TW200618109A (ja)
WO (1) WO2006026350A2 (ja)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2008202107A (ja) * 2007-02-21 2008-09-04 Hitachi Kokusai Electric Inc 基板処理装置
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5421736B2 (ja) * 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8748259B2 (en) * 2010-03-02 2014-06-10 Applied Materials, Inc. Method and apparatus for single step selective nitridation
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US7994070B1 (en) * 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5604316B2 (ja) * 2011-01-19 2014-10-08 株式会社アルバック 成膜方法
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6022276B2 (ja) * 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20150024152A1 (en) * 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
JP6113626B2 (ja) * 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
JP6560924B2 (ja) 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
US10950428B1 (en) 2019-08-30 2021-03-16 Mattson Technology, Inc. Method for processing a workpiece
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03134175A (ja) * 1989-10-19 1991-06-07 Res Dev Corp Of Japan ディジタルcvd方法
JPH0813169A (ja) * 1994-04-26 1996-01-16 Tokyo Electron Ltd プラズマ処理装置
JP2008518092A (ja) * 2004-08-14 2008-05-29 アールスリーティー・ゲーエムベーハー・ラピッド・リアクティブ・ラジカルス・テクノロジ 励起された、および/またはイオン化された粒子をプラズマ内で発生するための装置

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
JP2592238B2 (ja) 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
SG63578A1 (en) 1990-11-16 1999-03-30 Seiko Epson Corp Thin film semiconductor device process for fabricating the same and silicon film
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JP3200863B2 (ja) 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JPH0562911A (ja) 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JPH07249618A (ja) 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US6161498A (en) 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JP3854731B2 (ja) 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
KR100363083B1 (ko) 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
JPH11317530A (ja) 1999-02-22 1999-11-16 Semiconductor Energy Lab Co Ltd 半導体装置
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
EP1965431A2 (en) 1999-06-22 2008-09-03 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
JP4192353B2 (ja) 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6809370B1 (en) * 2003-07-31 2004-10-26 Texas Instruments Incorporated High-k gate dielectric with uniform nitrogen profile and methods for making the same
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03134175A (ja) * 1989-10-19 1991-06-07 Res Dev Corp Of Japan ディジタルcvd方法
JPH0813169A (ja) * 1994-04-26 1996-01-16 Tokyo Electron Ltd プラズマ処理装置
JP2008518092A (ja) * 2004-08-14 2008-05-29 アールスリーティー・ゲーエムベーハー・ラピッド・リアクティブ・ラジカルス・テクノロジ 励起された、および/またはイオン化された粒子をプラズマ内で発生するための装置

Also Published As

Publication number Publication date
WO2006026350A2 (en) 2006-03-09
TW200618109A (en) 2006-06-01
JP2008511993A (ja) 2008-04-17
KR20060050712A (ko) 2006-05-19
KR20070051279A (ko) 2007-05-17
WO2006026350A3 (en) 2006-10-12
KR101193628B1 (ko) 2012-10-24
JP2006086521A (ja) 2006-03-30
US7629270B2 (en) 2009-12-08
US20060110943A1 (en) 2006-05-25

Similar Documents

Publication Publication Date Title
JP4685104B2 (ja) 低温シリコン化合物堆積
US7294582B2 (en) Low temperature silicon compound deposition
US7629267B2 (en) High stress nitride film and method for formation thereof
US7964513B2 (en) Method to form ultra high quality silicon-containing compound layers
KR102451694B1 (ko) 기판 상의 구조물 형성 방법
US9349587B2 (en) Method of manufacturing semiconductor device and method of processing substrate and substrate processing apparatus
US9984868B2 (en) PEALD of films comprising silicon nitride
US7919416B2 (en) Method of forming conformal dielectric film having Si-N bonds by PECVD
US6348420B1 (en) Situ dielectric stacks
US7405453B2 (en) Incorporation of nitrogen into high k dielectric film
US8409988B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP5294694B2 (ja) シリコン及びチタン窒化物のインサイチュ蒸着
KR101732187B1 (ko) 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
KR20060054387A (ko) 증착 전 게르마늄 표면 처리 방법
JP2009545138A (ja) 金属シリケート膜のald
KR20090016403A (ko) 실리콘 산화막 증착 방법
WO2017158848A1 (ja) 半導体装置の製造方法、基板処理装置、および記録媒体
KR20030041088A (ko) 유전체 박막 제조 방법 및 시스템
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법
WO2022245641A1 (en) Flowable cvd film defect reduction
JP2004186210A (ja) 窒素含有ケイ素化合物膜の形成方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110125

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140218

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4685104

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250