KR20060054387A - 증착 전 게르마늄 표면 처리 방법 - Google Patents

증착 전 게르마늄 표면 처리 방법

Info

Publication number
KR20060054387A
KR20060054387A KR1020067002064A KR20067002064A KR20060054387A KR 20060054387 A KR20060054387 A KR 20060054387A KR 1020067002064 A KR1020067002064 A KR 1020067002064A KR 20067002064 A KR20067002064 A KR 20067002064A KR 20060054387 A KR20060054387 A KR 20060054387A
Authority
KR
South Korea
Prior art keywords
germanium
layer
deposition
oxygen
nitrogen
Prior art date
Application number
KR1020067002064A
Other languages
English (en)
Inventor
글렌 윌크
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20060054387A publication Critical patent/KR20060054387A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Abstract

후속 증착, 특히 원자층 증착(ALD)에 의한 게이트 유전체 증착 제조에 있어서, 게르마늄 표면(200)을 처리하는 방법들이 제공된다. 증착 전에, 게르마늄 표면(200)은 플라즈마 산물로 처리되거나 기체 반응물들과의 열적 반응으로 처리된다. 표면 처리의 예들은 ALD 반응물들을 보다 용이하게 흡수하는 산소 가교, 질소 가교, -OH, -NH 및/또는 -NH2 마감처리를 남긴다. 표면 처리는 게르마늄 벌크 내부로 반응물들의 깊은 침투를 방지하는 한편, 결정핵 생성을 용이하게 한다.
게르마늄 표면처리, 증착, 원자층 증착

Description

증착 전 게르마늄 표면 처리 방법{Surface Preparation Prior to Deposition on Germanium}
본 발명은 일반적으로 반도체 제조에 있어서 막 증착 전 표면 처리방법에 관한 것이며, 보다 상세하게는 후속 증기 증착 공정에서 결정핵 생성을 용이하게 하는 증착 전 게르마늄 표면처리에 관한 것이다.
집적회로 설계는 보다 빠른 회로 동작 및 저 전원소비를 추구함에 따라 지속적으로 소형화되고 있다. 통상적으로 회로 설계에 있어서의 소형화는 제조 공정의 부수적인 변경을 필요로 한다.
집적회로의 기본 제조블록은 박막 트랜지스터(TFT)이다. 본 기술분야에서 잘 알려진 바와 같이, 통상적으로 트랜지스터는 얇은 게이트 유전물질(gate dielectric material)에 의해 반도체 층 또는 기판으로부터 이격되어 있는 게이트 전극을 포함한다. 공정 제어가 특히 중요한 분야는 트랜지스터 게이트 유전체 제작 분야이다. 보다 빠르고, 보다 효율적인 회로를 추구함에 따라서 반도체 설계는 각 제품의 세대마다 지속적으로 소형화되고 있다. 트랜지스터의 스위칭 시간은 회 로 작동시간 단축에 매우 중요한 역할을 한다. 또한, 트랜지스터 채널 길이를 단축하면 스위칭 시간을 단축할 수 있다. 트랜지스터 성능을 최대한 향상시키기 위해서는 수직크기가 수평크기에 비례하여 조절되어야 한다. 따라서, 효율적인 게이트 유전체의 두께, 접합 깊이 등은 차세대 집적회로와 함께 모두 감소하게 될 것이다.
종래의 게이트 유전체는 고품질 실리콘 이산화물로 형성되며, 통상적으로 '게이트 산화물' 층이라 명명된다. 그러나, 초박형 게이트 산화물(예를 들어, 5nm 미만)은 핀홀, 전자 트래핑 상태 및 고온 캐리어 주입 효과에 대한 반응성을 포함하는 높은 결함밀도(defect density)를 나타내는 것으로 밝혀졌다. 이러한 높은 결함밀도는 0.25㎛ 게이트 간격 미만의 회로 설계, 예를 들어, 서브-쿼터-마이크론 기술이 용인할 수 없는 급작스러운 장치 고장 및 게이트 유전체를 관통하는 누설전류를 초래시킨다. 산화물의 무결성(integrity)이 완벽하게 유지된다 하더라도, 양자역학적 효과는 양자 터널링효과로 인하여 게이트 산화물의 크기에 기본적인 한계로 작용한다.
이론적으로, 게이트 유전체에 보다 높은 유전율을 갖는 물질을 결합시키는 것은 다른 장치의 크기에 개방되어 있다. 더욱 높은 유전율로 인해, 많은 물질들은 더 얇은 실리콘 이산화물 층과 동일한 용량을 보여줄 수 있어, 터널 제약적인 행동 없이 더 얇은 동등한 산화물 두께(EOT)가 달성될 수 있다. 예를 들어, 질화 실리콘(Si3N4)은 SiO2보다 높은 유전율(k값)을 가지고 있고, 또한, 우수한 확산 장 벽 특성, 붕소 내침투성을 보여준다. 산화 알루미늄(Al2O3), 산화 지르코늄(ZrO2), 산화 하프늄(HfO2), 바륨 스트론튬 티타네이트(BST), 스트론튬 비스무스 탄탈레이트(SBT), 산화 탄탈륨(Ta2O5) 등을 포함하는 더 높은 유전율을 갖는 보다 새로운 'k값이 큰' 물질들은 또한, 좀더 작은 크기의 장치를 허용하는 것으로 연구되고 있다.
트랜지스터의 또 다른 면에 대한 최근의 개발은 트랜지스터가 생성되는 단결정 반도체 재료(회로기판 혹은 애피택셜층)의 전기적 캐리어 이동도의 증가에 초점이 맞춰지고 있다. 캐리어 이동도를 증가 시키기 위해, 완화된(relaxed) 실리콘 게르마늄 위의 변형된 실리콘(strained silicon)과 같은 변형된 층을 제조하는 방법이 있다.
또한, 순 게르마늄은 게르마늄 결정구조의 변형에 관계없이, 실리콘보다 큰 캐리어 이동도를 나타낸다. 최근까지만 해도 게이트 유전체가 증착할 수 있는 안정된 고품질 산화물을 만들 수 없다는 단점 때문에 게르마늄에 대한 관심이 제한되어 있었다. 실리콘에서 열처리나 화학처리로 만들어진 산화물이 최고의 품질과 가장 균일한 두께를 나타내기 때문에, 최근까지도 반도체 재료를 선택할 때는 실리콘 이산화물 게이트 유전체로 게르마늄보다 실리콘이 선호될 수밖에 없었다.
그러나 이제는 산화물을 성장시키는 것보다 고 k 유전체를 증착시키는 방법이 점차 선호됨에 따라서, 트랜지스터용 반도체 기층을 게르마늄으로 할 때의 최대 단점을 제거할 수 있는 가능성을 갖게 되었다. 고 k 유전체를 이용하여 크기를 소형화하고 게르마늄의 캐리어 이동도를 높일 수 있다면 차세대 집적 회로로서 매우 유망하다.
상기 재료들이 명확한 장점들을 갖고 있으나, 게르마늄과 고 k 재료를 융합하는 것이 과제로 남는다. 따라서, 상기 새로운 재료들을 생산 공정에 적용시킬 수 있는 실질적인 방법이 개발되기까지는 아직도 많은 개선이 필요하다.
본 발명의 요약
장치의 안정화를 위해 유전체 두께의 균일화는 각별히 중요하다. 균일화된 두께의 실리콘을 화학처리하거나 열처리할 경우, 쉽게 실리콘 이산화물이 형성되는 반면, 증착 기술을 쓸 경우 대체로 이와 같은 균일함이 나타나지 않는다.
박막층을 거의 완벽한 정도로 균일하게 증착시킬 수 있는 신뢰할만한 방법으로는 원자층 증착법(ALD)이 있다. 이 순환식 증착 기술은 균일한 기판 온도(동역학 형태의 CVD와는 대조적으로)나 균일한 반응물 공급량(대량 수송 형태의 PVD 및 CVD와 대조적으로)에 영향을 받지않고 표면 반응을 자체조절할 수 있다는 장점을 갖는다.
이와 같은 장점에도 불구하고, ALD로 얻어지는 균일성의 한계가 인식되었다. 특히 ALD는 반응물과 표면에 어떠한 증착이 시작되는지에 따라서, 결정핵 생성 효과에 예민하다. 고 k 게이트 유전체를 위해 계획된 두께 범위에서, 불안정한 결정핵 생성에 의한 두께 불일치는 장치에 심각한 영향을 미칠 수 있다. 더욱이, 발명자는 게르마늄 표면은 통상적인 ALD 반응의 불충분한 결정핵 생성을 초래함을 인식해 왔다.
따라서, 게르마늄 표면상 증착에는 속도, 효율성, 품질 및 균일성에 대한 개선을 필요로 한다. 상기 필요를 충족시키기 위하여, 본 명세서에 증착전 게르마늄 표면 처리방법이 제시되어있다.
본 발명의 한 관점에 따라서, 게르마늄 표면은 증착 전에 플라즈마 산물로 처리된다. 본 발명의 또 다른 관점에 따라서, 게르마늄 표면은 증착 전에 기체상태의 반응물질들과 열적 반응된다. 대표적인 표면 처리방법은 증착 전, 인 시츄(in situ) 표면처리 방법으로, 열로 활성화되거나 증착실에 부착된 원격의 플라즈마 모듈을 통해 활성화된 산소 및/또는 질소를 포함한 기체 반응물의 공급을 포함한다.
바람직한 실시예는 고 k 유전체의 원자층 증착 전에 게르마늄 표면에 산소 가교(oxygen bridge), 질소 가교(nitrogen bridge), -OH, -NH 및 -NH2 표면 그룹의 하나 또는 혼합물을 남긴다. 유리하게도, ALD 반응물들은 처리된 표면에 보다 잘 흡착한다. 기판의 표면 종결을 저온 표면 처리로 바꿈으로써, 그 후의 증착은 하부 재료의 벌크 특성에 커다란 영향을 미치는 것 없이, 유리하게 촉진된다.
본 발명의 상기의 측면 및 다른 측면들은 첨부된 도면 및 하기의 상세한 설명으로부터 명백하게 될 것이며, 첨부된 도면들은 단지 본 발명을 설명하기 위함이 지, 본 발명을 제한하려는 것이 아니다.
도 1은 대표적인 단일 기판 반응 챔버의 개략적인 단면도이다.
도 2는 본 발명의 바람직한 실시예에 따른 반응물 및 세정 가스 공급원을 보여주는 가스 흐름 개략도이다.
도 3은 바람직한 실시예에 따라 기판을 처리하는 전체적인 단계를 보여주는 흐름도이다.
도 4A 및 4B는 본 발명의 바람직한 실시예에 따라 구축된 트랜지스터 게이트 스택(gate stack)의 개략적인 단면도이다.
본 명세서에 기술된 처리 방법은 후속 증착을 위한 게르마늄 표면의 처리 방법이다. 게르마늄 표면의 마감처리를 바람직하게 개선하는 열 반응이나 플라즈마 물질은 게르마늄 표면을 후속 증착이 용이하도록 보다 균일하게 만든다. 본 처리 방법들은 가급적 전반적인 표면에 걸쳐 결정핵 생성 부위의 일정한 밀도를 제공한다. 본 처리 방법은 처리된 게르마늄의 표면상의 원자층 증착의 흡착유도 반응에 관한 설명으로, 당업자라면, 본 명세서에 개시된 방법이 게르마늄 표면상의 다양한 재료들에 대한 다른 흡착 공정의 결정핵 생성에도 용이하게 적용될 수 있음을 이해할 것이다.
원자층 증착(ALD)은 자기제어적인(self-limiting) 공정으로서, 이로 인해 반응 전구체의 교번 펄스는 기판을 포화시키고, 각 펄스마다 하나의 단분자층 (monolayer)을 남긴다. 상기 전구체는 자기포화(self-saturating) 반응을 확실하게 하기 위해 선택되며, 이는 한 펄스 내의 흡착층은 동일한 펄스의 기체상 반응물에 반응하지 않는 표면 마감(termination)을 남기기 때문이다. 다른 반응물의 후속 펄스는 계속적인 증착을 가능하게 해 주는 이전 마감과 반응한다. 따라서, 각 교번 펄스들의 사이클은 원하는 재료의 1 분자층 정도 이상은 남기지 않게 된다. ALD형 공정의 원리는 T.Suntola에 의해 소개되어 있으며, 개시된 내용들은 본 명세서에 참고로 포함되어 있다(참조: Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechani는 and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B. V. 1994).
불행하게도, 사용되는 화학물질에 따라서, ALD는 상이한 스타팅 기판상에 균일하게 잘 증착되지 않는다. 예를 들어, 어떤 ALD 공정 방법은 실리콘, 특히 (통상 수소-마감처리된) 세정된 또는 에칭된 실리콘 표면 상에 증착할 때는 느리거나 전혀 반응하지 않을 수 있다. 예를 들어, (CH3)3Al과 같은 알킬 알루미늄은 Al2O3 증착을 위한 ALD 공정에서 수소-마감처리된 실리콘 표면 상에 잘 부착되지 않는 경향이 있다.
게르마늄 역시 이와 유사하게 ALD 반응에 부적당하다. 세정된 게르마늄 표면은 반응물의 열분해를 방지하기에 충분히 낮은 온도 및 응축을 방지하기에 충분히 높은 온도 조건을 포함하는 통상적인 ALD 조건 하에서 통상적인 ALD 반응물들에 있어 불충분한 흡착 부위를 제공한다. 게르마늄은 쉽게 산화하지 않으며, 게다가 자연적 산화물은 특히 질이 나쁘고 밀도가 낮다. 고르지 않으며 불안정한 산화물은 많은 CVD 및 ALD 공정 방법에 의한 증착에 있어서, 증착 속도가 느리며 거친 증착이 되도록 한다.
중간층들은 접착력, 결정핵 생성, 전기적 인터페이스 특성, 확산 등의 특성을 향상시키는 것을 포함하는 다양한 보충적 이유 때문에, 원하는 기능을 수행하는 층의 증착 전에 증착되기도 한다. 이러한 중간층들은 제조상의 비용 및 복잡성을 증가시키고, 또한, 게르마늄 기판 내의 트렌치(trench)와 같은 높은 종횡비 특성 내에서 상당한 공간을 차지할 수 있다. 게이트 유전체의 경우에서는, 집적회로가 소형화되는 추세와는 반대로, 부가층들은 전체 유전체 두께를 증가시키고, 층의 효율성을 감소시킨다.
표면 제조는 습식 벤치 처리(wet bench treatment)로 실행될 수 있다(참조: Ge MOS Characteristics with CVD HfO2 Gate Dielectrics and TaN Gate Electrode, 2003 Symp. on VLSI Tech. Digest of Tech. Papers(June 2003)). 그러나, 이러한 습식 화학 처리는 처리 수조 내 및 수조에서 증착 장비에 옮겨지는 과정 중에 불순물에 민감하다. 따라서, 본 명세서에 기술된 바람직한 공정은 증기공정, 특히 게르마늄 표면 위의 증착이 수행되는 툴 내에서의 인 시츄 공정을 사용한다.
바람직한 반응기
공정을 자세히 기술하기에 앞서, 표면 처리를 위한 전형적인 반응기 및 기체 증착 공정을 우선 하기에 기술한다. 별도의 도면으로 예시되지는 않으나, 하기에 기술된 표면처리 및 ALD 공정은 애리조나 피닉스의 에이에스엠 아메리카 주식회사에서 판매하고 있는 펄사 3000(PulsarTM 3000) 반응기에 의해 수행될 수 있는데, 어떤 실시예에서는 전기 반응기에 원격 플라즈마 처리 장치를 연결하여 사용할 수 있다.
바람직한 실시예는 단일 기판의 수평흐름 냉벽 반응기(horizontal flow cold-wall reactor)와 관련해서 설명될 것이다. 예시된 단일 통과 수평흐름 설계는 저체류시간을 가진 반응물 가스의 층류(laminar flow)를 가능하게 하는데, 이것은 반응물의 상호 반응이나 챔버 표면과의 반응을 최소화하면서 연속 공정을 용이하게 한다. 따라서, 이러한 장점들 중에서, 상기 층류는 상호 반응할 수 있는 반응물이 연속적으로 흐르도록 해준다. 피해야되는 반응은 산소 및 수소를 포함한 반응물에 의한 높은 발열 또는 폭발 반응 및 챔버의 미립자 오염을 야기하는 반응을 포함한다.
그러나 당업자라면, 다른 반응기 설계들도 이러한 결과에 도달할 수 있다는 것을 알게 될 것이다. 예를 들어, 본 명세서에 기술된 공정들은 단일 챔버 내의 인 시츄 공정에서 수행될 필요는 없다. 만일 표면 처리가 증착부터 다른 챔버에서 행하여 졌다면, 상기 챔버들은 바람직하게 고순도 이동 챔버 주변에 쌓이게 된다. 게다가, 본 명세서에 기술된 공정들은 일괄 공정 장치, 샤워헤드 배열을 사용하는 단일 웨이퍼 장치 내에서 용이하게 사용될 수 있다.
도 1은 통상적인 화학증기증착 반응기(10)를 나타내는데, 반응기(10)는 바람직한 실시예에 따라 구성된 석영 공정 또는 반응챔버(12)를 포함하며, 본 명세서에 개시된 방법들은 그를 특정한 용도에 사용하는 것이다. 원래는 한번에 단일기판 상 실리콘 애피틱셜 증착을 최적화하기 위해 설계된 것이나, 본 발명자는 다양한 공정에 걸쳐 이용될 수 있는 우수한 공정 제어를 발견하였다. 게다가, 도시된 반응기(10)는 동일한 챔버(12) 내에서 연속적으로 복수의 처리 단계를 안전하고 청결하게 달성할 수 있다. 반응기 (10)의 기본적인 구성은 애리조나 피닉스에 위치한 에이에스엠 아메리카 주식회사에서 상표명 엡실론(Epsilon®)으로 판매하고 있다.
복수의 복사열 소스들은 석영 챔버(12) 벽에 상당정도 흡수됨 없이 챔버(12)내에 열에너지를 제공하기 위해 챔버(12) 외부에 지지된다. 바람직한 실시예는 반도체 웨이퍼 공정에서의 '냉벽' CVD 반응기와 관련하여 기술되나, 본 명세서에서 기술되는 공정방법은 유도 또는 저항성 가열을 이용하는 것과 같은 다른 가열/냉각 시스템과의 결합에도 유용함을 이해할 것이다.
예시된 복사열 소스는 긴 튜브 형태의 복사가열 요소 (13)의 상부 가열 조립체를 포함한다. 바람직하게, 상부 가열 요소(13)는 밑에 놓인 반응 챔버(12)와 이격되어 평행하게 배치되고, 반응 챔버를 관통하는 반응 가스 유동로와 실질적으로 평행하다. 하부 가열 조립체는 이와 유사하게 반응 챔버(12) 하부의 긴 튜브 형태의 복사가열 요소(14)를 포함하고, 바람직하게 상부 가열 요소(13)에 가로질러 배치된다. 바람직하게, 복사열의 일부분은 대략 거울형 반사판(미도시)에 의해 챔버(12) 내부에서 각각 상부 및 하부 램프(13, 14)의 상/하로 널리 반사된다. 또한, 복수의 스팟램프(15)는 반응 챔버(12)의 하단에서 연장된 저온 지지 구조로 인한 히트 싱크 효과(heat sink effect)를 상쇄시키기 위해, 기판 지지 구조체(하기에서 기술됨)의 아래쪽에 집중적으로 열을 공급한다.
긴 튜브 형태의 가열 요소(13, 14) 각각은 요오드와 같은 할로겐 가스를 내포하고 있는 투명 석영 용기를 포함하는 고강도 텅스텐 필라민트 램프인 것이 바람직하다. 이와 같은 램프는 상당 정도의 흡수 없이 반응 챔버(12)의 벽을 통해 전달되는 풀-스펙트럼 복사열 에너지를 발생한다. 반도체 처리 장치 분야에서 잘 알려진 바와 같이, 다양한 램프(13, 14, 15)의 출력은 독립적으로 또는 온도 센서에 응답하여 그룹화된 영역으로 제어될 수 있다.
바람직하게 실리콘 웨이퍼(16)를 포함하는 기판은 반응 챔버(12) 내부의 기판 지지 구조체(18) 상에 지지된다. 예시된 실시예의 기판은 단결정 실리콘 웨이퍼이나, '기판'이라는 용어는 그 상부에 증착층이 형성되는 어떠한 표면을 광범위하게 언급될 때 사용된다는 것을 이해할 것이다. 게다가, 본 명세서에 기술되는 개념 및 장점은 평면 패널 디스플레이에 채용되는 것과 같은 유리기판을 포함하는 다른 다양한 종류의 기판에 증착층을 형성하는 경우에도 어떠한 한정 없이 적용될 수 있다.
도시된 지지 구조체(18)는 그 상부에 웨이퍼(16)이 안착되는 기판 지지대(20) 및 지지 스파이더(22)를 포함한다. 스파이더(22)는 축(24)에 장착되는데, 축(24)은 상기 챔버 하부 벽에 매달려 있는 튜브(26)를 관통해 하측으로 연장되어 있다. 바람직하게, 튜브(26)는 공정 동안 흐르는 세정 또는 수제 가스 소스와 소통하 여, 프로세스 가스가 챔버(12)의 하부 부분으로 방출되지 않도록 막는다.
복수의 온도 센서는 웨이퍼(16)의 주변에 배치된다. 온도 센서는 광고온계(optical pyrometer) 또는 열전대(thermocouple)와 같은 다양한 형태를 가질 수 있다. 온도 센서의 수 및 위치는 균일한 온도 분포를 조성할 수 있도록 선택되며, 후술될 바람직한 온도 제어장치의 측면에서 이해될 것이다. 그러나, 온도 센서는 직접 또는 간접적으로 웨이퍼 주변 위치의 온도를 감지하는 것이 바람직하다.
도시된 실시예에서, 온도 센서는 제1 또는 중앙 열전대(28)를 포함하는 열전대를 포함하는데, 적절한 방법으로 웨이퍼 지지대(20)의 하부에 매달려 있다. 도시된 중앙 열전대(28)는 스파이더(22)를 지나 웨이퍼 지지대(20) 주변까지 관통한다. 반응기(10)는 또한 웨이퍼(16) 주변에 선단 또는 정면 열전대(29), 후단 또는 배면 열전대(30) 및 하나 이상의 측면 열전대(미도시)를 포함하는 복수의 제2 또는 주변 열전대를 더 포함할 수 있다. 각각의 주변 열전대는 슬립 링(32) 내부에 수용되는데, 슬립 링은 기판 지지대(20) 및 웨이퍼(16) 둘레에 배치된다. 각각의 중앙 및 주변 열전대는 PID 온도 제어장치에 연결되어 있으며, 상기 온도 제어장치는 열전대의 표시에 응답하여 다양한 가열 요소 (13,14,15)의 출력을 조절한다.
주변 열전대 수용 외에, 슬립 링(32)은 고온 처리 동안 복사열을 흡열 및 방열하여, 웨이퍼 말단에서의 더 많은 열 손실 또는 흡수 경향을 보상하게 되는데, 이러한 열 손실 또는 흡수 현상은 이러한 말단 주변 영역에서 부피에 대한 더 높은 표면적 비율 때문에 생기는 것으로 알려져 있다. 말단 손실을 최소화함으로써, 슬립 링(32)은 적절한 수단으로 매달려 있을 수 있다.예를 들어, 도시된 슬립 링(32) 은 정면 챔버 분리기(36) 및 배면 챔버 분리기(38)에 매달려 있는 굽은 관(34) 상에 안착된다. 분리기(36, 38)는 석영으로 형성되는 것이 바람직하다. 임의의 다른 배치에 있어서, 배면 분리기(38)는 생략될 수 있다.
도시된 반응 챔버(12)는 반응물과 캐리어 가스가 주입되는 입구 포트(40)를 포함하고 있고, 이를 통하여 또한 웨이퍼(16)도 수용될 수 있다. 출구 포트(42)는 챔버(12)의 반대측에 있고, 입구(40)와 출구(42) 사이에 배치되는 웨이퍼 지지 구조체(18)를 가진다.
입구 구성요소(50)는 입구 포트(40) 주위에 부착되어 반응 챔버(12)에 고정되어 있으며, 웨이퍼(16)가 그 내부에 삽입될 수 있는 수평의 긴 슬롯(52)을 포함한다. 도 2를 참조하여 더 자세히 기술되는 바와 같이, 전체적으로 수직인 입구(54)는 원격 소스로부터의 가스를 수용하게 되고, 슬롯(52) 및 입구 포트(40)를 통해 이러한 가스를 전달한다. 입구(54)는 호킨스의 미국특허 제5,221,556호, 또는 2000년 7월 25일에 등록된 미국특허 제6,093,252호의 도 21 내지 26을 참조하여 기술되어 있는 가스 주입기를 포함할 수 있는데, 이들 문서에서 개시된 내용은 여기에 참조로서 반영된다. 이러한 주입기는 단일 웨이퍼 반응기에서의 가스 유동 균일화를 극대화하기 위해 설계되었다.
이와 유사하게, 출구 구성요소(56)는 처리 챔버(12)에 장착되어, 배출구(58)가 출구 포트(42)에 정렬되어 배출판(59)으로 이어지게 한다. 배출판(59)은 챔버(12)를 통하여 프로세스 가스를 인출하는 적절한 진공 수단(미도시)에 연결되어 있을 수 있다. 바람직한 실시예에서, 프로세스 가스는 반응 챔버(12) 및 하류의 스 크루버(미도시)를 통하여 인출된다. 챔버(12)를 통해 프로세스 가스를 인출하는데 도움을 주기 위해 그리고 저압 처리용 챔버를 진공으로 만들기 위해, 펌프 또는 팬을 포함하는 것이 바람직하다.
바람직한 반응기(10)는 또한 활성화 화학종 공급원(60)을 포함하는데, 바람직하게는 챔버(10)로부터 상류에 배치된다, 도시된 실시예에서의 활성화 화학종 공급원(60)은 원격 플라즈마 발생기를 포함하는데, 이 원격 플라즈마 발생기는 가스라인(62) 상의 마그네트론 전원발생기 및 어플리케이터를 포함한다. 대표적인 원격 플라즈마 발생기로는 독일 뮌헨의 래피드 리액티브 라디칼 테크놀로지(R3T)에서 제조 판매하는 상표명 TRW-850가 이용가능하다. 도시된 실시예에서, 마그네트론으로부터의 마이크로파 에너지는 가스라인(62)을 따라 어플리케이터 내에서 흐르는 가스와 연결된다. 전구체 가스 소스(63)는 활성화 화학종 공급원(60)으로 인입되기 위해 가스라인(62)과 연결된다. 캐리어 가스 소스(64) 또한 가스라인(62)에 연결된다. 하나 이상의 분기 라인(65) 또한 부가적인 반응물을 위해 마련될 수 있다. 당 업계에 잘 알려진 바와 같이, 가스 소스(63,64)는 반응물 종류의 형태 및 휘발성에 따라, 가스 탱크, 버블러 등을 포함할 수 있다. 각 가스라인에는 별도의 질량 흐름 컨트롤러(MFC) 및 밸브가 마련되어 있을 수 있으며, 도면에 도시된 바와 같이, 이것은 활성화 화학종 공급원(60)으로 인입되어 최종적으로 반응 챔버(12)에 도달하게 되는 캐리어 및 반응물 종류의 상대량 선택을 가능하게 해준다.
다른 배치에 있어서, 활성화 화학종들은 처리 챔버 내에서 발생될 수 있음을 이해할 것이다. 예를 들어, 본 기술 분야에서 잘 알려진 바와 같이, 인 시츄 플라 즈마는 라디오주파수(RF) 출력을 처리 챔버 내부의 상호 이격된 전극에 적용함으로써 발생될 수 있다. 대표적인 인 시츄 플라즈마 CVD 반응기로는, 예를 들어, 일본 도쿄의 에이에스엠 재팬 K.K.의 상호명 이글 10(Eagle 10TM) 또는 이글 12(Eagle 12TM)가 이용가능하다. 나아가, 에너지는 유도, 축전 등을 포함하여 인 시츄 또는 원격 플라즈마 발생을 위한 다양한 수단에 의해 소스 가스와 결합될 수 있다. 그러나, 본 명세서에서 기술되는 공정에 사용되는 원격 플라즈마 소스는 최소한의 벌크 효과를 가지는 표면 변형을 위해 더 많은 제어가 가능하게 사용되는 것이 바람직하다.
웨이퍼는 핸들링 챔버(미도시)로부터 전달되는 것이 바람직한데, 이는 픽업 장치에 의해 슬롯(52)을 통해, 주변 환경과 격리되어 있다. 핸들링 챔버 및 처리 챔버(12)는 미국특허 제 4,828,224호에 개시된 종류의 게이트 밸브(미도시)에 의해 분리되어 있는 것이 바람직하고, 개시된 내용은 여기에 참조로서 반영된다.
200mm 웨이퍼를 처리하도록 설계되어 있는 단일 웨이퍼 처리 챔버(12)의 총 부피용적은 예를 들어, 대략 30L보다 작은 것이 바람직하고, 더욱 바람직하게는 대략 20L, 가장 바람직하게는 대략 10L보다 작은 것이 좋다. 도시된 챔버(12)는 대략 7.5L의 용적을 갖는다. 그러나, 도시된 챔버(12)는 분리대(32, 38), 웨이퍼 지지대(20), 링(32) 및 튜브(26)로부터 흐르는 세정 가스로 분리되어 있기 때문에, 공정 가스 흐름이 통과할 수 있는 유효부피는 총 부피의 절반 정도이다(도시된 실시예에서는 대략 3.77L). 단일 웨이퍼 처리 챔버(12)의 부피는 챔버(12)에 수용되 는 웨이퍼의 크기에 따라 달라질 수 있음은 물론이다. 예를 들어, 300mm 웨이퍼를 수용하는 도시된 종류의 단일 웨이퍼 처리 챔버(12)는 대략 100L 보다 작은 용적을 가지는 것이 바람직하고, 더욱 바람직하게는 대략 60L, 가장 바람직하게는 대략 30L보다 적은 것이 좋다. 하나의 300mm 웨이퍼 처리 챔버는 대략 24L의 총 부피를 갖는데, 유효 공정 가스 용량은 대략 11.83L 정도이다.
도 2는 바람직한 실시예에 따른 가스 라인의 개략도이다. 반응기(10)에는 산소 및/또는 질소의 열적 반응물 소스(70)가 마련되어 있다. 상기 열적 반응물 소스(70)는 다수의 알려진 산소 및/또는 질소를 포함하는 화합물 중 하나를 포함할 수 있는데, 특히, 02, 03, H2O, H2O2, NO, N2O, N2O, N2, N2/H2, HCOOH, HClO3 , CO2와 같은 휘발성 화합물 및 이들의 혼합물 등을 포함할 수 있다. 반응물은 N2 또는 불활성 기체와 같은 불활성 캐리어 가스 흐름으로 인입되는 것이 바람직하나, 순수한 반응물 흐름도 또한 사용될 수 있다. 또 다른 방법 내지 덧붙여서, 산소 함유 및/또는 질소 소스 가스(63)는 표면 처리를 위해 활성화 화학종을 제공하는 원격 플라즈마 발생기(60)에 연결될 수 있다.
또한, 도 2에 도시된 바와 같이, 반응기(10)는 수소 가스(H2) 소스(72)를 추가로 포함한다. 본 기술 분야에서 잘 알려진 바와 같이, 수소는 낮은 끓는 점으로 인해 매우 높은 순도로 제공될 수 있으므로, 캐리어 가스 및 세정 가스로 유용하며, 실리콘 증착과도 호환 사용될 수 있다. H2는 또한 층 형성 전에 자연적 산화물 을 승화시키기 위해 고온수소 베이크(bake)에 채용될 수 있다. H2는 또한 자연적 산화물 세정 또는 다른 목적으로 H 라디칼을 발생시키는 활성화 화학종 발생기(60)를 통해 흐를 수 있다.
바람직한 반응기(10)는 또한, 질소 가스(N2) 소스(73)를 포함한다. 본 기술분야에서 잘 알려진 바와 같이, N2는 반도체 제조에 있어서 캐리어 또는 세정 가스로 H2 대신 종종 사용되고 있다. 질소 가스는 상대적으로 불활성이고 많은 집적 물질 및 공정 가스 흐름과 양립 가능하다. 다른 가능한 캐리어 가스는 헬륨(He) 또는 아르곤(Ar)과 같은 불활성 가스를 포함한다.
액체 반응물 소스(74) 또한 도시되어 있다. 가스라인이 H2, N2, Ne, He 또는 Ar 기포를 액체 금속 소스를 통해 제공하고 금속 유기 전구체를 가스 형태로 반응 챔버(12)로 전달하는 동안, 버블러는 Ta(OC2H5)5와 같은 액체 유기금속 전구체를 수용할 수 있다.
반도체 증착(예를 들어, Si, Ge, SiGe)이 동일 챔버에서 이루어지는 동안, 예를 들어, 액체 소스(74)는 버블러 내의 액체 디클로로실란(DCS), 트리클로로실란(TCS), 트리실란 또는 보다 차수가 높은 버블러 내의 실란 소스를 포함할 수 있다. 이와 같은 경우, 또는 도시된 실란소스(86)나 트리실란 소스와 같은 기체상의 반도체 소스가 이용될 때, 반응기(10)는 또한 도펀트 소스(예를 들어, 도시된 포스핀(76), 아르신(78) 및 디보란(80) 소스)와 같은 다른 소스 가스 및 반응기 벽과 다 른 내부의 부품을 세정하기 위한 부식액(예를 들어, 활성화 화학종 발생기(60)에 플라즈마 소스 가스(63)로 제공되는 HCl 소스(82) 또는 NF3/Cl2)을 포함할 수 있다. 게르마늄을 포함한 재료(예를 들어, 게르마늄 기층 또는 SiGe 층)의 증착을 위해, 게르마늄의 소스(예를 들어, 게르만 또는 도시된 GeH4)(84)가 제공될 수 있다.
각 가스 소스는 가스 패널에 부착된 질량 흐름 제어장치(MFCs)뿐만 아니라 부대안전장치 및 제어 벨브를 갖는 가스 라인을 통해 입구(54, 도 1 참조)에 연결될 수 있다. 공정 가스는 중앙 제어장치에 프로그램되어 있는 방향에 기초하여 입구(54, 도 1참조)로 전달되어, 주입기를 통해 처리 챔버(12)로 분배된다. 처리 챔버(12)로 전달 후, 반응하지 않은 가스 및 가스반응의 부산물은 대기 중으로 배출되기 전에 환경적 독성이 있는 가스를 농축하는 스크루버(88)로 배출된다.
상기에서 기술한 바와 같이, 종래 가스 소스 및 액체 버블러에 부가하여, 바람직한 반응기(10)는 반응 챔버(12)에서 멀리 또는 그 상류에 배치된 활성화 화학종 공급원(60)을 포함한다. 도시된 공급원(60)은 마이크로파 에너지를 반응물 소스(63)로 부터의 반응물 전구체를 포함하고 있는 어플리케이터 내에 흐르는 가스에 결합한다. 후술할 공정을 위해, 플라즈마 소스 가스(63)는 산소 소스 및/또는 질소 소스를 포함한다. 바람직한 공정에 사용되는 다른 유용한 플라즈마 소스 가스에는 N2와 플라즈마를 지원하는 캐리어 가스와 같은 불활성 가스가 포함된다. 플라즈마는 어플리케이터 내부에서 점화되어, 활성화 화학종을 챔버(12)로 이동 시킨다. 바람직하게, 공급원(60)에서 생성된 활성화 화학종 중에서, 매우 반응이 빠른 이온 종류는 챔버(12)에 유입되기 전에 실질적으로 재결합된다. 한편, N 또는 O와 같은 라디칼은 챔버(12)에 유입될 때까지 유지되고, 적절하게 반응한다. 후술하는 일반적인 공정에서 명백한 것과 같이, 원격 플라즈마에서 발생된 활성화 화학종은 웨이퍼 처리량을 증가시킬 뿐 아니라 보다 질 좋은 층을 제공해준다.
공정의 흐름
도 3은 본 발명에 따른 전체적인 공정 순서도로서, 게르마늄 표면상에 트랜지스터 게이트 스택을 형성하는 것과 관련하여 도시되어 있다. 초기에, 게르마늄 표면은 100으로 표기되어 있다. 게르마늄 표면은 다른 것들 중에서, 애피택셜 게르마늄 층, 고 게르마늄 합금(예를 들어, 20%이상의 [Ge] 원자로 이루어진 SiGe, 특히 40%이상의 원자로 형성된 합금)이나, 윗면이 단결정 게르마늄 웨이퍼를 포함할 수 있다. 본 명세서에 기술된 구현예들은 실질적으로 높은 순도(바람직하게는 90%이상, 보다 바람직하게는 95%이상의 순도)의 게르마늄 표면에 특별히 유용하다.
사전 처리 공정(110)에 앞서, 게르마늄 층은 오염물질과 자연 발생하거나 본래 있던 산화물을 제거하기 위해 선택적으로 먼저 씻겨질 수 있다. 일반적으로, 게이트 산화물의 성장 전의 웨이퍼 세정은 공정 챔버 내에 웨이퍼 탑재 전에 엑스 시츄(ex situ)로 수행된다. 예를 들어, 웨이퍼는 SC1/HF 습식 각인된 용액에서 씻겨질 수 있다. 다른 방법으로, 운반시간과 재부폐 혹은 재산화의 위험을 막기 위해, 통합된 HF와 아세트산 증기 세정은 클러스터 장비 내의 이웃하는 모듈에서 실행될 수 있다. 몇몇 사례에서는 SC1 과정에서 남은 산화물 세정제가 제거되지 않 고, 대신 초기 산화층으로 사용된다. 또 다른 가능성으로, 수소 베이크 과정은 증착 챔버에서 고유의 산화물을 승화시키기 위해 이루어질 수 있다. 소량의 HCl 기체는 수소 베이크 과정 동안 금속 오염물 및 그와 유사한 물질을 세정하기 위한 목적으로 첨가될 수 있다. 또 다른 배열에서는, 플라즈마 생성물이 수소가스 대신에 H 라디칼을 사용함으로써, 인 시츄 세정을 돕거나 수행할 수 있다. 그러나, 게르마늄의 낮은 용융점 때문에, 세정이 수행된다면 외부 습식 세정이 바람직하다.
게르마늄 표면을 지지하는 웨이퍼 또는 다른 기판은 공정 챔버 내에 탑재된다. 세정 후에도, 게르마늄 표면은 낮은 품질과 불균일 고유 산화물을 포함하는 지속적이지 않고, 바람직하지 못한 표면 성질을 갖는 경향이 있다. 이러한 표면은 도시된 ZrO2 또는 Al2O3의 ALD 증착과 같은 후속의 ALD 공정에 있어서, 결정핵 생성을 불규칙적으로 만들어, 구조 내에 불순물이 함유되게 한다. GeO 및 GeO2는 게르마늄 표면상에서 매우 불안정하다. 후속 증착(예를 들어, ALD 반응물의 흡착)의 지속적인 결정핵 생성을 달성하는 한 방법은 박막의 경계층을 증착시키는 것이다. 그러나, 단점으로, 이와 같은 층은 기판 위에 형성될 유전체의 전반적인 두께를 증가시키고, 효율적인 유전율을 감소시킨다.
따라서, 바람직한 실시예는 게이트 유전체의 후속 증착(120)이 동일 챔버 내에 바람직하게 수행되는, 게르마늄 표면의 표면처리(110)를 사용한다. 상기 처리는 후속 증착을 촉진하도록, 기판의 표면 마감처리를 개선한다. 장점으로, 상기 처리(110)는 온도가 기판의 에칭 또는 활성화 화학종의 벌크 재료로의 중대한 확산 을 방지하기에 충분히 낮도록 유지되는 동안, 반응물과 조건들이 표면결합(예를 들어, Ge-Ge 결합)을 깨고 새로운 결합을 형성하기에 충분한 에너지를 부여한다. 또한,상당한 양의 증착은 일어나지 않는다. 표면처리(110)로 마감 후면 또는 가교의 단분자 층 이상은 남지 못하게 된다. 하기에 논의되는 바와 같이, 표면 마감처리 위에 어떠한 증착도 발생하지 않지만, 기판 상단의 약간의 단분자층의 전환(예를 들어, 산화, 질화)은 이로울 수 있다.
통상적으로, 게르마늄 표면 처리는 표면 아래의 벌크 게르마늄에 최소한의 영향을 준다. 바람직하게는, 700℃, 보다 바람직하게는 650℃ 이하에서 본 처리가 이루어진다. 산소와 질소 같은 반응물의 벌크로의 광범위한 확산 작용을 포함하는 벌크 효과는 방지되어야 한다. 특히, GeO 와 GeO2의 벌크 내 형성을 피해야 한다. 실리콘 이산화물과 달리, 상기 GeO, GeO2와 같은 화합물은 매우 불안정하고, 사실상 GeO는 수용성이다. 벌크 내 질소 형성은 좀더 작은 문제이다. 그러나, 산화와 질화는 최초 게르마늄 표면아래 15Å 미만으로 투과되어야 하며, 보다 바람직하게는 10Å 미만, 가장 바람직하게는 2~5Å 이다. 벌크 확산의 억제는 전기적으로 활성화된 Ge층에 해로운 영향들을 막아, 유전상수의 증가 또는 동등 산화물 두께(EOT)의 증가를 억제한다. 공정 변수들은 기판의 몇몇 상부 단분자층에 산소 및/또는 질소가 함침된 것을 넘어서 벌크 내부로 상당 정도의 산소 및/또는 질소의 확산을 방지하도록 설정된다. 벌크 게르마늄(예를 들어, 30Å 이상의 깊이에서)은 대략 1% 원자농도 미만으로 질소와 산소를 함유하는 것이 바람직하다. 최초 게르마늄 표면의 깊이 10Å 또는 그 이상에서 산소와 질소 각각의 농도는 10원자% 미만인 것이 바람직하다. 바람직하게는, 상기 처리(110)는 산소 가교, 질소 가교, -NH 표면 그룹, -NH2 표면 그룹, -OH 표면 그룹 또는 이들의 혼합물의 표면 마감처리를 남긴다.
일 실시예에 의하면, 상기 처리는 플라즈마 처리를 포함한다. 바람직하게는, 상기 플라즈마는 고에너지 이온들이 게르마늄 표면에 충격을 가하여 손상을 입히지 않도록 원격 플라즈마에 의하여 발생되는 것이 바람직하다. 반응물은 원격적으로 생성되고, 그리하여 중성의 활성화 화학종, 특히 N 및 O의 형성을 위하여 최적화된 산소 및/또는 질소 활성화 화학종을 포함한다. 질소 및 산소 활성화 화학종을 위한 대표적인 공급원은 이에 제한되지는 않으나, NH3, O2, O3, H2O, H2/N2, H2N2, H2/N2, N2O, NO, N2 및 CO2와 같은 탄소함유 반응물, 그리고 아세트산과 같은 유기화합물을 포함한다. 상기 화합물의 혼합물, 특히 산소 및 수소를 갖는 상기 화합물의 어느 혼합물도 고려해 볼 수 있다. 질소, 아르곤, 헬륨, 네온, 크립톤 또는 다른 불활성 가스 또한 글로방전(glow discharge)의 형성을 도와 캐리어 가스로 기능하기 위해 흐를 수 있으나, 전체 유속 및 부분압은 원격 플라즈마 장치의 동작을 유지하기 위해 반응 챔버 압력을 대략 10 Torr 이하로 유지하도록 조정하는 것이 바람직하다. 바람직하게, 공정 변수는 중대한 벌크의 변화없이 표면 결합을 깨트리기에 충분하도록 조정된다. 도시된 실시예에서, 후속 증착(120)이 ALD에 의해 인 시츄 수행되고, 온도는 실온 내지 700℃까지가 바람직하고, 200℃ 내지 500 ℃까지가 보다 바람직하다. 주어진 온도, 압력, 원격 플라즈마 출력, 반응시간 및 반응물 농도는 바람직한 표면 조건을 달성하도록 조절된다.
바람직하게, 산소 가교, 질소 가교, -NH 표면 그룹, -NH2 표면 그룹, -OH 표면 그룹 또는 이들의 혼합물을 남기도록 하는 게르마늄 표면의 전체 반응을 유지하면서 동시에 상기 참조된 바람직한 확산 조건들이 유지되도록 조건들이 구비된다. 따라서, 기판은 어느 부위라도 실온에서 700℃까지 유지될 수 있다. 기판의 온도는 확산의 위험을 최소화하기 위하여, 약 500℃ 미만인 것이 바람직하고, 300℃ 미만인 것이 보다 바람직하다. 원격 플라즈마 발생기를 위한 통상적인 출력 수준은 500W 내지 2kW이다.
다른 실시예에서, 본 게르마늄 표면 처리(110)는 산소 가교, 질소 가교, -NH 표면 그룹, -NH2 표면 그룹, -OH 표면 그룹 또는 이들의 혼합물이 벌크 내 최소의 산화 또는 질화를 가지는 동일 목표를 달성하도록 열처리를 포함한다. 따라서, 원격 플라즈마 반응을 위하여 상기 언급된 반응물들의 동일 목록은 원하는 표면 마감을 형성하기 위한 충분한 에너지가 공급되기만 한다면, 열처리를 위하여 사용될 수 있다. 다시 말해서, 벌크로의 확산을 방지하기 위하여, 온도는 약 500℃ 이하, 보다 바람직하게는 약 300℃ 미만이 바람직할지라도, 반응물들의 반응성에 따라 열반응은 실온 내지 700℃에서 일어날 수 있다.
게르마늄 표면 처리(110)는 후속 유전체 증착(120)과 같이 동일한 챔버에서 인 시츄로 수행되는 것이 가장 바람직하다. 이때, 기판의 온도는 동일 챔버 내의 후속 증착(120)을 위해 요구되는 온도로 설정되는 것이 바람직하다. 단계 사이의 온도의 변화가 일어나지 않도록, 단계 사이의 온도가 약 50℃ 이하, 보다 바람직하게는 약 25℃ 이하 및 가장 바람직하게는 목표 또는 세팅 포인트 온도가 동일하다면 본 명세서의 의미 내에서 표면처리(110) 및 증착(120)은 등온(isothermal)으로 간주될 수 있다.
상술한 바와 같이, 공정은 증착된 층으로 끝나지 않는다. 바람직하게, 기판의 상부 약간의 단분자층 내의 Ge-Ge 결합을 Ge-O 결합, Ge-N 결합, -OH 표면 그룹 및/또는 -NH 표면 그룹으로 치환하도록, 특히, 기판 표면의 약 15Å 미만을 게르마늄 산화물, 게르마늄 질화물 또는 게르마늄 질화산화물로 전환하도록 공정 변수들이 선택된다. 표면처리는 기판 표면의 약 10Å 미만으로 형성되는 것이 보다 바람직하며, 평균 약 2Å 내지 5Å인 것이 가장 바람직하다.
게르마늄 표면 처리(110) 후, 게이트 유전체는 상기 처리된 표면 상부에 증착된다(120). 증착(120)은 또한 활성화 화학종 흐름을 포함할 수 있지만, 이러한 경우에 있어서, 통상적으로 게르마늄 표면 처리(110) 전부터 제공되는 라디칼은 증착(120)에 사용되는 것과는 차이가 있을 것이다. 게다가, 바람직한 ALD 공정을 위해서, 반응 챔버는 각 반응물 펄스 전에 어떠한 반응물 없이 비워져야(예를 들어, 퍼징) 한다. 따라서, 게르마늄 표면 처리(110) 동안, 안정한 가스 또는 활성화 화학종의 흐름은 증착(120) 전에 정지되는 것이 바람직하다.
바람직한 실시예에 따라, 증착(120)은 ALD형 증착을 포함하는데, 여기서 교번 펄스는 표면을 포화시키고, 각 사이클은 유전체 물질의 약 1 분자층 정도 남긴 다. 하기의 예에서, 알루미늄 소스 가스 또는 지르코늄 소스 가스는 산화 알루미늄(Al2O3) 및 산화 지르코늄(ZrO2)을 형성하도록 산소 소스 가스와 교대된다. 당업자라면 유사한 방법이 산화 하프늄(HfO2), 산화 탄탈륨(Ta2O5), 바륨 스트론튬 티타네이트(BST) 또는 스트론튬 비스무스 탄탈레이트(SBT)와 같은 다른 고k 물질을 형성하기 위하여 사용될 수 있음을 이해할 것이다.
ALD증착의 제 1 펄스는 게르마늄 표면 처리(110)가 남긴 마감처리부와 반응한다. 또는, 증착 전에 추가의 표면 처리가 제공될 수 있다. 예를 들어, 수 처리(water treatment)는 게르마늄 표면 처리(110) 후, 표면과 더 잘 반응할 수 있어, 후속 ALD 공정들과 용이하게 반응하는 수산기-마감처리된 표면을 남긴다.
일 실시예에서, 게르마늄 표면처리(110) 전 또는 후 어느 하나에 있어서, 게르마늄 표면을 지지하는 기판은 ALD 공정을 위해 설계된 펄사 3000(PulsarTM 3000) 반응기(미국 애리조나 피닉스에 위치한 에이에스엠 아메리카 주식회사로부터 구입가능)의 반응 영역 내에 적재되었다. 반응 영역은 기계적 진공 펌프를 이용하여 진공상태로 만들었다. 진공상태로 만든 후, 반응 영역의 압력은 순도 99.9999%의 흐르는 불활성 가스(예를 들어, 헬륨, 아르곤 또는 질소)로 대략 5~10mbar가 되도록 조정하였다. 그 후, 반응 영역은 300℃에서 안정화되었다. 외부 소스로부터 기화된 (CH3)3Al 및 H2O의 교번 기상 펄스는 상기 반응 영역 내로 인입되어 기판 표면에 접촉된다. 소스 화학 펄스는 흐르는 질소 가스 나 다른 불활성 가스와 상호 분리되어 있다.
각 펄스 주기는 4가지 기본 단계로 이루어져 있다:
* (CH3)3Al 펄스
* N2 세정
* H2O 펄스
* N2 세정
대표적인 산화 알루미늄 증착 주기는 표 1에 요약되어 있다.
표 1: (Al2O3)
반응물 온도(℃) 압력(mbar) 시간(sec)
펄스 1 TMA 300 5-10 0.2
세정 1 -- 300 5-10 1.1
펄스 2 H2O 300 5-10 1.5
세정 2 -- 300 5-10 3.0
주기의 수는 층의 두께를 결정한다. (CH3)3Al과 H2O로부터 Al2O3로의 성장율은 보통 300℃에서 0.1nm/주기나 1Å/주기 또는 대략 3-4주기/1 단분자층(Al2O3는 대략 3Å의 벌크 격자 변수를 가짐)이다. 각 TMA펄스에 의해 남겨진 메틸 마감처리는 사용가능한 많은 화학흡착 장소를 감소시켜, 완전한 1 단분자층보다 적게 각펄스로 형성한다. 펄스 주기는 소망하는 층 두께를 생성할 수 있는 충분한 회수로 반복된다. 산화 알루미늄은 게이트 유전체 또는 다른 유전체 층을 형성하기 전 얇은 층으로 사용될 수 있다.
다른 배치에서, ZrO2는 ALD형 공정에 의해 증착된다. ZrCl4 증기는 반응 챔버로 인입되어 웨이퍼 표면에 1.5초 동안 노출된다. 이것을 펄스 A로 지칭한다. 반응 챔버는 질소 가스로 3.0초 동안 세정되어, 반응 챔버로부터 잉여 ZrCl4 및 부산물들을 제거한다. 이것을 세정 A로 지칭한다. 잔여 H2O 및 반응 부산물은 반응 챔버를 4.0초 동안 세정함으로써 제거된다. 이것을 세정 B로 지칭한다. 각 반응 상태 동안, 반응물은 주어진 다른 파라미터에 대하여 표면을 포화시킬 수 있을 충분한 양으로 제공된다.
대표적인 고 k 증착 주기는 표 2에 요약되어 있다.
표 2: (ZrO2)
반응물 온도(℃) 압력(mbar) 시간(sec)
펄스 A ZrCl4 300 5-10 1.5
세정 A -- 300 5-10 3.0
펄스 B H2O 300 5-10 3.0
세정 B -- 300 5-10 4.0
펄스 A, 세정 A, 펄스 B, 세정 B로 구성된 표 2의 주기는 51회 반복된다. 평균 증착율은 300℃에서 대략 0.59Å/주기로서, ZrO2 두께는 대략 30Å 정도다.
더 일반적으로, ALD 공정 동안의 온도는 층 내부의 염소함유 허용수준에 따라, 대략 200℃ 내지 500℃ 사이인 것이 바람직하다. 더 고온에서는 염소 물질이 침전된다. 너무 많은 염소는 전하 트래핑을 야기시킬 수 있다. 300℃에서, 염소 함유량은 대략 0.5% 정도로 측정된다. 비정질 ZrO2 층에 있어서, 온도는 이 범위의 저온한계값, 대략 200 내지 250℃인 것이 보다 바람직하고, 대략 225℃인 것이 가장 바람직하다. 결정질막에 있어서, 온도는 이 범위의 고온한계값, 대략 250℃ 내지 500℃인 것이 보다 바람직하고, 대략 300℃인 것이 가장 바람직하다. 그러나, 당업자가 인식할 수 있는 바와 같이, 비정질 및 결정질 조성 혼합물은 이 두 영역의 경계에서 발생한다. 도시된 공정은 대부분이 결정질 ZrO2 막을 생산한다.
이 경우, 금속 상태로 형성된 금속 1 단분자층은 염소로 자기-마감처리되어 있는데, 이것은 바람직한 조건 하에서 잉여 ZrCl4와 용이하게 반응하지 않는다. 그러나, 바람직한 산소 소스 가스는 이전에 흡착된 염화 지르코늄 착화합물의 공급으로 한정되는 리간드-교환 반응에서의 산소 상태 동안 염소-마감처리된 표면과 반응하거나 흡착된다. 게다가, 산화는 포화 상태에서 과잉 산화제와 더 이상 반응하지 않는 수산기 및 산소 가교 마감처리를 남긴다.
바람직하게, 충분한 주기는 대략 20Å 내지 60Å의 ZrO2가 성장하도록 수행된다. 보다 바람직하게, 충분한 주기는 대략 20Å내지 40Å의 ZrO2가 성장하도록 수행된다. 이 층의 유전상수는 대략 18 내지 24이다. 도시된 예에서, 30Å의 Zr2O3가 형성되었다.
게이트 유전체 증착(120)은 여러 증착 단계들을 포함한다. 그러나, 그전의 표면 처리 때문에, 경계면 층에 대한 필요가 줄어들게 되어, 고 k 재료(k 값이 질산화 실리콘의 k값보다 큰, 더 바람직하게는 10보다 큰 k를 가지는)가 처리된 게르마늄 표면에 바람직하게 직접 증착된다. 다수의 '나노라미네이트(nanolaminate)' 하부층들은 장벽특성을 희생시키지 않더라도 결정구조를 맞추고/맞추거나 유전상수를 최대화하는데 있어서 여전히 장점을 가질 수 있다.
게이트 유전체의 형성 후, 게이트 전극은 게이트 유전체위에 증착된다(130). 일단, 게이트 스택이 완성되면, 게이트 전극은 바람직하게, 통상적인 사진석판 기술 및 에칭에 의해 제조된다. 다른 배치에서, 게이트 전극은 위에 놓이는 금속 층의 증착 전후에 패턴화될 수 있고, 본 기술 분야에 알려진 바와 같이, 상기 금속은 자가 정렬 실리콘화합물화에 사용될 수 있다. 어떤 배치에서, 게이트 전극 자체는 하부의 게르마늄에 맞는 일함수를 가진 금속을 포함한다.
게이트 스택을 완성후, 집적 회로를 완성하기 위한 추가의 공정이 뒤따른다. 예를 들어, 게이트 스택은 일반적으로 유전체의 블랭킷 증착(blanket deposition) 및 스페이서 에칭에 의해 절연된다. 트랜지스터 활성 영역은 패턴화된 전극의 한측면에 소스 및 드레인 영역을 형성하기 위해 도핑되고, 배선(wiring) 또는 '후미' 공정으로 회로를 완성한다.
유리하게, 게르마늄 표면 처리(110)는 처리된 게르마늄 표면 위에 후속 증착을 용이하게 한다. 도시된 예와 같이, 본 게르마늄 표면 처리(110)는 ALD 반응물의 흡착을 용이하게 한다.
도 4A 와 4B는 이러한 유전체 스택을 포함하는 트랜지스터 게이트를 도시한 다. 특히, 게르마늄 구조(200)는 게르마늄 구조 위에 형성된 트랜지스터 게이트 스택(210)과 함께 도시된다. 도시된 구현예에서, 당업자라면 기판이 에피택셜하게 증착된 게르마늄 또는 높은 [Ge]를 갖는 SiGe를 또한 포함할 수 있음을 이해할지라도, 게르마늄 구조(200)는 단결정 게르마늄 웨이퍼의 상부 부분을 포함한다.
게이트 스택(210)은 종래 방법으로 전극(220)을 보호 및 절연하는 절연층(240) 및 측벽 스페이서(230)를 가진 전극층(220)을 포함한다. 또한, 통상 금속을 포함하고 있으며 게이트 전극(220) 상부에 배치된 더 나은 전도성을 가진 스트래핑 층(250)을 보여주고 있다. 스트랩(250)은 게이트와 로직 회로를 연결하여 웨이퍼를 가로지르는 트랜지스터 게이트 사이의 빠른 신호 전파에 도움을 준다. 게이트 전극은 통상적인 도핑된 폴리실리콘 층, SiGe 합금 또는 원하는 일함수를 위해 조정된 조성을 갖는 금속 합금을 포함할 수 있다.
상기에 기술된 대표적인 공정으로 제조된 게이트 유전체(260)는 게르마늄 구조(200)로부터 게이트 전극(220)을 분리시킨다. 상기의 배경기술 부분에서 언급한 바와 같이, 게이트 유전체(260)는 더 조밀하고 더 빠른 회로를 추구하는데 있어서 결정적인 특성이다.
4B의 확대도에서 가장 잘 볼 수 있듯이, 바람직한 게이트 유전체(260)는 하부의 게르마늄 구조(200)를 갖는 경계면(262) 및 벌크 유전체층(264)을 포함한다. 도시된 실시예의 경계면(262)은 증착층을 나타내는 것이 아니다; 그보다는 상기 경계면 위에 벌크 유전체 층(264)이 증착되기 전, 매우 약간의 산화와 질화 및/또는 표면 그룹의 형성에 의하여 개질된 경계면이다. 다른 배치에 있어서, 게르마늄 표 면 처리는 부가적인 층을 남길 수 있다. 도시된 실시예에 있어서, 원격 플라즈마 또는 열반응으로부터 활성화된 화학종은 ALD 전에 경계면(262)을 형성하는데, 이때 표면의 개질은 ALD 반응물의 흡착을 용이하게 한다. 원격 플라즈마는 질화 및/또는 산화의 경우, 경계면(262)은 바람직하게는 약 15Å 미만으로 연장된, 보다 바람직하게는 10Å 미만으로 연장된, 가장 바람직하게는 2Å 내지 5Å 연장된 게르마늄 구조(200)의 질화 및/또는 산화된 부분을 포함한다. 경계면(262) 하부의 벌크 게르마늄은 10Å 이상의 깊이에서 10원자% 미만의 질소와 10원자% 미만의 산소를 포함하는 것이 바람직하다.
게르마늄 표면처리와 ALD의 고유한 자기억제특성이 조합되어 제공된 지속적인 결정핵 생성(증착)에 의하여, 매우 얇으면서도 우수한 평활성을 나타내는 유전체층(260)이 제조될 수 있다. 따라서, 게르마늄 고유의 불량한 표면 품질 및 결과적인 게르마늄 상부에서의 비지속적인 증착이 극복된다. 고 k 층(260)은 100Å 미만의 두께를 가지는 것이 바람직하고, 50Å 미만의 두께를 가지는 것이 보다 바람직하며, 표면 거칠기는 5Å rms 미만인 것이 바람직하고, 3Å rms 미만의 표면 거칠기를 가지는 것이 보다 바람직하며, ALD의 정확한 자기억제 표면반응으로 1.5Å미만의 표면 거칠기도 가능하다.
당업자는 본 발명의 범위를 벗어나지 않으면서 상기 기술된 방법에 다양한 생략, 부가 및 변형을 가할 수 있고, 이러한 모든 변형 및 변경은 첨부된 청구항에 의해 정의된 본 발명의 범위 내에 포함되는 것임을 잘 인식할 것이다. 예를 들어, ALD 및 CVD 전에 표면 처리하는 것과 관련하여 설명하였으나, 당업자라면 또한 MOCVD 및 JVD를 포함하나 이에 한정되지는 않는 다른 증착 전 게르마늄 표면 처리에 대해서도 적용할 수 있을 것이다.

Claims (33)

  1. 집적회로 제작에서 게르마늄 표면 위에 막을 증착하는 방법에 있어서,
    상기 게르마늄 표면을 산소 및/또는 질소를 포함하는 기체에 노출시켜, 개질된 표면을 형성하는 단계; 및,
    상기 개질된 표면 위에 유전체 물질을 원자층 증착하는 단계를 포함하는 것을 특징으로 하는 증착 방법.
  2. 제 1항에 있어서,
    상기 산소 및/또는 질소를 포함하는 기체는 원격 플라즈마 발생기로부터 활성화된 화학종을 포함하는 것을 특징으로 하는 증착 방법.
  3. 제 1항에 있어서,
    상기 노출은 산소 및/또는 질소를 포함하는 기체와 게르마늄 표면을 열적으로 반응시키는 단계를 포함하는 것을 특징으로 하는 증착 방법.
  4. 제 1항에 있어서,
    상기 노출은 게르마늄 표면 아래로 약 10Å 이상의 깊이에서, 약 10원자% 미만의 질소 및 약 10원자% 미만의 산소의 형성을 포함하는 것을 특징으로 하는 증착 방법.
  5. 제 1항에 있어서,
    상기 산소 및/또는 질소를 포함하는 기체는 O2, O3, H2O, H2O2, NO, N2O, N2O, N2, N2/H2, HCOOH, HClO3, CO2 및 이들의 혼합물로 이루어진 군으로부터 선택된 기체를 포함하는 것을 특징으로 하는 증착 방법.
  6. 제 1항에 있어서,
    상기 노출은 게르마늄 표면의 온도를 약 500℃ 미만으로 유지하는 것을 포함하는 것을 특징으로 하는 증착 방법.
  7. 제 6항에 있어서,
    상기 노출은 게르마늄 표면의 온도를 약 300℃ 미만으로 유지하는 것을 포함하는 것을 특징으로 하는 증착 방법.
  8. 제 1항에 있어서,
    상기 게르마늄 표면은 약 90원자% 이상의 게르마늄 함량을 갖는 단결정 구조를 포함하는 것을 특징으로 하는 증착 방법.
  9. 제 1항에 있어서,
    상기 게르마늄 표면은 약 20원자% 보다 큰 게르마늄 함량을 갖는 실리콘 게르마늄 층의 상부 표면을 포함하는 것을 특징으로 하는 증착 방법.
  10. 제 9항에 있어서,
    상기 게르마늄 표면은 약 40원자% 보다 큰 게르마늄 함량을 갖는 실리콘 게르마늄 층의 상부 표면을 포함하는 것을 특징으로 하는 증착 방법.
  11. 제 1항에 있어서,
    상기 원자층 증착은 하나 이상의 금속 전구체를 산소 전구체로 대체하는 것을 포함하는 증착 방법.
  12. 제 11항에 있어서,
    상기 게이트 유전체층은 약 5보다 큰 유전상수를 갖는 것을 특징으로 하는 증착 방법.
  13. 제 12항에 있어서,
    상기 게이트 유전체층은 약 10보다 큰 유전상수를 갖는 것을 특징으로 하는 증착 방법.
  14. 제 1항에 있어서,
    상기 노출과 원자층 증착은 단일 증착 챔버 내에서 인 시츄(in situ)로 수행되는 것을 특징으로 하는 증착 방법.
  15. 제 1항에 있어서,
    상기 노출은 약 하나의 원자 단일층보다 큰 층을 증착하지 않는 것을 특징으로 하는 증착 방법.
  16. 게르마늄 표면 위를 증착하는 방법에 있어서,
    상기 게르마늄 표면을 제공하는 단계와;
    상기 게르마늄 표면 전체에 걸쳐, 산소 가교, 질소 가교, -OH 그룹, -NH 그룹, -NH2 및 이들의 혼합물로 구성된 군으로부터 선택되는 표면 마감부를 형성하는 단계; 및,
    상기 표면 마감부 위에 직접적으로 층을 기체 증착하는 단계를 포함하는 것을 특징으로 하는 증착 방법.
  17. 제 16항에 있어서,
    상기 기체 증착은 원자층 증착을 포함하는 것을 특징으로 하는 증착 방법.
  18. 제 17항에 있어서,
    상기 층은 질화 실리콘보다 높은 유전상수를 갖는 유전체 물질을 포함하는 것을 특징으로 하는 증착 방법.
  19. 제 18항에 있어서,
    상기 층은 산화 알루미늄, 산화 지르코늄, 산화 하프늄, 산화 탄탈륨 및 삼원 산화물(ternary oxide)로 이루어진 군에서 선택된 유전체 물질을 포함하는 것을 특징으로 하는 증착 방법.
  20. 제 16항에 있어서,
    상기 표면 마감부 형성은 원격 플라즈마 발생기로부터 활성화된 화학종들의 공급을 포함하는 것을 특징으로 하는 증착 방법.
  21. 제 16항에 있어서,
    상기 표면 마감부 형성은 약 500℃ 이하의 온도에서 기체 반응물과 게르마늄 기판과의 열반응을 포함하는 것을 특징으로 하는 증착 방법.
  22. 제 16항에 있어서,
    상기 표면 마감부 형성은 게르마늄 표면 아래로 15Å까지의 깊이까지 질소 및 산소를 확산하는 것을 포함하는 증착 방법.
  23. 제 22항에 있어서,
    상기 표면 마감부 형성은 표면 마감부로부터 아래로 10Å 이상의 깊이에서 10원자% 미만의 질소 및 10원자% 미만의 산소를 남기는 것을 포함하는 증착 방법.
  24. 제 16항에 있어서,
    상기 표면 마감부 형성은 게르마늄 구조의 상부 2-5Å을 질화 및/또는 산화하는 것을 포함하는 증착 방법.
  25. 약 40원자% 보다 큰 게르마늄 함량을 갖는 반도체 구조와;
    상기 반도체 구조 위의, 약 50Å 미만의 두께 및 약 3Å rms 미만의 표면 기울기를 갖는 게이트 유전체 층; 및
    상기 게르마늄 구조 및 상기 게이트 유전체 사이의 경계면에서의 질소 및/또는 산소를 포함하며,
    상기 반도체 구조는, 반도체 구조의 상부 표면으로부터 약 10Å보다 큰 깊이에서 약 10원자% 미만의 산소를 갖는 것을 특징으로 하는 집적회로.
  26. 제 25항에 있어서,
    상기 게이트 유전체는 산화 알루미늄, 산화 지르코늄, 산화 하프늄, 산화 탄탈륨, 바륨 스트론튬 티타네이트 및 스트론튬 비스무스 탄탈레이트로 이루어진 군으로부터 선택되는 물질을 포함하는 것을 특징으로 하는 집적회로.
  27. 제 26항에 있어서,
    상기 게이트 유전체는 산화 지르코늄을 포함하는 것을 특징으로 하는 집적회로.
  28. 제 25항에 있어서,
    상기 경계면에 2-5Å의 질화 게르마늄을 포함하는 것을 특징으로 하는 집적회로.
  29. 제 25항에 있어서,
    상기 경계면에 2-5Å의 산화 게르마늄을 포함하는 것을 특징으로 하는 집적회로.
  30. 제 25항에 있어서,
    상기 경계면에 2-5Å의 게르마늄 옥시나이트라이드(germanium oxynitride)를 포함하는 것을 특징으로 하는 집적회로.
  31. 제 25항에 있어서,
    상기 반도체 구조는 반도체 구조의 상부 표면으로부터 약 10Å보다 큰 깊이에서 약 10원자% 미만의 질소를 포함하는 것을 특징으로 하는 집적회로.
  32. 제 31항에 있어서,
    상기 반도체 구조는 반도체 구조의 상부 표면으로부터 약 30Å 이상의 깊이에서 약 1원자% 미만의 산소 및 1원자% 미만의 질소를 갖는 것을 특징으로 하는 집적회로.
  33. 집적회로 제작에서 게르마늄 표면 위에 막을 증착하는 방법에 있어서,
    약 40원자% 보다 큰 게르마늄 함량을 갖는 게르마늄 구조를 제공하는 단계 와;
    상기 게르마늄 구조의 표면을 원자층 증착 반응물의 개질된 결정핵 생성을 위하여 표면처리에 노출시키는 단계; 및,
    상기 원자층 증착 반응물을 사용하여, 처리된 표면 위에 층을 원자층 증착하는 단계를 포함하는 것을 특징으로 하는 증착 방법.
KR1020067002064A 2003-08-04 2004-08-03 증착 전 게르마늄 표면 처리 방법 KR20060054387A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US49240803P 2003-08-04 2003-08-04
US60/492,408 2003-08-04

Publications (1)

Publication Number Publication Date
KR20060054387A true KR20060054387A (ko) 2006-05-22

Family

ID=34193119

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067002064A KR20060054387A (ko) 2003-08-04 2004-08-03 증착 전 게르마늄 표면 처리 방법

Country Status (5)

Country Link
US (2) US7202166B2 (ko)
EP (1) EP1652226A2 (ko)
JP (1) JP2007516599A (ko)
KR (1) KR20060054387A (ko)
WO (1) WO2005017963A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101455263B1 (ko) * 2014-01-06 2014-10-31 연세대학교 산학협력단 기판의 산화물 제거 방법 및 이를 이용한 반도체 소자 제조 방법
KR20160119903A (ko) * 2015-04-06 2016-10-17 연세대학교 산학협력단 탄소 박막 소자 및 이의 제조 방법
KR20220024309A (ko) 2017-04-18 2022-03-03 주식회사 레이크머티리얼즈 반도체 공정용 절연막 상에 탄소 박막을 형성하는 방법

Families Citing this family (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
WO2005017963A2 (en) * 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US7078300B2 (en) * 2003-09-27 2006-07-18 International Business Machines Corporation Thin germanium oxynitride gate dielectric for germanium-based devices
EP1562226B1 (en) * 2004-02-06 2020-05-20 IMEC vzw A method for removing oxides from a Germanium semiconductor substrate surface
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
EP1659623B1 (en) * 2004-11-19 2008-04-16 S.O.I. Tec Silicon on Insulator Technologies S.A. Method for fabricating a germanium on insulator (GeOI) type wafer
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
KR20070108918A (ko) * 2005-02-22 2007-11-13 에이에스엠 아메리카, 인코포레이티드 원자층 증착을 위한 표면의 플라즈마 전처리
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
US7517812B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
EP1883103A3 (en) * 2006-07-27 2008-03-05 Interuniversitair Microelektronica Centrum Deposition of group III-nitrides on Ge
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8163341B2 (en) 2008-11-19 2012-04-24 Micron Technology, Inc. Methods of forming metal-containing structures, and methods of forming germanium-containing structures
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110223706A1 (en) * 2010-03-10 2011-09-15 Hildreth Jill C Method of forming a photodetector
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8598020B2 (en) * 2010-06-25 2013-12-03 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8809152B2 (en) 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
JP2014060391A (ja) * 2012-08-24 2014-04-03 Sumitomo Chemical Co Ltd 半導体基板の製造方法、半導体基板、半導体装置の製造方法および半導体装置
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8901677B2 (en) * 2013-03-08 2014-12-02 Intermolecular, Inc. Nucleation interface for high-k layer on germanium
US20140273519A1 (en) * 2013-03-13 2014-09-18 Sharka M. Prokes Hydrogen-plasma process for surface preparation prior to insulator deposition on compound semiconductor materials
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
WO2015029535A1 (ja) * 2013-08-30 2015-03-05 独立行政法人科学技術振興機構 ゲルマニウム層上に酸化ゲルマニウムを含む膜を備える半導体構造およびその製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150093914A1 (en) * 2013-10-02 2015-04-02 Intermolecular Methods for depositing an aluminum oxide layer over germanium susbtrates in the fabrication of integrated circuits
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9312137B2 (en) * 2013-10-31 2016-04-12 Intermolecular, Inc. Reduction of native oxides by annealing in reducing gas or plasma
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US20150140838A1 (en) * 2013-11-19 2015-05-21 Intermolecular Inc. Two Step Deposition of High-k Gate Dielectric Materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10134585B2 (en) * 2014-08-19 2018-11-20 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016207737A1 (en) * 2015-06-23 2016-12-29 Soreq Nuclear Research Center Gas slab laser
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
CN116759290A (zh) * 2015-08-14 2023-09-15 恩特格里斯公司 锗表面的钝化
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP2018528619A (ja) * 2015-09-18 2018-09-27 東京エレクトロン株式会社 ゲルマニウム含有半導体デバイスおよび形成方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN108369894B (zh) * 2015-12-04 2019-10-15 应用材料公司 用于清洁ingaas(或iii-v族)基板的方法和解决方案
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10580658B2 (en) * 2016-04-13 2020-03-03 Tokyo Electron Limited Method for preferential oxidation of silicon in substrates containing silicon and germanium
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10340137B2 (en) * 2016-07-25 2019-07-02 Tokyo Electron Limited Monolayer film mediated precision film deposition
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN107527806A (zh) * 2017-09-29 2017-12-29 睿力集成电路有限公司 介电薄膜、介电层结构及制作方法
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP2022537347A (ja) * 2019-06-20 2022-08-25 東京エレクトロン株式会社 選択的な窒化ホウ素又は窒化アルミニウムの堆積による高度に選択的な酸化ケイ素/窒化ケイ素のエッチング
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR20230025563A (ko) * 2021-08-12 2023-02-22 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
DE69122573T2 (de) 1990-07-30 1997-03-13 Mitsubishi Gas Chemical Co Verfahren zur Herstellung von Mehrschichtplatinen
US5382333A (en) 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
WO1993010652A1 (en) 1991-11-22 1993-05-27 Electrochemicals, Inc. Process for improved adhesion between a metallic oxide and a polymer surface
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JP2953567B2 (ja) 1997-02-06 1999-09-27 日本電気株式会社 半導体装置の製造方法
US6124189A (en) 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
FR2783254B1 (fr) 1998-09-10 2000-11-10 France Telecom Procede d'obtention d'une couche de germanium monocristallin sur un substrat de silicium monocristallin,et produits obtenus
ES2315012T3 (es) 1999-02-11 2009-03-16 Hardide Limited Recubrimientos de carburo de tungsteno y procedimiento para producir los mismos.
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
JP5173101B2 (ja) 2000-05-15 2013-03-27 エイエスエム インターナショナル エヌ.ヴェー. 集積回路の製造方法
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US6599781B1 (en) * 2000-09-27 2003-07-29 Chou H. Li Solid state device
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6753249B1 (en) 2001-01-16 2004-06-22 Taiwan Semiconductor Manufacturing Company Multilayer interface in copper CMP for low K dielectric
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6875279B2 (en) 2001-11-16 2005-04-05 International Business Machines Corporation Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US7271458B2 (en) 2002-04-15 2007-09-18 The Board Of Trustees Of The Leland Stanford Junior University High-k dielectric for thermodynamically-stable substrate-type materials
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6933246B2 (en) 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
WO2004001857A1 (en) 2002-06-19 2003-12-31 Massachusetts Institute Of Technology Ge photodetectors
US20040071878A1 (en) 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6844710B2 (en) 2002-11-12 2005-01-18 O2Micro International Limited Controller for DC to DC converter
US6787453B2 (en) 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7238595B2 (en) 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
JP2006344613A (ja) 2003-06-24 2006-12-21 Shin-Etsu Engineering Co Ltd 基板貼り合わせ装置
WO2005017963A2 (en) 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
JP4235066B2 (ja) 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 薄膜形成方法
US7306956B2 (en) 2003-09-30 2007-12-11 Intel Corporation Variable temperature and dose atomic layer deposition
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7115304B2 (en) 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7704896B2 (en) 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
KR20070108918A (ko) 2005-02-22 2007-11-13 에이에스엠 아메리카, 인코포레이티드 원자층 증착을 위한 표면의 플라즈마 전처리

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101455263B1 (ko) * 2014-01-06 2014-10-31 연세대학교 산학협력단 기판의 산화물 제거 방법 및 이를 이용한 반도체 소자 제조 방법
US9780172B2 (en) 2014-01-06 2017-10-03 Industry-Academic Cooperation Foundation, Yonsei University Method of removing oxide from substrate and method of manufacturing semiconductor device using the same
KR20160119903A (ko) * 2015-04-06 2016-10-17 연세대학교 산학협력단 탄소 박막 소자 및 이의 제조 방법
US10309009B2 (en) 2015-04-06 2019-06-04 Industry-Academic Cooperation Foundation, Yonsei University Carbon thin-film device and method of manufacturing the same
KR20220024309A (ko) 2017-04-18 2022-03-03 주식회사 레이크머티리얼즈 반도체 공정용 절연막 상에 탄소 박막을 형성하는 방법

Also Published As

Publication number Publication date
WO2005017963A3 (en) 2005-11-10
US20050106893A1 (en) 2005-05-19
US7799680B2 (en) 2010-09-21
US20070111521A1 (en) 2007-05-17
US7202166B2 (en) 2007-04-10
EP1652226A2 (en) 2006-05-03
WO2005017963A2 (en) 2005-02-24
JP2007516599A (ja) 2007-06-21

Similar Documents

Publication Publication Date Title
KR20060054387A (ko) 증착 전 게르마늄 표면 처리 방법
JP4281082B2 (ja) 堆積前の表面調整方法
US6960537B2 (en) Incorporation of nitrogen into high k dielectric film
US6348420B1 (en) Situ dielectric stacks
US7026219B2 (en) Integration of high k gate dielectric
KR101193628B1 (ko) 저온 실리콘 화합물 증착
US7629267B2 (en) High stress nitride film and method for formation thereof
US20090111284A1 (en) Method for silicon based dielectric chemical vapor deposition
US20070077777A1 (en) Method of forming a silicon oxynitride film with tensile stress
US20060148269A1 (en) Semiconductor devices and methods for depositing a dielectric film

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid