JP2007516599A - ゲルマニウム上の堆積前の表面調製 - Google Patents

ゲルマニウム上の堆積前の表面調製 Download PDF

Info

Publication number
JP2007516599A
JP2007516599A JP2006522688A JP2006522688A JP2007516599A JP 2007516599 A JP2007516599 A JP 2007516599A JP 2006522688 A JP2006522688 A JP 2006522688A JP 2006522688 A JP2006522688 A JP 2006522688A JP 2007516599 A JP2007516599 A JP 2007516599A
Authority
JP
Japan
Prior art keywords
germanium
layer
oxygen
deposition
atomic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006522688A
Other languages
English (en)
Inventor
グレン ウィルク
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2007516599A publication Critical patent/JP2007516599A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

後の堆積、特に原子層堆積(ALD)によるゲート絶縁体堆積のための調製において、ゲルマニウム表面(200)を処理するための方法が提供される。堆積の前に、該ゲルマニウム表面(200)は、プラズマプロダクトを用いて反応されるか、又は気相反応物を用いて熱的に反応される。表面処理の例は、ALD反応物により容易の吸着する酸素ブリッジ、窒素ブリッジ、−OH、−NH、及び/又は−NH末端を残す。該表面処理は、該反応物の該ゲルマニウムバルクへの深い浸透を回避するが、核形成を改良する。

Description

発明の分野
本発明は、一般的に、半導体製造のための膜堆積前の表面調製、より詳細には、後の気相成長工程において、核形成を促進させるためのゲルマニウムの表面調製に関する。
発明の背景
集積回路の設計は、より速い回路動作(circuit operation)及びより低い電力消費の目的で、絶えず縮小化されている。回路設計における縮小された寸法は、一般的に、製造プロセシングにおける付随した変化を必要とする。
集積回路の基本的構成単位(building block)は、薄膜トランジスタ(TFT)である。当該技術分野において知られるように、トランジスタは典型的に、半導体層又は基板から薄いゲート誘電体材料によって隔離されるゲート電極を含む。プロセス制御が特に不可欠である1つの領域は、トランジスタゲート絶縁体の製造である。ますますより速く且つより効率的な回路の追求において、半導体設計は、製品世代毎に絶えず縮小化されている。より速い回路の動作の追及において、トランジスタの切り換え時間(switching time)が大きな役割を担う。切り換え時間もやはり、トランジスタのチャネルの長さを減少することによって、短縮され得る。トランジスタの性能における最大限の改良を実現するために、水平の寸法と共に縦の寸法が縮小されるべきである。このようにして、効果的なゲート絶縁体の厚み、ジャンクションの深さ等が、全て、将来世代の集積回路と共に減少する。
従来のゲート絶縁体は、質の高い二酸化珪素で形成され、典型的に、“ゲート酸化物”層として言及される。しかし、超薄ゲート酸化物(例えば、5nmよりも小さい)は、ピンホール、チャージトラッピング状態(trapping state)及びホットキャリア注入効果に対する感受性を含む、高欠陥密度(defect density)を示すことが見出された。このような高欠陥密度は、0.25μm未満のゲートスペーシング(即ちサブクオーターミクロンテクノロジー)を用いた回路設計にとって許容できない急速なデバイスの故障及びゲート絶縁体を通る漏洩電流へと導く。酸化物の完全性が、完全に保持されるとしても、量子力学的効果は、量子トンネリング効果に起因してゲート酸化物のスケーリングに、根本的な制限を設定する。
理論的に、より高い誘電率の材料をゲート絶縁体に組み込むことは、さらなるデバイスのスケーリングへの扉を開く。より高い誘電率に起因して、多くの材料が、より薄い二酸化珪素層と同様のキャパシタンスを示すことができ、より低い実効酸化膜厚(equivalent oxide thickness)(EOT)は、トンネル制御挙動(tunnel-limited behavior)を伴わないで、達成され得る。例えば、窒化珪素(Si)は、SiOよりも高い誘電率(“k値”)を有し、また、優れた拡散バリア特性を明示し、ホウ素の浸透に抵抗する。酸化アルミニウム(Al),酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、バリウムストロンチウムチタネート(BST)、ストロンチウムビスマスタンタレート(SBT)、酸化タンタル(Ta)等を含む、更により高い誘電率を有するよりエキゾチックな“高k”材料はまた、更なるデバイスのスケーリングを可能にするために調査されている。
トランジスタ設計におけるもう1つの前線の最近の開発は、トランジスタが形成される単結晶半導体材料(基板又はエピタキシャル層)における電気的キャリア移動度を上げることに集中している。キャリア移動度を上げる1つの方法は、緩和シリコンゲルマニウム上の歪シリコン等の歪層を生成することである。
純粋なゲルマニウムもまた、ゲルマニウムの結晶構造が、歪んでいてもいなくても、シリコンよりも大きなキャリア移動度を示す。近年まで、ゲルマニウムにおける関心は、ゲート絶縁体が堆積されなければならないような表面からコンシステンシーのある高い質の酸化物を成長させることができないことにより、部分的に、制限されてきた。シリコンから熱的又は化学的に成長した酸化物は、最も高い質及び最もコンシステンシーのある厚みを示すため、二酸化珪素ゲート誘電体への選好は、最近まで、半導体材料の選択としてのゲルマニウムよりもシリコンを優先することを決定していた。
成長した酸化物よりもむしろ堆積した高k誘電体への増大する選好は、現在、トランジスタの為の半導体のベース層としてのゲルマニウムに対する最も顕著な不都合な点を取り除く(obviate)可能性を有する。高k誘電体によって可能にされる縮小された寸法を合わせたゲルマニウムにおける高いキャリア移動度の可能性は、将来の集積回路設計のために非常に魅力的である。
これらの材料についての顕著な利点にも関わらず、ゲルマニウム及び高k材料の両方は、それら自体の集積を困難にする。従って、これらの新規な材料を製造工程フロー中に組み込むための生産価値のある方法が利用可能となるまでに、多大な進歩が必要とされる。
発明の要旨
誘電体の厚みにおける均一性は、デバイスのコンシステンシーのために特に重要である。二酸化珪素が、均一な厚みでシリコンから化学的又は熱的に容易に成長され得る一方で、堆積技術は、このような均一性を産出しない傾向がある。
極めて薄い層の信頼でき、殆ど完全にコンフォーマルな堆積の1つの方法は、原子層堆積(ALD)である。この循環的堆積技術は、自己制御表面反応の利点を有し、厚みの均一性は、均一な基板の温度にも(動力学的な体制におけるCVDと対照的に)均一な反応物の供給にも依存しない(マス輸送体制におけるPVD及びCVDと対照的に)。
これらの利点にも関わらず、本発明者は、ALDによって与えられる均一性についての制限を認めた。特に、ALDは、堆積が開始する反応物及び表面に依存して、核形成効果を受ける傾向がある。高kゲート絶縁体を想定した厚みの範囲において、コンシステンシーの無い核形成に起因した厚みの非均一性は、デバイスの性能に決定的な影響を及ぼし得る。更に、本発明者は、ゲルマニウム表面は、典型的なALD反応の乏しい核形成に特に受けることを認識した。
従って、ゲルマニウム表面上に層を堆積することの速度、効率、質、及び均一性を改良することについての必要性が存在する。この必要性を満たすために、後の堆積のための調製においてゲルマニウム表面を処理するための方法がここに提供される。
本発明の1側面に従って、ゲルマニウム表面は、その上の堆積の前にプラズマプロダクトを用いて処理される。本発明のもう1つの側面に従って、ゲルマニウム表面は、その上の堆積の前に、気相反応物を用いて熱的に反応される。例証的な表面処理は、堆積前のin situ表面処理のための、酸素及び/又は窒素含有気相反応物(熱的に又は、堆積チャンバに付属される遠隔プラズマモジュールを介して活性化される)の供給を含む。
好ましい実施形態は、高k誘電体の原子層堆積の前に、ゲルマニウム表面において、酸素ブリッジ、窒素ブリッジ、−OH、−NH及び−NH表面基の1つ又は、混合物を残す。有利なことに、ALD反応物は、処理された表面上により容易に吸着する。低温表面処理を用いて基板の表面末端を変化させることによって、後の堆積は、有利なことに、下層の材料のバルク特性に顕著に影響することなく促進される。
本発明のこれら及び他の側面は、以下の説明及び添付の図面(例証を意図し、本発明を制限するのではない)から直ちに明白である。
好ましい実施形態の詳細な説明
処理は、後の堆積のためにゲルマニウム表面を調製するためにここに説明される。熱反応及びプラズマプロダクトは、好ましくは、ゲルマニウム表面の末端を、それがより均一に後の堆積に感受性を有するように、改質する。この処理は、好ましくは、表面に亘る核形成サイトの一貫した密度を提供する。この処理工程は、処理されたゲルマニウム表面上での原子層堆積の吸着駆動型(adsorption driven)反応と併せてここで説明されるが、当業者は、ここで教示される方法は、ゲルマニウム表面上の種々の材料のための他の堆積プロセスの核形成にも応用可能であることも容易に理解する。
原子層堆積(ALD)は、自己制御プロセスであり、それによって、反応前駆体の代替パルス(alternated pulse)は、基板を飽和し、そしてパルス毎に材料の1以下の単層を残す。この前駆体は、自己飽和反応を確実にするために選択され、なぜなら、1つのパルスにおいて吸着した層は、同一のパルスの気相の反応物と反応性のない表面末端を残すからである。異なる反応物の後のパルスは、継続した堆積を可能にするために、以前の末端と反応する。従って、サイクル毎の代替パルスは、所望される材料の約1以下の単層を残す。ALDタイプのプロセスの原理は、T.Suntola(例えば、Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601−663、Elsevier Science B.V. 1994)によって示され、その開示はここにおいて参考として援用される。
残念ながら、使用される化学物質に依存して、ALDは、異なる出発基板上に十分均等に堆積しない。例えば、幾つかのALDプロセスの方法は、シリコン、そして特にエッチング加工された又は清浄された(cleaned)シリコン表面(典型的に、水素終結される)の上の堆積において遅い又は非作動性(non-operative)とさえ見出された。例えば、(CHAl等のアルミニウムアルキルが、水素終結されたシリコン表面上に、Alを堆積するためのALD方法において付着し得ることはありそうにない。
ゲルマニウムは、同様にALD反応に対してインホスピタブル(inhospitable)であると見出された。清浄されたゲルマニウム表面は、典型的なALD条件(これは反応物の熱分解を回避するために十分に低く、且つ凝縮を回避するために十分高い温度を含む)下で典型的なALD反応物のための乏しい吸着サイトを提供する。更に、ゲルマニウムは、容易に酸化せず、その天然の酸化物(native oxide)は、特に乏しい質及びコンシステンシーである。その一様でなく(spotty)且つ不安定な酸化物は、多くのCVD及ぶALDプロセスの方法によって、非常にスロー且つ粗い堆積を結果として生じる。
中間層は、多くの場合、種々の修正的目的(そうでなければ乏しい接着、核形成、電気的界面特性、拡散等を含む)のために、所望される機能層の堆積の前に堆積される。このような中間層は、製造の複雑性及びコストを加算し、そしてまた、ゲルマニウム基板におけるトレンチ等の高いアスペクト比特徴の貴重なスペースを占有し得る。ゲート絶縁体にとって、更なる層は、全体の誘電体の厚みを増し、且つ層の有効性を低下し、集積回路の縮小についての動向に反する。
表面の調製は、ウェットベンチ処理によって実施され得る。例えば、VLSI Tech. Digest of Tech. Papers (2003年6月)のBai et al., “Ge MOS Characteristics with CVD HfO2 Gate Dielectrics and TaN Gate Electrode,” 2003 Symp.を参照。しかし、このようなウェット化学処理は、処理浴及びこのような浴から堆積ツールへの移動の両方において、不純物の問題を受けやすい。従って、ここに記載される好ましい方法は、気相プロセス、特に、ゲルマニウム表面上の堆積が実施されるツール中のin situのプロセシングを使用する。
好ましいリアクタ
より詳細について当該プロセスを説明する前に、表面処理及び気相堆積法のための例示的なリアクタが、まず以下に記載される。別個に図示されないが、以下に記載される表面処理及びALD法は、アリゾナ州、フェニックスのASM Ameria Inc.から商業的に入手可能であるPulsarTM3000リアクタにおいて実施され得、特定の実施形態のために、遠隔プラズマプロセシングユニットが、それに接続される。
好ましい実施形態は、単一基板、水平フローコールドウォールリアクタとの関連で示される。図示される、単一パス水平フロー設計は、低滞留時間での反応物ガスの層流を可能にし、これは順に、連続的なプロセシングを促進し、一方で反応物の互いの及びチャンバ表面との相互作用を最小限に抑える。従って、他の利点中で、このような層流は、互いに反応し得る反応物を連続的に流動することを可能にする。回避される反応は、例えば、酸素及び水素保有の反応物によって作られるような高い発熱性の又は爆発性の反応、並びにチャンバの微粒子汚染を生じる反応が含まれる。
しかし、当業者は、これらの目的を達成するために他のリアクタデザインも提供され得ることを認識する。例えば、ここにおいて図示されるプロセスは、単一チャンバ中でin situで実施される必要はない。表面処理が、堆積から異なるチャンバにおいて実施される場合、そのチャンバは、好ましくは、高純度移送チャンバ(high purity transfer chamber)の周りに共に集まっている。更に、ここで説明されるプロセスは、バッチプロセシングツール、シャワーヘッドアレンジメント等を使用する単一ウエハツール等において容易に実施され得る。
図1は、好ましい実施形態に従って構築されるクオーツプロセス即ち反応チャンバ12を含み、そしてそれについてここに記載される方法が特別な有用性を有する、例証的な気相成長リアクタ10を示す。一度に単一の基板上にシリコンのエピタキシャル堆積を最適化するために当初設計されたが、本発明者は、多くの異なるプロセスにおいて有用性を有するための優れたプロセシング制御を見出した。更に、図示されるリアクタ10は、同一のチャンバ12における連続的な複数の処理ステップを安全且つクリーンに成し遂げ得る。リアクタ10の基本構造は、アリゾナ州、フェニックスのASM America Inc.から商品名Epsilon(登録商標)として商業的に入手可能である。
複数の放射熱の供給源は、クオーツチャンバ12の壁による明らかな吸収なくチャンバ12中に熱エネルギーを供給するためにチャンバ12の外側に支持される。好ましい実施形態は、半導体ウエハのプロセシングのための“コールドウォール”CVDリアクタとの関連で説明されるが、ここで説明されるプロセシング方法は、誘導性又は抵抗性の加熱を使用するものなどの他の加熱/冷却システムとの併用で、有用性を有することが理解される。
図示される放射熱供給源は、細長いチューブタイプの放射加熱エレメント13の上部加熱アセンブリを含む。上部加熱エレメント13は、好ましくは、空間をあけて平行関係で配置され、下に位置する反応チャンバ12を通る反応物ガスフロー経路と実質的に平行でもある。下部加熱アセンブリは、反応チャンバ12の下に同様に細長いチューブタイプの放射加熱エレメント14を備え、好ましくは上部加熱エレメント13に対して横向きに方向付けられている。望ましくは、放射熱の一部は、上部および下部のランプ13,14各々の上下の粗い鏡面反射プレート(示されていない)によって、チャンバ12中に広く反射される。さらに、複数のスポットランプ15は、反応チャンバ12の底を通って延びるコールドサポート(cold support)構造によって作られる熱シンク効果(heat sink effect)を打ち消すために、基板支持構造(以下に説明される)の下側に集中した熱を供給する。
細長いチューブタイプの加熱エレメント13,14各々は、好ましくは、ヨウ素などのハロゲンガスを含む透明のクォーツエンベロープ(quartz envelope)を有する高強度タングステンフィラメントランプである。このようなランプは、明らかな吸収無く、反応チャンバ12の壁を透過した全スペクトルの放射熱エネルギーを作り出す。半導体プロセシング装置の技術分野において知られるように、種々のランプ13,14,15のパワーは独立的に若しくは温度センサーに対応してグループ化されたゾーンで調節され得る。
基板(好ましくはシリコンウエハ16を含む)は、反応チャンバ12中の基板サポート構造18上に支持されることが示される。図示された実施形態の基板は単結晶シリコンウエハであるが、“基板”という用語はその上に層が堆積される如何なる表面をも広く示すことが理解される。さらに、ここで説明される原理及び利点は、多くの他のタイプの基板(フラットパネルディスプレイにおいて使用されるもの等のガラス基板をこれに限定することなく含む)上に層を堆積するための十分同等に適用される。
図示された支持構造18は基板ホルダ20(この上にウエハ16が静止する)及びサポートスパイダー22を含む。スパイダー22はシャフト24に取付けられ、チャンバの下壁からぶら下がっているチューブ26を通って下方に延びる。好ましくは、チューブ26はプロセシング時に流動し得るパージ又はスイープ(sweep)ガスの供給源と連通しており、プロセスガスがチャンバ12の下部セクションへ漏れるのを抑制する。
複数の温度センサーはウエハ16の近傍に位置する。温度センサーは、例えば光学高温計または熱電対など、種々の形態のいずれもとり得る。温度センサーの数および位置は、下記の好ましい温度制御装置の説明を考慮すると理解されるであろう温度の均一性を促進するために選択される。しかし、好ましくは、温度センサーは直接的又は間接的にウエハ近傍に位置する温度を感知する。
例証された実施形態において、温度センサーは、第一の即ち中央熱電対28を含む熱電対を備え、ウエハホルダ20下に任意の適切な方法でぶら下がっている。図示された中央熱電対28はウエハホルダ20近傍のスパイダー22を貫通する。リアクタ10は更に複数の第二の即ち周囲の熱電対を備え、これはまたウエハ16の近傍であり、前縁又は前方の熱電対29、後縁又は後方の熱電対30および側方熱電対(示されていない)を備える。周囲の熱電対の各々は、基板ホルダ20及びウエハ16を囲むスリップリング32中に収容される。中央及び周囲の熱電対の各々は、PID温度コントローラーに接続され、これは熱電対の示度に反応して種々の加熱エレメント13、14、15のパワーを設定する。
周囲の熱電対の収容に加えて、スリップリング32は、ウエハエッジにおけるより大きな熱の損失または吸収への傾向(このようなエッジ付近の部分において体積に対する表面積のより大きな割合によって起こることが知られている現象)を相殺するように、高熱プロセシング時に放射熱を吸収または放出する。エッジの損失を最小限に抑えることによって、スリップリング32はウエハ16にわたって不均一な放射温度の危険性を軽減することができる。スリップリング32は任意の適切な手段によって吊り下げられ得る。例えば、図示されたスリップリング32は、フロントチャンバデバイダ(chamber divider)36及びリアチャンバデバイダ38からぶら下がるエルボー34上に静止する。デバイダ36、38は、望ましくはクォーツで形成される。配置によっては、リアデバイダ38は削除され得る。
図示された反応チャンバ12は、反応物およびキャリアガスの注入のためのインレットポート40を含み、ウエハ16はそこを通しても受容され得る。アウトレットポート42は、チャンバ12の反対側にあり、インレット40およびアウトレット42の間に位置するウエハサポート構造18を伴う。
インレットコンポーネント50は、反応チャンバ12に取付けられ、インレットポート40を囲むように適応され、水平に細長いスロット52を含み、そこを通ってウエハ16が挿入され得る。一般的に、垂直のインレット54は遠隔の供給源からガスを受け取り(これは図2との関連でより十分に説明される)、このようなガスとスロット52およびインレットポート40を連通する。インレット54は、Hawkinsらに発行された米国特許第5221556号中に記載されている、または2000年7月25日に発行された米国特許第6093252号中の図21−26に関して説明されているようにガス注入器を含み得、これらの開示は参照によって援用される。このような注入器は単一ウエハリアクタのためのガスフローの均一性を最大限にするように設計される。
アウトレットコンポーネント56は、排気口58がアウトレットポート42と一直線に並び、排気導管(exhaust conduits)59に導くように、同様にプロセスチャンバ12に取付ける。導管59は次に、チャンバ12を通ってプロセスガスを吸引するための適切なバキューム手段(示されていない)と連通し得る。好適な実施形態において、プロセスガスは反応チャンバ12および下流のスクラバー(示されていない)を通って吸引される。ポンプまたはファンが、好ましくは、チャンバ12を通ってのプロセスガスの吸引を補助するため、および低圧加工のためにチャンバを排気するために含まれる。
好ましいリアクタ10はまた励起種の供給源60を含み、好ましくはチャンバ10の上流に位置する。図示された実施形態の励起種の供給源60は、ガスライン62に沿ったマグネトロンパワージェネレータおよびアプリケータを含む遠隔プラズマジェネレータを備える。代表的な遠隔プラズマジェネレータは、ドイツ、ミュンヘンのRapid Reactive Radicals Technology (R3T)GmbHから商品名TRW−850として商業的に入手可能である。図示された実施形態において、マグネトロンからのマイクロ波エネルギーは、ガスライン62に沿ったアプリケータ中を流れるガスに連結される。前駆体ガスの供給源63は、励起種ジェネレータ60への導入のために、ガスライン62に連結される。キャリアガスの供給源64もまたガスライン62に連結される。更なる反応物のために1つ以上の更なるブランチライン65もまた備えられ得る。当該技術分野において知られるように、ガス供給源63、64は、反応物種の形態及び揮発性に依存して、ガスタンク、バブラー等を備え得る。各々のガスラインは、励起種ジェネレータ60およびそこから反応チャンバ12へ導入されるキャリアおよび反応物種の相対量の選択を可能にするために、示されるように個別のマスフロー制御装置(MFC)およびバルブが備え付けられ得る。
他のアレンジメントにおいて、励起種は、プロセスチャンバ中で生成され得ることが理解される。例えば、インサイチュプラズマは、当該技術分野で知られるように、プロセスチャンバ中で空間をあけた電極(spaced electrodes)に無線周波数(RF)パワーを適用することによって生成され得る。例証的なin situプラズマCVDリアクタは、例えば、日本、東京のASM Japan K.K.から、商品名EagleTM10又はEagleTM12として入手可能である。更に、インサイチュ又は遠隔プラズマ生成のために、エネルギーは、誘導、容量性(capacitively)等を含む多くの手段によってガス供給源に連結され得る。しかし、好ましくは、遠隔プラズマ供給源が、ここで説明されるプロセスのために使用され、最小限のバルク効果での表面修飾のためのより多大な制御を可能にする。
ウエハは、好ましくは、周囲環境から隔離されたハンドリングチャンバ(示されていなし)からピックアップデバイスでスロット52を通して入れられる。ハンドリングチャンバおよびプロセシングチャンバ12は、好ましくは、米国特許第4828224号に開示されるタイプのゲートバルブ(示されていない)(その開示は参考により援用される)によって隔てられる。
200mmウエハを加工するために設計された単一ウエハプロセスチャンバ12の全収容量は、例えば、好ましくは約30リットルより少なく、より好ましくは約20リットルより少なく、最も好ましくは約10リットルよりも少ない。図示されたチャンバ12は収容量約7.5リットルを有する。しかしながら、図示されたチャンバ12はデバイダ32、38、ウエハホルダ20、リング32およびチューブ26から流れるパージガスによって分割されるので、プロセスガスフローの有効容量は全容量の約半分(図示された実施形態においては約3.77リットル)である。勿論、単一ウエハプロセスチャンバ12の容量は、チャンバ12が設計され収容しようとするウエハのサイズに依存し得ることが理解される。例えば、図示されたタイプであるが300mmのウエハ用の単一ウエハプロセシングチャンバ12は、好ましくは約100リットルよりも少ない収容量を有し、より好ましくは約60リットルより少なく、最も好ましくは約30リットルより少ない。1つの300mmウエハ用プロセシングチャンバは、全容量約24リットルを有し、有効プロセシングガス収容量約11.83リットルを有する。
図2は、好適な実施形態に従ったガスラインの概略図を示す。リアクタ10は、酸素及び/又は窒素の熱反応物(thermal reactant)供給源70を備える。この熱反応物供給源70は、多数の公知の酸素及び/又は窒素含有化学物質、特に、O、O、HO、H、NO、NO、NO、N、N/H、HCOOH、HClO、COこれらの混合物等の揮発性の化学物質の何れをも含み得る。純粋な反応物フローが、使用され得るが、好ましくは、反応物は、N又は希ガスなどの不活性キャリアガスフロー中に導入される。代替的に又は追加として、酸素含有及び/又は窒素ガス供給源63は、表面処理のために励起種を供給するために遠隔プラズマジェネレータ60に接続され得る。
図2においてまた示されるように、リアクタ10は更に水素ガス(H)の供給源72を含む。当該技術分野において知られるように、水素は有用なキャリアガスおよびパージガスである。なぜなら、その低沸点に起因した非常に高い純度での供給が可能であり、シリコン堆積との適合性があるためである。Hはまた、層形成の前に、自然酸化膜を昇華させるために、高温の水素ベーク中で使用され得る。Hはまた、自然酸化膜クリーニング又は他の目的のために、Hラジカルを生成するために励起種ジェネレーター60中を流動し得る。
好ましいリアクタ10は、窒素ガス(N)の供給源73も含む。当該技術分野において公知のように、Nは、しばしば、半導体製造においてキャリア又はパージガスとしてHの代わりに使用される。窒素ガスは、比較的不活性且つ多くの集積材料及びプロセスフローと適合性がある。他の可能なキャリアガスには、ヘリウム(He)又はアルゴン(Ar)等の希ガスが含まれる。
液体反応物供給源74も示される。バブラーは、Ta(OCなどの液体の有機金属前駆体を保持し得、一方で、ガスラインは、H、N,Ne、He又はArを液体の金属供給源を通ってバブルし、有機金属前駆体を反応チャンバ12へガス形態で、輸送するために働く。
半導体堆積(例えば、Si,Ge,SiGe)が、同一のチャンバにおいても実施される場合、液体供給源74は、例えば、液体のジクロロシラン(DCS)、トリクロロシラン(TCS)、トリシラン、又は他の高次数シランをバブラー中に含み得る。このような場合において、又は図示されるシラン供給源86若しくはトリシラン供給源等の気体の半導体供給源が使用される場合、リアクタ10は、ドーパント供給源(例えば、図示されるホスフィン76、アルシン78およびジボラン80の供給源)およびリアクタ壁をクリーニングするためのエッチング剤並びに他の内在的コンポーネント(例えば、励起種ジェネレータ60に供給するためのプラズマ供給源ガス63として供給されるNF/Cl又はHCl供給源82)といった他の供給源ガスも含み得る。ゲルマニウム含有材料(例えば、ゲルマニウムベース層又はSiGe層)の堆積のために、ゲルマニウムの供給源84(例えば、ゲルマン又は示されるようにGeH)も供給され得る。
ガス供給源各々は、付随の安全装置およびコントロールバルブ並びにガスパネルにおいて統合されたマスフロー制御装置(“MFCs”)を伴うガスラインによってインレット54(図1)に接続され得る。プロセスガスは、中央制御装置にプログラムされた指令に従って、インレット54(図1)に連通され注入器を通ってプロセスチャンバ12中に分配される。プロセスチャンバ12を通過した後、未反応のプロセスガスおよび気体の反応副産物は大気への排気前に環境に悪影響な煙霧を凝縮させるためにスクラバー88へ排気される。
上述のように、従来型のガス供給源及び液体バブラーに加えて、好ましいリアクタ10は、遠隔にまたは反応チャンバ12の上流に位置する励起種供給源60を含む。図示された供給源60はマイクロ波エネルギーをアプリケータ内を流れるガスに連結する。ここでガスは反応物供給源63からの反応物前駆体を含む。以下に記載されるプロセスのために、プラズマ供給源ガス63は、酸素の供給源及び/又は窒素の供給源を含む。好ましいプロセスのための他の有用なプラズマ供給源ガスは、N及びプラズマ支援キャリアガスとしての希ガスを含む。プラズマはアプリケータ内で点火され、励起種はチャンバ12へ向かって運ばれる。好ましくは、供給源60によって生成した励起種のうち過度に反応性のあるイオン種は、実質的にチャンバ12中に入る以前に再結合する。他方、例えば、N又はO等のラジカルは、チャンバ12に入るまで存在し、適切に反応する。以下の説明される一般的プロセスの検討から明らかとなるように、遠隔的なプラズマによって生成される励起種は、高質層及びおそらくより大きなウエハスループットを促進する。
プロセスフロー
図3は、本発明に従った一般的プロセスの順序を示し、ゲルマニウム表面上のトランジスタゲートスタックの形成に関して図示される。初めに、ゲルマニウム表面が供給される100。ゲルマニウム表面は、他のものの中でも、エピタキシャルゲルマニウム層(高ゲルマニウム[Ge]合金(例えば、20原子%よりも多い[Ge]を有するSiGe、特に40原子%よりも高く有する合金)又はモノリシックなゲルマニウムウエハの上面を含み得る。ここに記載される実施形態は、実質的に純粋な(好ましくは、90%よりも大きい、より好ましくは、95%よりも大きい純度)ゲルマニウム表面のために特に有用である。
前処理工程110の前に、ゲルマニウム層は、必要に応じて、汚染物質及び自然発生の又は自然酸化膜を除去するためにまず洗浄される。従来的に、ゲート酸化物の成長前のウエハの洗浄は、ウエハのプロセスチャンバへのローディングの前にex situで実施される。例えば、ウエハは、SC1/HFウエットエッチ浴(wet etch bath)において、洗浄され得る。代替的に、統合されたHF及び酢酸気相クリーンは、クラスターツール内の近傍のモジュールにおいて実施され得、移送時間及び再汚染又は再酸化のための機会を低減する。幾つかの用途において、SC1ステップによって残されるクリーニング酸化物は、除去されず、代わりに初期の酸化物層として使用される。他の可能性においては、水素ベークステップは、自然酸化膜を昇華するために堆積チャンバ内で実施され得る。少量のHCl気相が水素ベーク時の金属汚染物質等のクリーニングを促進するために、このステップに添加され得る。更に別のアレンジメントにおいて、プラズマプロダクトは、水素ガスのためのHラジカルを置換することなどによって、in situクリーニングを促進又は実施し得る。しかし、ゲルマニウムの低融点に起因して、クリーニングが使用される場合、好ましくは、次いでex situウエット洗浄が使用される。
ゲルマニウム表面を支持するウエハ又は他の基板がプロセスチャンバ中にロードされる。クリーニングの後でさえ、ゲルマニウム表面は、乏しい質及び非均一な自然酸化膜を含む、不均一且つ所望されない表面特性を含む傾向がある。このような表面は、図示されるZrO又はAlのALD堆積などの後のALDプロセスを、それらの核形成においてコンシステンシーがないようにし得、また構造中への不純物の組込みを生じ得る。GeO及びGeOは、ゲルマニウム表面上で非常に不安定である。後の堆積(例えば、ALD反応物の吸着)のコンシステンシーのある核形成を促進する1つの方法は、薄い界面層を堆積することである。しかし、不利には、このようは層は、基板上に形成される誘電体の全体の厚みを増加し、また有効な誘電率を低下する傾向がある。
従って、好ましい実施形態は、ゲルマニウム表面の表面処理110を使用し、好ましくは、ゲート絶縁体の後の堆積120と同一のチャンバ中で実施される。該処理は、後の堆積を促進するために基板の表面末端を改質する。有利なことに、処理110は、反応物及び条件が、表面の結合(例えば、Ge−Ge結合)を切断し、新しいものを形成するために十分なエネルギーを与え、一方で温度が、基板のエッチング又は活性種の充填材料への顕著な拡散を防ぐために十分低く維持されるように調整される。更に、認識可能な堆積は、起こらない。好ましくは、単層以下の末端テール又はブリッジが表面処理110によって残される。以下に検討されるように、表面の末端を越えた堆積は起こらないが、基板の頂部の数層の幾つかの変換(例えば、酸化、窒化)は、有利であり得る。
一般に、ゲルマニウム表面処理は、表面下のバルクゲルマニウムに最小限の影響を有する。好ましくは、該処理は、約700℃よりも低い、より好ましくは、約650℃よりも低くにおいて起こる。回避されるべきバルク効果は、例えば酸素及び窒素等の反応物のバルクへの深い拡散を含む。特に、GeO及びGeOのバルク中の形成は回避されるべきである。二酸化珪素と異なり、これらの化合物は非常に不安定であり、実際、GeOは、水溶性である。バルクにおける窒素形成は、それ程問題ではない。それにもかかわらず、酸化及び窒化は、もともとのゲルマニウム表面の下約15Åよりも小さく浸透するべきである(より好ましくは、約10Å、最も好ましくは2〜5Å)。バルク拡散を制限することは、Geの電気的活性層に対する有害な影響を回避し、且つ誘電率の増加又は実効酸化膜厚(EOT)の増厚を抑制する。従って、プロセスパラメーターは、酸素及び/又は窒素の基板の頂部の数層中の組込みを越えた、酸素及び/又は窒素のバルクへの顕著な拡散を回避するように設定される。好ましくは、バルクゲルマニウム(例えば、30Å以上の深さにおける)は、約1%原子濃度よりも低い窒素及び約1%原子濃度よりも低い酸素を含む。もともとのゲルマニウム表面から10Å以上の深さにおいて、酸素濃度及び窒素濃度の各々は、好ましくは、約10原子%よりも低い。好ましくは、処理110は、酸素ブリッジ、窒素ブリッジ、−NH表面基、−NH表面基、−OH表面基、又は上記の混合の表面末端を残す。
一実施形態に従って、該処理はプラズマ処理を含む。好ましくは、プラズマは、高いエネルギーのイオンが、ゲルマニウム表面を衝撃及び損傷しないように、遠隔的に生成される。反応物には、遠隔的に生成され、そして従って中性の励起種生成、特にN及びOのために最適化される酸素及び/又は窒素励起種が含まれる。窒素及び酸素励起種のための例証的な供給源は、NH、O、O、HO、H/N、H、H/N、NO、NO、N、炭素含有反応物(CO等)及び有機化合物(酢酸等)を含むが、これらに限定されるのではない。上記化合物の混合物は、特に、酸素及び水素を有する上記化合物の任意の混合物も考えられる。窒素、アルゴン、ヘリウム、ネオン、クリプトン、又は他の不活性ガスもグロー放電の形成の促進及びキャリアガスとして働くために流動し得るが、好ましくは、遠隔プラズマユニットの動作を維持するために、反応チャンバの圧力を約10Torrよりも低く維持するように、総流速及び分圧はアレンジされる。好ましくは、プロセスパラメーターは、顕著なバルクの変化なく表面結合を切断するために十分であるように調整される。後の堆積120がALDによってin situで実施される図示される実施形態において、温度は、好ましくは室温〜700℃の範囲であり、より好ましくは、200℃〜500℃である。与えられた温度に関して、圧力、遠隔プラズマパワー、反応時間及び反応条件が、所望される表面のコンディショニングを達成するために調節される。
好ましくは、条件は、上記の拡散の選好が維持され、一方で、酸素ブリッジ、窒素ブリッジ、−NH表面基、−NH表面基、−OH表面基、又はこれらの混合物を残すためのゲルマニウム表面の完全な反応を同時に確実にするように、アレンジされる。従って、基板は、室温〜700℃のどこかで維持され得る。好ましくは、基板の温度は、拡散による危険性を最小限に抑えるために、約500℃より低く、より好ましくは、300℃よりも低く維持される。遠隔プラズマジェネレータのための例証的なパワーレベルは、500W〜2kWの範囲である。
他の実施形態において、ゲルマニウム表面処理110は、バルクへの最小限の酸化又は窒化を伴う、酸素ブリッジ、窒素ブリッジ、−NH表面基、−NH表面基、−OH表面基、又はこれらの混合といった同一の目的を達成するために、熱処理を含む。従って、遠隔プラズマ反応のための上記に示す同一リストの反応物は、所望の表面末端を形成するために十分なエネルギーが供給される限り、熱反応のために使用され得る。更に、温度は、バルクへの拡散を回避するために、好ましくは、約500℃より低く、より好ましくは、約300℃よりも低く維持されるが、反応物の反応性に依存して、熱反応は室温〜700℃で起こり得る。
ゲルマニウム表面処理110は、最も好ましくは、後の誘電体堆積120と同一のチャンバにおいてin situで実施される。この場合、基板温度は、好ましくは、同一のチャンバ内の後の堆積120のために望ましいものと調和する様に設定される。該プロセスが、互いに約50℃以内、より好ましくは、互いに約25℃以内で実施され、そして最も好ましくは、温度のランピングがステップ間で必要ないように、目標又は設定される温度が同一である場合、表面処理110及び堆積120は、本記載の意図する範囲で、等温と考えられる。
記載されるように、該プロセスは、堆積層を結果として生じない。プロセスパラメーターは、好ましくは、基板の頂部の数層におけるGe−Ge結合をGe−O結合、Ge−N結合、−OH表面基及び/又は−NH表面基で置き換えるように選択され、特に、基板表面の約15Å未満を酸化ゲルマニウム、窒化ゲルマニウム又はゲルマニウムオキシナイトライドに変換する。該表面処理は、より好ましくは、平均で約10Å未満、最も好ましくは、約2Å〜5Åを形成する。
ゲルマニウム表面処理110に続いて、ゲート絶縁体が処理された表面上に堆積される120。堆積120は、励起種のフローも含み得る;しかし、このような場合、先のゲルマニウム表面処理110からのラジカルの供給が、典型的に、堆積120において使用される供給と異なる。更に、好ましいALDプロセスのために、反応チャンバは、各反応物パルスの前に、如何なる反応物も空にされるべきである(例えば、パージされる)。従って、ゲルマニウム表面処理110の間の安定なガス又は励起種の流動は、好ましくは、堆積120の前に停止される。
好ましい実施形態に従って、堆積120は、ALDタイプの堆積を含み、ここにおいて、代替パルス(alternated pulse)は、表面を飽和し、各サイクルは、約1層以下の誘電材料を残す。以下の実施例において、アルミニウム供給源ガス又は、ジルコニウム供給源ガスは、酸化アルミニウム(AL)及び酸化ジルコニウム(ZrO)を形成するために、酸素供給源ガスと交互される。当業者は、酸化ハフニウム(HfO)、酸化タンタル(Ta)、バリウムストロンチウムチタネート(BST)、又はストロンチウムビスマスタンタレート(strontiumu bismuth tantalite)(SBT)等の他の高k材料を形成するために、同様の処方が使用され得ることを理解する。
ALD堆積の第1パルスは、有利に、ゲルマニウム表面処理110によって残される末端と反応する。代替的に、更なる表面処理が、堆積の前に提供され得る。例えば、水処理は、後のALDプロセスと容易に反応するヒドロキシル末端化された表面を残すために、ゲルマニウム表面処理110後の表面とより容易に反応し得る。
一実施形態において、ゲルマニウム表面処理110の前又は後に、ゲルマニウム表面を支持する基板が、ALDプロセスのために設計されるPulsarTM3000リアクタ(アリゾナ州、フェニックスのASM America Inc.から商業的に入手可能である)の反応スペース中に載せられる。該反応スペースは、機械的バキュームポンプを用いて真空に排気される。排気後、反応スペースの圧力は、99.9999%の純度を有する不活性ガス(例えば、ヘリウム、アルゴン、又は窒素ガス)を流動することで、約5〜10mbar(絶対)に調節される。次いで、反応スペースは、300℃で安定化される。(CHAl及びHOの気相パルス(外部の供給源から気化された)を交互することが、反応スペース中に導入され、基板表面と接触される。化学パルスの供給源は、窒素又は他の不活性ガスを流動しながら、互いから分離される。
各々のパルシングサイクルは、以下の四つの基本ステップからなる:
・(CHAlパルス
・(N)パージ
・HOパルス
・Nパージ
例証的な酸化アルミニウム堆積サイクルは、表Iに要約される。
Figure 2007516599
サイクルの数は、層の厚みを決定する。(CHAlおよびHOからのAlの成長速度は、典型的に、300℃において0.1nm/サイクル近く又は1Åサイクル、或いは、約3〜4サイクル/単層(Alは、約3Åのバルク格子パラメーターを有する)。各TMAパルスによって残されるメチル末端は、パルス毎に完全な単層未満が形成するように、存在する化学吸着サイトの数を減少する。パルシングサイクルは、所望される層厚を生成するために十分な回数繰返される。酸化アルミニウムは、ゲート絶縁体として、又は他の誘電体層を形成する前の薄層として使用され得る。
他のアレンジメントにおいて、ZrOは、ALDタイプのプロセスによって堆積される。ZrCl気相は、反応チャンバに導入され、1.5秒間ウエハ表面に曝される。
これは、パルスAとして言及される。反応チャンバは、過剰なZrCl及び反応チャンバから副産物を除去するために、窒素ガスを用いて3秒間パージされた。これは、パージAとして言及される。次いで、水蒸気相が反応チャンバに導入され、3.0秒間ウエハ表面に曝された。これは、パルスBとして言及される。残留したHO及び反応副産物は、4.0秒間反応チャンバをパージすることによって除去される。これは、パージBとして言及される。反応段階の各々の間、反応物は、与えられた他のパラメーターが表面を飽和するために十分な量において供給される。
この例証的な高k堆積サイクルは、表IIに要約される。
Figure 2007516599
パルスA、パージA,パルスB,パージBからなる表IIのサイクルは、51回反復された。平均堆積速度は、ZrOの厚みが約30Åであるように、300℃において約0.59Å/サイクルである。
より一般的に、ALDプロセス時の温度は、好ましくは、層に組み込まれる塩素の許容されるレベルによって、約200℃〜500℃に間である。より高い温度において、塩素含有量は、下がる。多すぎる塩素は、チャージトラッピング(charge trapping)への導き得る。300℃において、塩素含有量は、約0.5%に測定された。アモルファスのZrO層について、温度は、より好ましくは、この範囲の低端、約200℃〜250℃、最も好ましくは、約225℃である。結晶フィルムについて、温度は、より好ましくは、この範囲の高端、約250℃〜500℃、最も好ましくは300℃である。しかし、当業者が理解するように、アモルファス及び結晶組成の混合物は、結果としてこれら2つのレジーム(regime)の境界となる。図示されたプロセスは、主として結晶のZrO膜を生成する。
この場合、金属相において形成される金属単層は、塩素を用いて自己終結され、これは、好ましい条件下で過剰のZrClと容易に反応しない。しかし、好ましい酸素供給源ガスは、以前に吸着した塩化ジルコニウム錯体に供給によって制限されるリガンド交換反応における酸素フェーズ時に、塩素末端化された表面と反応し、またはその上に吸着する。更に、酸化は、飽和段階において過剰の酸化物と更に反応しないヒドロキシル及び酸素ブリッジ末端を残す。
好ましくは、約20Å〜60ÅのZrOを成長させるために十分なサイクルが実施される。より好ましくは、約20〜40Åを成長させるために十分なサイクルが実施される。該層の誘電率は、約18〜24である。図示される実施例においては、30ÅのZrが形成された。
ゲート絶縁体堆積120は、多数の堆積ステップを含み得る。しかし、先の表面処理に起因して、界面層のための必要性が低減され、高k材料(窒化珪素のものよりも大きいk値を有する、より好ましくは、10よりも大きい)は、好ましくは、処理されたゲルマニウム表面上に直接堆積される。複数の“ナノラミネート”下層は、バリア特性を犠牲にすることなく、結晶構造を作ること及び/又は誘電率を最大限にすることにおいて、依然として利点を有し得る。
ゲート絶縁体形成に続いて、ゲート電極がゲート絶縁体上に堆積される130。一度ゲートスタック(gate stack)が完了したら、ゲート電極は、好ましくは、従来のフォトリソグラフィー技術及びエッチングによってパターン化される。他のアレンジメントにおいて、ゲート電極は、上に重なる金属層の堆積の前又は後にパターン化され得、該金属は、当該技術分野において公知のように、自己整合シリサイド化(self-aligned silicidation)において使用され得る。幾つかのアレンジメントにおいて、ゲート電極自体が、下層のゲルマニウムに合わせた仕事関数(work function)を有する金属を備える。
ゲートスタックが完了すると、集積回路を完成するための更なるプロセスが続く。例えば、ゲートスタックは、典型的に、誘電体及びスペーサーエッチのブランケット堆積(blanket deposition)によって絶縁される。トランジスタの活性領域は、次いで、パターン化された電極のどちらかのサイドにソース及びドレイン領域を形成するためにドープされ、そしてワイヤリング又は“バックエンド(back end)”工程が、回路を完成する。
有利なことに、ゲルマニウム表面処理110は、処理されたゲルマニウム表面上の後の堆積を促進する。図示される実施例において、ゲルマニウム表面処理110は、ALD反応物の吸着を促進する。
図4A及び4Bは、このような誘電体スタックを組み込むトランジスタゲートを図示する。特に、ゲルマニウム構造200は、ゲルマニウム構造上に形成されるトランジスタゲートスタック210と共に示される。当業者は、基板がエピタクシー的に堆積されたゲルマニウム又は高[Ge]を有するSiGeも含み得ることを理解するが、図示される実施形態において、ゲルマニウム構造200は、単結晶ゲルマニウムウエハの上部を含む。
ゲートスタック210は、従来の様式で電極220を保護し且つ隔離する絶縁層240及びサイドウォールスペーサー230を有する電極層220を含む。ゲート電極220上のより高伝導性のストラッピング層250(典型的に金属を含む)も図示される。ストラップ250は、ウエハを横切るトランジスタゲート間の迅速なシグナル伝達を促進し、該ゲートと論理回路をつなぐ。ゲート絶縁体は、望ましい仕事関数のために造られた組成を有する従来のドープされたポリシリコン層、SiGe合金、又は金属合金を備え得る。
上記の例証的なプロセスによって形成されるゲート絶縁体260は、ゲート電極220をゲルマニウム構造200から隔離する。上記の背景技術のセクションで示されるように、ゲート絶縁体260は、より密度が高く且つ速い回路の目的において不可欠な特徴である。
拡大図の図4Bから最もよく見られるように、好ましいゲート絶縁体260は、下層のゲルマニウム構造200及びバルク誘電体層264を有するインターフェイス262を含む。図示される実施形態のインターフェイス262は、堆積された層を示さない;むしろ、該インターフェイスは、それの上のバルク誘電体層264の堆積前に、非常に僅かの酸化、窒化及び/又は表面基の形成によって改質される。他のアレンジメントにおいて、ゲルマニウム表面処理は、更なる層を残し得る。図示される実施形態において、遠隔プラズマ又は熱反応からの励起種は、ALDの直前にインターフェイス262を形成し、ここにおいて表面の改質は、ALD反応物の吸着を促進する。遠隔プラズマ窒化及び/又は酸化の場合、インターフェイス262は、好ましくは約15Å未満、より好ましくは約10Å未満ゲルマニウム構造200中に延びている(最も好ましくは、約2Å〜5Åを含む)ゲルマニウム構造200の窒化及び/又は酸化された部分を含む。好ましくは、このインターフェイス262の下のバルクゲルマニウムは、約10原子%未満の窒素及び約10原子%未満の酸素を10Å以上の深さにおいて含む。
ゲルマニウム表面処理によって与えられるコンシステンシーのある核形成(吸着)とALDの本来的な自己制御特性との組み合わせに起因して、絶縁体層260は、極めて薄いが、依然優れた平滑度を示すように作られ得る。ゲルマニウム生来の乏しい表面特性、及びゲルマニウムの頂部上の結果的なコンシステンシーのない堆積は、このようにして克服される。好ましくは、高k層260は、約100Å未満、より好ましくは約50Å未満の厚み、及び約5Årms未満、より好ましくは約3Årms未満の表面の粗さを有し、さらにALDの厳密に自己制御である表面反応を用いて、1.5Å未満の表面の粗ささえ可能である。
種々の省略、追加及び変更が、本発明の範囲から脱することなく上記のプロセスになされ得、そしてこのような修飾及び変更全てが、添付の特許請求の範囲によって明確にされる本発明の範囲内に入ることが意図されることを当業者は理解する。例えば、ALD及びCVD前の表面処理に関して例示されるが、当業者は、MOCVD及びJVDに限定されないが、これらを含む他の形態の堆積前のゲルマニウム表面の処理のための応用も見出し得る。
図1は、例証的な単一基板反応チャンバの断面の略図である。 図2は、ガスフローの略図であり、本発明の好ましい実施形態に従った反応物及びパージガス供給源を図示する。 図3は、好ましい実施形態に従って基板を処理するためのステップを一般的に示すフローチャートである。 図4A及び4Bは、本発明の好ましい実施形態に従って構築されるトランジスタゲートスタックの断面図である。

Claims (33)

  1. ゲルマニウム表面を酸素及び/又は窒素含有気相に曝露し、それによって改質した表面を形成すること;及び
    該改質された表面上に誘電材料を原子層堆積すること;
    を含む集積回路の製造においてゲルマニウム表面上に膜を堆積する方法。
  2. 該酸素及び/又は窒素含有気相が、遠隔プラズマジェネレータからの励起種を含む、請求項1に記載の方法。
  3. 曝露が、該酸素及び/又は窒素含有気相を該ゲルマニウム表面と熱的に反応させることを含む、請求項1に記載の方法。
  4. 該ゲルマニウム表面下約10Å以上の深さにおいて、約10原子%未満の窒素及び約10原子%未満の酸素を形成することを含む、請求項1に記載の方法。
  5. 該酸素及び/又は窒素含有気相が、O、O、HO、H、NO、NO、NO、N、N/H、HCOOH、HClO、CO、及びこれらの混合物から成る群から選択されるガスを含む、請求項1に記載の方法。
  6. 曝露が、該ゲルマニウム表面を約500℃よりも低い温度において維持することを含む、請求項1に記載の方法。
  7. 曝露が、該ゲルマニウム表面を約300℃よりも低い温度において維持することを含む、請求項6に記載の方法。
  8. 該ゲルマニウム表面が、約90原子%よりも大きいゲルマニウム含有量を有する単結晶構造を備える、請求項1に記載の方法。
  9. 該ゲルマニウム表面が、約20原子%よりも大きいゲルマニウム含有量を有するシリコンゲルマニウム層の上面を備える請求項1に記載の方法。
  10. 該ゲルマニウム表面が、約40原子%よりも大きいゲルマニウム含有量を有するシリコンゲルマニウム層の上面を備える、請求項9に記載の方法。
  11. 原子層堆積が、少なくとも1つの金属前駆体と酸素前駆体を交互することを含む、請求項1に記載の方法。
  12. 該ゲート絶縁体層が、約5よりも大きい誘電率によって特徴付けられる、請求項11に記載の方法。
  13. 該ゲート絶縁体層が、約10よりも大きい誘電率によって特徴付けられる、請求項12に記載の方法。
  14. 曝露及び原子層堆積が、単一堆積チャンバにおいてin situで行われる、請求項1に記載の方法。
  15. 曝露が、約1つの原子単層よりも大きい層を堆積しない、請求項1に記載の方法。
  16. ゲルマニウム表面を供給すること;
    該ゲルマニウム表面にわたって表面末端を形成すること(該表面末端は、酸素ブリッジ、窒素ブリッジ、−OH基、−NH基、−NH及び上記の混合から成る群から選択される);
    該表面末端上に直接的に層を気相堆積すること;
    を含むゲルマニウム表面上に堆積する方法。
  17. 気相堆積が、原子層堆積を含む請求項16に記載の方法。
  18. 該層が、窒化珪素よりも大きい誘電率を有する誘電材料を含む、請求項17に記載の方法。
  19. 該層が、酸化アルミニウム、酸化ジルコニウム、酸化ハフニウム、酸化タンタル及び三元酸化物(ternary oxides)、から成る群から選択される誘電材料を含む、請求項18に記載の方法。
  20. 該表面末端を形成することが、遠隔プラズマジェネレータからの励起種を供給することを含む、請求項16に記載の方法。
  21. 該表面末端を形成することが、約500℃よりも低い、該ゲルマニウム基板と気相反応物の熱反応を含む、請求項16に記載の方法。
  22. 該表面末端を形成することが、該ゲルマニウム表面下15Å以下の深さに窒素及び酸素を拡散させることを含む請求項16に記載の方法。
  23. 該表面末端を形成することが、該表面末端下10Å以上の深さにおいて約10原子%未満の窒素及び約10原子%未満の酸素を残すことを含む、請求項22に記載の方法。
  24. 該表面末端を形成することが、該ゲルマニウム構造の頂部2〜5Åを窒化及び/又は酸化することを含む、請求項16に記載の方法。
  25. 約40原子%よりも大きいゲルマニウムを有する半導体構造;
    該半導体構造上のゲート絶縁体層(該層は、約50Å以下の厚み及び約3Årms以下の表面粗さを有する);及び
    該ゲルマニウム構造及び該ゲート絶縁体の間のインターフェイスにおける窒素及び/又は酸素(ここにおいて、該半導体構造は、該半導体構造の上部表面から約10Åよりも大きい深さにおいて約10原子%未満の酸素を有する);
    を備える集積回路。
  26. 該ゲート絶縁体が、酸化アルミニウム、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、バリウムストロンチウムチタネート、及びストロンチウムビスマスタンタレートから成る群から選択される材料を含む、請求項25に記載の集積回路。
  27. 該ゲート絶縁体が、酸化ジルコニウムを含む、請求項26に記載の集積回路。
  28. 該インターフェイスにおいて、2〜5Åの窒化ゲルマニウムを含む、請求項25に記載の集積回路。
  29. 該インターフェイスにおいて、2〜5Åの酸化ゲルマニウムを含む、請求項25に記載の集積回路。
  30. 該インターフェイスにおいて、2〜5Åのゲルマニウムオキシナイトライドを含む、請求項25に記載の集積回路。
  31. 該半導体構造が、該半導体構造の頂部の表面から約10Åより大きい深さにおいて、約10原子%未満の窒素を有する、請求項25
    に記載の集積回路。
  32. 該半導体構造が、該半導体構造の頂部の表面から約30Å以上の深さにおいて、約1原子%未満の酸素及び約1原子%未満の窒素を有する、請求項31に記載の集積回路。
  33. 約40原子%よりも大きいゲルマニウム含有量を有するゲルマニウム構造を供給すること;
    該ゲルマニウム構造の表面を原子層堆積反応物の改良された核形成のための表面処理に曝すこと;及び
    該原子層堆積反応物を用いて、該処理された表面上に層を原子層堆積すること;
    を含む、集積回路を製造することにおいて、ゲルマニウム表面上に膜を堆積する方法。
JP2006522688A 2003-08-04 2004-08-03 ゲルマニウム上の堆積前の表面調製 Withdrawn JP2007516599A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US49240803P 2003-08-04 2003-08-04
PCT/US2004/025130 WO2005017963A2 (en) 2003-08-04 2004-08-03 Surface preparation prior to deposition on germanium

Publications (1)

Publication Number Publication Date
JP2007516599A true JP2007516599A (ja) 2007-06-21

Family

ID=34193119

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006522688A Withdrawn JP2007516599A (ja) 2003-08-04 2004-08-03 ゲルマニウム上の堆積前の表面調製

Country Status (5)

Country Link
US (2) US7202166B2 (ja)
EP (1) EP1652226A2 (ja)
JP (1) JP2007516599A (ja)
KR (1) KR20060054387A (ja)
WO (1) WO2005017963A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008066483A (ja) * 2006-09-06 2008-03-21 Tokyo Electron Ltd 酸化膜の形成方法、酸化膜の形成装置及びプログラム
CN107527806A (zh) * 2017-09-29 2017-12-29 睿力集成电路有限公司 介电薄膜、介电层结构及制作方法
JP2018019082A (ja) * 2016-07-25 2018-02-01 東京エレクトロン株式会社 単層膜が媒介する高精度の膜堆積

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
JP2007516599A (ja) * 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
US7078300B2 (en) * 2003-09-27 2006-07-18 International Business Machines Corporation Thin germanium oxynitride gate dielectric for germanium-based devices
EP1562226B1 (en) * 2004-02-06 2020-05-20 IMEC vzw A method for removing oxides from a Germanium semiconductor substrate surface
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
EP1973155B1 (en) * 2004-11-19 2011-07-06 S.O.I. TEC Silicon Method for fabricating a germanium on insulator (GeOI) type wafer
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
US7517812B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
EP1883103A3 (en) * 2006-07-27 2008-03-05 Interuniversitair Microelektronica Centrum Deposition of group III-nitrides on Ge
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8163341B2 (en) * 2008-11-19 2012-04-24 Micron Technology, Inc. Methods of forming metal-containing structures, and methods of forming germanium-containing structures
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110223706A1 (en) * 2010-03-10 2011-09-15 Hildreth Jill C Method of forming a photodetector
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8598020B2 (en) * 2010-06-25 2013-12-03 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8809152B2 (en) 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
JP2014060391A (ja) * 2012-08-24 2014-04-03 Sumitomo Chemical Co Ltd 半導体基板の製造方法、半導体基板、半導体装置の製造方法および半導体装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8901677B2 (en) * 2013-03-08 2014-12-02 Intermolecular, Inc. Nucleation interface for high-k layer on germanium
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140273519A1 (en) * 2013-03-13 2014-09-18 Sharka M. Prokes Hydrogen-plasma process for surface preparation prior to insulator deposition on compound semiconductor materials
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
JP6133991B2 (ja) * 2013-08-30 2017-05-24 国立研究開発法人科学技術振興機構 ゲルマニウム層上に酸化ゲルマニウムを含む膜を備える半導体構造およびその製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150093914A1 (en) * 2013-10-02 2015-04-02 Intermolecular Methods for depositing an aluminum oxide layer over germanium susbtrates in the fabrication of integrated circuits
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9312137B2 (en) * 2013-10-31 2016-04-12 Intermolecular, Inc. Reduction of native oxides by annealing in reducing gas or plasma
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US20150140838A1 (en) * 2013-11-19 2015-05-21 Intermolecular Inc. Two Step Deposition of High-k Gate Dielectric Materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101455263B1 (ko) 2014-01-06 2014-10-31 연세대학교 산학협력단 기판의 산화물 제거 방법 및 이를 이용한 반도체 소자 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10134585B2 (en) * 2014-08-19 2018-11-20 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101704723B1 (ko) 2015-04-06 2017-02-09 연세대학교 산학협력단 탄소 박막 소자 및 이의 제조 방법
EP3314706B1 (en) * 2015-06-23 2021-07-14 Soreq Nuclear Research Center Microwave gas slab laser
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10290505B2 (en) * 2015-08-14 2019-05-14 Entegris, Inc. Passivation of germanium surfaces
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170084464A1 (en) * 2015-09-18 2017-03-23 Tokyo Electron Limited Germanium-containing semiconductor device and method of forming
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN110676194A (zh) * 2015-12-04 2020-01-10 应用材料公司 用于清洁ingaas(或iii-v族)基板的方法和解决方案
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10580658B2 (en) * 2016-04-13 2020-03-03 Tokyo Electron Limited Method for preferential oxidation of silicon in substrates containing silicon and germanium
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR20180117233A (ko) 2017-04-18 2018-10-29 주식회사 레이크머티리얼즈 실리콘 산화막 또는 실리콘 질화막 위에 탄소 박막을 형성하는 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN113785383A (zh) * 2019-06-20 2021-12-10 东京毅力科创株式会社 通过选择性氮化硼或氮化铝沉积的高度选择性氧化硅/氮化硅蚀刻
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR20230025563A (ko) * 2021-08-12 2023-02-22 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5382333A (en) 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
EP0469470B1 (en) 1990-07-30 1996-10-09 Mitsubishi Gas Chemical Company, Inc. Process for producing multilayered printed board
WO1993010652A1 (en) 1991-11-22 1993-05-27 Electrochemicals, Inc. Process for improved adhesion between a metallic oxide and a polymer surface
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JP2953567B2 (ja) 1997-02-06 1999-09-27 日本電気株式会社 半導体装置の製造方法
US6124189A (en) 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
FR2783254B1 (fr) 1998-09-10 2000-11-10 France Telecom Procede d'obtention d'une couche de germanium monocristallin sur un substrat de silicium monocristallin,et produits obtenus
SI1158070T1 (sl) 1999-02-11 2009-02-28 Hardide Ltd Prevleke volframovega karbida in postopek za njihovo pripravo
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US6599781B1 (en) * 2000-09-27 2003-07-29 Chou H. Li Solid state device
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6753249B1 (en) 2001-01-16 2004-06-22 Taiwan Semiconductor Manufacturing Company Multilayer interface in copper CMP for low K dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6875279B2 (en) 2001-11-16 2005-04-05 International Business Machines Corporation Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US7271458B2 (en) 2002-04-15 2007-09-18 The Board Of Trustees Of The Leland Stanford Junior University High-k dielectric for thermodynamically-stable substrate-type materials
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6933246B2 (en) 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
AU2002368035A1 (en) 2002-06-19 2004-01-06 Massachusetts Institute Of Technology Ge photodetectors
US20040071878A1 (en) 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6844710B2 (en) 2002-11-12 2005-01-18 O2Micro International Limited Controller for DC to DC converter
US6787453B2 (en) 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7238595B2 (en) 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
JP2006344613A (ja) 2003-06-24 2006-12-21 Shin-Etsu Engineering Co Ltd 基板貼り合わせ装置
JP2007516599A (ja) 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
JP4235066B2 (ja) 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 薄膜形成方法
US7306956B2 (en) 2003-09-30 2007-12-11 Intel Corporation Variable temperature and dose atomic layer deposition
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7115304B2 (en) 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7704896B2 (en) 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008066483A (ja) * 2006-09-06 2008-03-21 Tokyo Electron Ltd 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP2018019082A (ja) * 2016-07-25 2018-02-01 東京エレクトロン株式会社 単層膜が媒介する高精度の膜堆積
US10340137B2 (en) 2016-07-25 2019-07-02 Tokyo Electron Limited Monolayer film mediated precision film deposition
CN107527806A (zh) * 2017-09-29 2017-12-29 睿力集成电路有限公司 介电薄膜、介电层结构及制作方法

Also Published As

Publication number Publication date
WO2005017963A3 (en) 2005-11-10
WO2005017963A2 (en) 2005-02-24
US7799680B2 (en) 2010-09-21
US20070111521A1 (en) 2007-05-17
US20050106893A1 (en) 2005-05-19
EP1652226A2 (en) 2006-05-03
US7202166B2 (en) 2007-04-10
KR20060054387A (ko) 2006-05-22

Similar Documents

Publication Publication Date Title
US7202166B2 (en) Surface preparation prior to deposition on germanium
JP4281082B2 (ja) 堆積前の表面調整方法
US6960537B2 (en) Incorporation of nitrogen into high k dielectric film
US6348420B1 (en) Situ dielectric stacks
US7026219B2 (en) Integration of high k gate dielectric
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
US20060211259A1 (en) Silicon oxide cap over high dielectric constant films
KR20180108455A (ko) 가열 방법, 성막 방법, 반도체 장치의 제조 방법, 및 성막 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070412

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070611

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090717

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090717

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091207

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091207

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100421

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100511