JP5294694B2 - シリコン及びチタン窒化物のインサイチュ蒸着 - Google Patents

シリコン及びチタン窒化物のインサイチュ蒸着 Download PDF

Info

Publication number
JP5294694B2
JP5294694B2 JP2008124258A JP2008124258A JP5294694B2 JP 5294694 B2 JP5294694 B2 JP 5294694B2 JP 2008124258 A JP2008124258 A JP 2008124258A JP 2008124258 A JP2008124258 A JP 2008124258A JP 5294694 B2 JP5294694 B2 JP 5294694B2
Authority
JP
Japan
Prior art keywords
gas
silicon
tin
precursor gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008124258A
Other languages
English (en)
Other versions
JP2009054988A5 (ja
JP2009054988A (ja
Inventor
ハスパー アルバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2009054988A publication Critical patent/JP2009054988A/ja
Publication of JP2009054988A5 publication Critical patent/JP2009054988A5/ja
Application granted granted Critical
Publication of JP5294694B2 publication Critical patent/JP5294694B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Description

本出願は、概ね半導体プロセスに関し、より詳細には、シリコン及びチタン窒化物の蒸着に関する。
参照による組込み
本出願は、次に述べる文献の完全なる開示を参照によって組込む:米国特許第6,746,240号;米国特許第6,962,859号;米国特許出願公開第2003/0111013 A1号;米国特許出願公開第2004/0250853 A1号;米国特許出願公開第2005/0118837 A1号;米国特許出願公開第2006/0060137 A1号;米国特許出願公開第2006/0088985 A1号;およびSze,VLSI TECHNOLOGY,pp.240−41(1988)。
リアクタと呼ばれる高温オーブンは、例えば半導体基板上の集積回路等の、非常に微細な寸法の構造を形成するために用いられる。例えばシリコンウェハ等の1つ以上の基板が、反応チャンバの内部の基板支持体上に載置される。基板および支持体の両方は、所望の温度に加熱される。一般的な基板処理ステップでは、加熱した基板上に反応物質ガス(前駆物質とも呼ばれる)が供給され、それにより、基板上に薄膜の蒸着(例えば化学気相蒸着、即ちCVD)を引き起こす。一般に、CVDは、例えば250〜900℃等の高温において遂行される。
通常、蒸着装置は、反応チャンバ内へガスを供給するためのシステムを備える。ガス供給システムは、一般に、複数の前駆物質ソースを備え、1つのキャリアガス及び/又はパージガスソースと、反応チャンバ内へ前駆物質ガスを供給するためのパイプのネットワークとを任意に備え、結局は、チャンバ内へガスを均等に吹き込むためのインジェクション・マニホールド又はシャワーヘッドと、ガスフローを制御するための複数のバルブとを備える。また、いくつかの前駆物質ソースは、粉末又は液体の形態である場合があり、そのような前駆物質を気化させるための手段(例えばバブラー)が提供されることができる。
別のタイプの蒸着プロセスは原子層蒸着(ALD)である。ALDでは、2つの相補的な前駆物質が、反応チャンバ内へ交互に導入される。一般に、第1の前駆物質は基板表面上に吸着するであろうが、第2の前駆物質なしでは完全には分解され得ない。第1の前駆物質は基板表面を飽和させるまで吸着し、さらなる成長は、第2の前駆物質が導入されるまで生じ得ない。従って、従来のCVDプロセスの場合と同様に、膜厚は、蒸着時間よりもむしろ、前駆物質の注入サイクル数によって制御される。従って、ALDは、膜厚および膜の一様性を非常に正確に制御することを可能にする。ALDは一般に、250〜500℃の領域の温度において行われる。
一般に、ALDでは、反応チャンバは、あらゆる過剰な先の前駆物質ガスをチャンバから除去することを目的として、2つの前駆物質ガスの注入の間に、非反応性の保護ガスがパルス的に導入される。そうでなければ、過剰な先の前駆物質は、後続のパルス的に導入された前駆物質と混ざり合って反応し、基板表面上及び/又はチャンバの表面上に、望ましくないCVDタイプの成長を形成するであろう。
低い電気抵抗率、良好な熱的安定性、および良好な拡散バリア特性を含む様々な理由で、集積回路の製作においてチタン窒化物(TiN)についての多数の用途がある。例示的な用途は、接点又はバリア膜としての使用、および、例えばトランジスタ等の電子装置における電極としての使用を含む。
しかしながら、TiNの特性は、プロセス及び蒸着のパラメータに密接に依存する。従って、特定の用途について蒸着されたTiNの適合性および望ましさは、例えば高い一様性及び低い抵抗率といった、所望の特性にTiNを形成することができる蒸着プロセスの有用性に依存し得る。その結果、新しいTiN蒸着プロセスの開発に至る研究が進行中である。
例えば、Materials Letters, Vol. 57 (2002), pp. 261−269において、N.Ramanujaらによって、ホットウォール炉内でのTiN薄膜の低圧化学気相蒸着(LPCVD)が記述された。しかしながら、Ramanujaらが、業界標準の200mm及び300mmウェハではなく、100mmウェハを調査したので、Ramanujaらの結果は制限されている。
許容できるTiN薄膜を形成することができることに加えて、TiN蒸着プロセスには、比較的低い蒸着温度が望ましく、それにより、蒸着プロセスを他のプロセス及び構造に統合するための柔軟性を増大させる。例えば、400〜500℃の領域に蒸着温度を低下させることは、薄膜が、アルミニウム又は銅の多層金属配線化と共に使用されることを可能にする。
しかしながら、蒸着温度の低下が、TiN薄膜内への著しい量の塩素のとりこみという結果になり、そして、抵抗率の実質的な増大という望ましくない結果になることが見いだされた。J.T.Hillmanの、Microelectronic Engineering, Vol. 19 (1992), pp. 375−378を参照。抵抗率および薄膜の塩素含有量を低減するために、Hillmanは、枚葉式のウェハ蒸着プロセスに引き続く、蒸着後のアニールを開示する。しかしながら、望ましくないことに、そのようなプロセスは、追加のプロセスステップを必要とし、また、枚葉式のウェハプロセスを使用することにより、スループットを制限する。
本出願の目的および利点は、バッチ式リアクタ内の基板上にTiN及びシリコンをインサイチュ(in situ)に蒸着するための実行可能な方法を提供することである。
一態様では、半導体ウェハの処理方法が提供される。半導体ウェハのバッチが、プロセスチャンバに挿入される。前記プロセスチャンバ内の前記ウェハ上に、チタン窒化物(TiN)が蒸着される。複数の前記蒸着するステップの間に、前記プロセスチャンバから前記ウェハを取り出すことなしに、前記プロセスチャンバ内の前記ウェハ上に、シリコンが蒸着される。
別の態様では、プロセスチャンバと、チタン前駆物質ソースと、窒素前駆物質ソースと、シリコン前駆物質ソースと、バルブシステムとを備える装置が提供される。前記プロセスチャンバは、複数の半導体ウェハを収容するように構成される。それぞれの前記チタン、窒素、およびシリコン前駆物質ソースは、前記チャンバへ接続されて、前記チャンバ内へ、前記チタン、窒素、およびシリコン前駆物質の蒸気を供給する。前記バルブシステムは、前記チャンバ内への前記蒸気の供給の選択的な制御を可能にするように構成される。
本出願と先行技術に対して達成された利点とを要約する目的のために、特定の目的および利点が上に記述された。もちろん、必ずしも、そのような目的又は利点のすべてが、本発明の特定の実施形態の何れに従っても達成されるという訳ではないことが理解されるべきである。従って、例えば、当該技術に熟練している者は、本明細書に教示された1つの利点又は一群の利点を達成又は最適化する方法で、本明細書に教示又は示唆されている可能性がある他の目的又は利点を必ずしも達成することなく、本発明が具体化又は実施され得ることを認識するであろう。
これらの実施形態はすべて、本発明の範囲内に在ることが意図されている。本発明は、本明細書に開示された如何なる特定の好ましい実施形態にも限定される訳ではないが、当該技術に熟練している者には、本発明のこれら及び他の実施形態は、添付の図面を参照する好ましい実施形態の次の詳細な説明から容易に明白になるであろう。
本発明は、例示を意図し、かつ発明を制限することを意図しない、好ましい実施形態の詳細な説明と添付の図面とから、より一層理解されるであろう。
概略
リアクタの反応チャンバ内へ1つ以上の前駆物質を周期的に導入、即ちパルシングすることにより、バッチ式リアクタ内の基板上に、一様であり且つ低い抵抗率のTiN薄膜が経済的に蒸着され得ることが、最近見いだされた。例えば、Hasperらの米国特許出願公開第2006/0060137 A1号は、安定なチタン前駆物質及び窒素前駆物質、即ち、ラジカルまたはプラズマでない前駆物質を使用して、TiN薄膜を形成することを開示している。Hasperらは、2つの一般的な方法を開示している。即ち:(1)反応チャンバ内へ、チタン前駆物質(例えば四塩化チタンTiCl)および窒素前駆物質(例えばアンモニアNH)を交互にパルス的に導入すること;および、(2)反応チャンバ内へ一方の前駆物質(例えばTiCl)をパルス的に導入する間に、他方の前駆物質(例えばNH)を連続的にフローすること。Hasperらは、これらの方法が、200mm若しくは300mmウェハ等の業界サイズのウェハ上に、良好な一様性及び低い抵抗率を有するTiN薄膜の蒸着を可能にすることを見いだした。更に、Hasperらは、そのような方法が、低温(例えば450〜600℃の間)でのTiN蒸着を可能にし、それにより、そのような蒸着が、アルミニウム又は銅の多層金属配線化等の他のプロセスと両立可能であることを見いだした。
TiN薄膜は酸化されやすい。一般に、TiN薄膜を酸化から保護するために、TiN薄膜が蒸着された直後に、TiN薄膜上に、保護ポリシリコンのキャッピング薄膜が蒸着される。シリコン蒸着は、通常、TiNを蒸着するための好ましい温度領域よりも著しく高い温度で行われる(Hasperらの米国特許出願公開第2006/0060137 A1号によって教示されているように、例えば450〜500℃)ので、現在の方法は、2つの異なるリアクタ内においてTiNとシリコンのキャッピング薄膜とを蒸着することを含む。同じリアクタ内において異なる温度で両方の膜を蒸着することとは対照的に、個別のリアクタにおいてTiN及びシリコンが蒸着されてきた主要な理由は、シリコン(例えばポリシリコン)の蒸着に使用されるプロセスチューブの温度の変化が、反応チャンバ内において許容できない量の粒子生成を導き、このことが、蒸着された薄膜の品質に悪影響を及ぼすためである。反応チャンバ内の基板上へのシリコン蒸着が、反応チャンバの壁上へのシリコン蒸着に帰着することもまた、同様に理解される。通常の場合、蒸着されたシリコンとチャンバ壁とは異なる熱膨脹係数を有する。例えば、輻射加熱された反応チャンバは、一般に石英の壁で作られ、また、石英およびシリコンの熱膨脹係数は、それぞれ0.59ppm/Kおよび2.3ppm/Kである。温度が著しく変化すると、チャンバ壁およびその上に蒸着されたシリコンは、異なる割合で膨張および収縮するであろう。このことは、シリコン粒子を壁から剥離させ、それによってチャンバを汚染する。この理由で、シリコン蒸着に使用される反応チャンバ内の温度を変更することは、一般に望ましくない。
基板上の隣接する層として、TiN及びシリコンが異なる温度で蒸着される場合もまた、この問題が生じる。TiNは9.3ppm/Kの熱膨脹係数を有する。従って、TiNが或る温度(例えば450〜500℃)で蒸着され、シリコンが著しく高温でTiN上に蒸着されれば、シリコン及びTiNの熱膨張および収縮の差異によって引き起こされる、剥離および粒子生成の許容できないリスクが存在するであろう。
同じリアクタ内において異なる温度で両方の膜を蒸着することとは対照的に、個別のリアクタにおいてTiN及びシリコンが蒸着されてきたもう一つの理由は、1つ以上の基板を、異なる温度に維持される別のチャンバへ移すためにかかる時間よりも、チャンバ(特に、バッチ式リアクタ用)の至る所で温度が変わり安定するのを待つためにかかる時間の方が、より長くかかるということである。TiN蒸着に使用される比較的低温では、輻射による熱輸送は制限されている。伝導による熱輸送もまた、低圧におけるバッチ式炉内の基板のスタックに対しては、さほど効率的ではない。それゆえに、温度の安定化は遅く、多くの場合、別のチャンバへ基板を移すために、より少ない時間を消費する。
異なるリアクタ内でのTiN及びシリコン蒸着の実行は、いくつかの問題および欠点を含む。2つの個別のリアクタ間で基板を移送する必要性は、巨額の設備費用とより複雑なプロセスを必要とし、そして、より低いスループットに帰着する。さらに、TiN薄膜付きの基板を、TiN蒸着リアクタからシリコン蒸着リアクタへ移送する間、TiNは空気にさらされた状態になり、このことは、TiNとシリコンキャッピング薄膜との間の望ましくない相互作用を導く。
本出願の実施形態は、これら蒸着ステップの間にプロセスチャンバから基板を取り出すことなしに、TiN薄膜およびアモルファスシリコンのキャッピング薄膜を、枚葉式バッチ式リアクタ内の複数の基板上にインサイチュに蒸着することを含む。両方の薄膜を同じリアクタ内で蒸着することによって、TiNとポリシリコン・キャッピング薄膜との間の望ましくない界面の形成を避けることが可能である。一方のリアクタの排除は費用を低減する。さらに、中間の基板移送ステップの排除は、プロセス・ロジスティクを単純化し、基板のスループットを増大させる。
図1はプロセスを示す。最初に、例えば半導体ウェハ等の複数の基板を、バッチ式リアクタのプロセスチャンバ内へ挿入する(ステップ1)。プロセスチャンバ内のウェハ上にTiNを蒸着する(ステップ2)。プロセスチャンバ内のウェハ上にシリコンを蒸着し(ステップ3)、前記蒸着するステップ2及び3の間にプロセスチャンバからウェハを取り出すことはない。
本明細書において、「基板」は、その通常の意味で使用され、材料が蒸着又は適用される任意の表面を含む。好ましい基板は、シリコンウェハ等の半導体ウェハを含む。しかしながら、基板は、金属、シリコン、ゲルマニウム、プラスチック、及び/又はガラス、好ましくはシリコン化合物(Si−O−C−H低誘電率膜を含む)およびシリコン合金を、これらに限定されないが含む、事実上任意の材料で製造されることができる。さらに基板は、例えばトレンチ又は段差等の物理的構造を、部分的に製作された集積回路内におけるように、備えることができる。
いくつかの実施形態では、TiN蒸着は、Hasperらの米国特許出願公開第2006/0060137 A1号によって教示された前記方法に従って行われる:(1)反応チャンバ内へ、チタン前駆物質(例えばTiCl)および窒素前駆物質(例えばNH)を交互にパルス的に導入するステップ(好ましくは、それらのステップの間にパージ又は排出ステップを含む);および、(2)反応チャンバ内へ一方の前駆物質(例えばTiCl)をパルス的に導入する間に、他方の前駆物質(例えばNH)を連続的にフローするステップ。他の実施形態では、TiN蒸着は次の周期的なシーケンスを含む:チタン前駆物質及び窒素前駆物質を実質的に同時にパルス的に導入するステップ、パージ又は排気ステップ、別の窒素前駆物質をパルス的に導入するステップ(本明細書の他の箇所において「フラッシュ」とも記す)、および、その後の別のパージ又は排気ステップ。いくつかの実施形態では、TiN蒸着およびポリシリコン蒸着の両方が、比較的低温(例えば300〜600℃、より好ましくは400〜500℃)で行われる。好ましい実施形態では、トリシラン(Si)が、シリコン前駆物質として使用される。
上記の議論は、TiN薄膜上へのシリコンキャッピング薄膜のインサイチュ蒸着を意図している一方、先に蒸着したシリコン薄膜上に、TiN薄膜をインサイチュに蒸着するように、いくつかの実施形態では薄膜の蒸着順序が反転する。例えば、図1において、シリコン蒸着(ステップ3)をTiN蒸着(ステップ2)の前に行ってもよい。
バッチ式リアクタ
上記したように、バッチ式リアクタ内において、例えば半導体ウェハ等の複数の基板上に、TiN及びシリコン薄膜のインサイチュ蒸着が行われる。いくつかの例示的なバッチ式リアクタを次に記述する。
好ましくは、バッチ式リアクタは、時間的に分離されたパルスにおいて、1つ以上の前駆物質を運ぶように設定又はプログラムされる。バッチ式リアクタは、好ましくは、基板の主たる面が水平方向に沿った状態で、互いに垂直に離隔された基板を収容するための、垂直に伸びる反応チャンバを備える。反応チャンバは、好ましくは、少なくとも25枚の基板、より好ましくは、少なくとも50枚の基板を収容する。
図2は、互いに垂直に離隔された基板40を収容し、効率的な加熱および挿入シーケンスのための利点を有する垂直炉リアクタ10を概略的に示す。炉10は、好ましくは100〜125枚の基板を支持するように適合される。適切な垂直炉の例は、オランダ国BilthovenのASMインターナショナルN.V.から市販されているA400TMおよびA412TM垂直炉である。垂直炉型のリアクタは、効率的な加熱および挿入シーケンスのための利点を有する。しかしながら、好ましい実施形態は、垂直のバッチ式炉に関連して示されているが、本明細書に開示された原理および利点が他のタイプのリアクタへの用途を有するであろうことが理解されるであろう。例えば、図示するリアクタは、垂直に離隔して基板を保持している状態で示されているが、本明細書に記述した方法は、水平に離隔して基板を保持するバッチ式リアクタに対して適用することができる。
図2への参照を続けて、チューブ12は、反応チャンバ20の領域を垂直炉又はリアクタ10の内部に画定する。チューブ12の下端はフランジ90で終端され、それは、下部支持表面14との接触によってチャンバ20を機械的に密閉する。プロセスガスは、チャンバ20の上端のガス吸気口22を通じて、反応チャンバ20内へ供給されることができ、チャンバ20の底部のガス排気口24を通じて、チャンバ20から排気されることができる。反応チャンバ20は、垂直に間隔が空けられた基板又はウェハ40のスタックを保持しているウェハボート30を収容する。
プロセスチューブフランジ90は、その表面上でのプロセスガスの結露を回避するために、高温に維持され得る。その高温は、プロセスに応じて変化することができ、好ましくは、プロセスガス(いくつかの実施形態では、TiCl、NH、Si、およびNである)の特性に基づいて選ばれることが認識されるであろう。例えば、フランジ90のその高温は、好ましくは120℃より高く、好ましくは約180〜200℃である。フランジ90の温度の調整は、フランジ90に電気的なヒータおよび水冷システムを備えることにより達成され得る。水冷システムは、主として、熱を持ったウェハ40のバッチを取り出す間の、フランジ90のオーバヒートを回避するために要求される。
反応チャンバ20(図2)へ反応物質又は前駆物質を供給するために、様々なシステムを使用することができる。例えば、前駆物質がガスである場合、ガスソースからチャンバ20へ前駆物質を直接的にフローすることができる。当該技術分野において知られているように、ガスフローのタイミングおよびレートは、マスフロー・コントローラ等によって制御することができる。
TiCl等の前駆物質が液体として格納される場合、チャンバ20へ前駆物質をガス形態で供給するために、バブラーを使用することができる。そのような前駆物質フローのタイミングおよびレートは、バブラー内の液体を通じてキャリアガスのフローを制御し、且つ、液体の温度を制御することにより調整することができる。温度の増大につれて、キャリアガスによって運ばれた液体前駆物質の量が増大することが認識されるであろう。
図3は、TiCl等の液体前駆物質のフローを制御するための例示的なシステムを概略的に示す。液体前駆物質はコンテナ50に格納される。蒸発器又は気化器60内への液体のフローを調整することで、リアクタ10内への液体前駆物質フローの量を調整するために、液体のフロー制御が使用される。気化した後に、十分に分離された1つの前駆物質のパルスを生成し、図3の上部に示された、バルブ80を備えるバルブシステム70を使用して、反応チャンバ20内へフローすることができる。好ましくは、バルブシステム70のバルブ80は、高温で操作され、最小限の利用できない空所を持つかあるいは利用できない空所を持たず、異なる反応物質フロー間の良好な分離を提供する。そのようなバルブシステムは、米国特許出願公開第2004/0250853 A1号において、より詳細に記述されている。
上記したように、プロセスガスは、様々な方法でチャンバ20へ導入されることができる。例えば、図2に示されたリアクタでは、すべてのガスが、上端の吸気口22を通じて、リアクタ10の上端にある内部20へ導入され、また、排気口24を通じて、リアクタ10の底部において排気される。他の実施形態では、プロセスガスをリアクタ内へ導入するためのマルチホール・インジェクタを使用することにより、プロセスガスのより一様な配分が、チューブの長さにわたって達成されることができる。適切なマルチホール・インジェクタは、米国特許第6,746,240号、及び米国特許出願公開第2003/0111013 A1号に開示されている。あるいは、より省スペース及び円筒状のマルチホール・インジェクタを使用することができる。そのようなインジェクタは、例えば約25mmの直径を有し、約1mmの直径のホールを備えることができる。いくつかの好ましい実施形態では、マルチホール・インジェクタは、好ましくは、反応チャンバ20の下端において、フランジ90の直上あるいは真下に取り付けられ、上方を向いている。
しかしながら、チャンバ20の高さより上方に伸びる唯一の部分であるインジェクタによって、反応チャンバ20の上端部が効果的にパージされない場合があるので、好ましくは、マルチホール・インジェクタは、パージガスを導入するために使用されない。好ましくは、パージガスは、排気端の反対にあるチャンバ端において、チャンバ20内へ導入され、その結果、パージガスは、導入後および排気される前に、反応チャンバ20のすべての領域中をフローする。
図4は別の例示的なバッチ式リアクタを示す。この設計では、プロセスチューブ100は上端で閉じている。この設計の利点は、プロセスチューブ100の構造がより簡単となり、また、気密性、および上端の吸気口22(図2)の熱的なアイソレーションに関する、結果的に生じる問題を防ぐことができることである。このセットアップにおけるすべてのガスは、ガスインジェクタ110を通じて導入され、それらの2つが示されている。好ましくは、各ガスについて個別のインジェクタ110が使用される。TiN蒸着の場合、チタン前駆物質ガス(例えばTiCl)および窒素前駆物質ガス(例えばNH)のそれぞれについて、1つのインジェクタ110を使用することができる。シリコン前駆物質ガス(例えばSi)のために、追加のインジェクタ110を備えてもよい。これらのインジェクタ110は、好ましくは、チューブ100の高さを越えて分配されたホールを備えるマルチホール・ガスインジェクタである。インジェクタ110は、それぞれが基板に対して実質的に垂直に配置されてもよい。それぞれのインジェクタ110は、基板が配置された長さの大部分に沿って伸びてもよい。プロセスガスがチューブ100から排出されるために、排気口24が、好ましくはチューブ100の底部において装備される。
追加のインジェクタ110を、パージガス、好ましくは窒素ガス等の不活性ガス用に使用することができる。パージガス用のインジェクタ110は、好ましくは、上端に開口端を備え、且つ、その側壁内にガス放出ホールを備えないチューブであり、その結果、すべてのパージガスは反応チャンバ120の上端で放出される。図5は、垂直に伸びる3つのインジェクタ110a、110b、及び110cを備えるリアクタ10を示す。インジェクタ110a、110b、及び110cのそれぞれは、1つ以上のガス供給路へ接続するための吸気口140a、140b、および140cをそれぞれ備える。インジェクタ110bは、その上端112が開口し、パージガスがリアクタ10を通じて下方へフローし、且つ、リアクタ10の底部の排気口24から排気することを可能にする。他の実施形態では、排気口24が反応チャンバ120の上端にあってもよく、パージガスが、反応チャンバ120の底部から放出されてもよい。都合良いことに、そのようなマルチホール・ガスインジェクタを使用すると、反応チャンバ内へのガス分布の一様性を改善することができ、それにより、蒸着結果物の一様性を改善する。
図6〜8は、商品名Advance 412TM又はA412TMの下で、オランダ国BilthovenのASMインターナショナルN.V.から市販されている例示的なバッチ式リアクタの別のバージョンを示す。図6は、ガスインジェクタを備える細長い炉の概略的な側面断面図である。プロセスチューブ又はチャンバ526は、好ましくは発熱体(図示せず)に囲まれる。反応スペース529の外周部の限界を定めるライナー528は、好ましくはチャンバ526の内部に装備される。好ましくは、プロセスチャンバ526の底部において、ウェハロード550を、ドア530によりチャンバ526に挿入又はチャンバ526から取り出してもよい。前駆物質ソースガスは、ガスインジェクタ540を通じて、好ましくはガス供給ダクト544を介して注入される。ガスインジェクタ540は、ホール548のパターンを備えて供給され、好ましくは、実質的にウェハロード550の高さ以上に伸びる。ガスが、ガスインジェクタ540のホール548から反応スペース529へ最初に導入されるので、例えばガスインジェクタ540のような、ガスが移動するガス供給装置の内部は、反応スペース529の一部ではなく、ある意味、反応スペース529の外部であることに注意されたい。よって、反応スペース529は、例えばガスインジェクタ540のようなガス供給装置によって占められていた容積以外に、プロセスチャンバ526の内部の容積を含む。チャンバ526の更なる詳細は米国特許出願公開第2003/0111013 A1号で提供されている。
好ましい実施形態では、チャンバ526の内部で、ガスは一般に上向きの方向552にフローされ、次に、プロセスチャンバ526とライナー528との間の排気スペース554を通じて、反応スペース529から除去される。ここでは、ガスは、ポンプ(図示せず)へ接続可能な排気口558へ、下向きの方向556でフローされる。ガスインジェクタ540は、好ましくは、反応スペース529の高さ全体にわたって、チャンバ526の内部にプロセスガスを分配する。ダクト544に接近したホール548は、ダクト544から離れたホール548と比較して、反応スペースにより多くのガスを吹き込む傾向があるので、ガスインジェクタ540は、それ自体がガスフローを制限するものとして作用する。好ましくは、ホール548を通じたガスフローの差に関するこの傾向は、ホール548間の距離を縮小する(即ち、ホール548の密度を増大させる)と共に、ホールがダクト544から離れて配置されることにより、ある程度補償することができる。他の実施形態では、ホール548を構成する個々のホールのサイズを、ダクト544からの距離が増大するにつれて増大させてもよく、あるいは、ホール548のサイズを共に増大させてもよく、またさらに、ホール548間の距離を、ダクト544からの距離が増大するにつれて縮小させてもよい。しかしながら、都合良く、好ましい実施形態は、ホール548を備えるガスインジェクタ540の側面の表面エリアを最小限にするために、一定のサイズのホール548で図示される。
一般に、反応速度は圧力が増大するにつれて増大するので、インジェクタ540は、ガスインジェクタ内部の圧力を低減して、インジェクタ内の気相反応の減少に帰着するように、都合良く設計される。そのような低減された圧力はさらに、ガスインジェクタ540の高さ全体にわたって、不十分なガス分布を導く場合があるので、インジェクタ540の高さ全域にわたるホール548の分布は、ガス分布の一様性を改善するために選択される。
図7は、ガスインジェクタ540の一実施形態を示す。ガスインジェクタ540は、好ましくは2つのガスインジェクタ部541及び542を備え、好ましくは、それぞれが個別のガス供給ダクト接続部545及び546を備える。第1の部分541は、反応スペース529(図6)の下部の容積にガスを吹き込み、第2の部分542は、反応スペース529の上部の容積にガスを吹き込む。部分541及び542は、リンク549及び551によって接続される。その上端において、ガスインジェクタ540にはフック553が装備されることができ、ガスインジェクタ540の上端をチャンバ526(図6)内部のフック支持部へ固定する。
ガスインジェクタ540には、実質的にウェハロード550の高さ560(図6)全体に伸びるホール548のパターンが供給される。ホールの総断面積は、好ましくは少なくとも約30mmである。それぞれのホール548の直径は、約1mm以上、より好ましくは約2.5mm〜3.5mmの間であり、一実施形態では約3mmである。図7に示す実施形態では、ガスインジェクタ540は、総計約282mmのホール断面積のために、合計40個のホール548を備える。より一般的には、ホール548の総断面積は、好ましくは約30mm以上であり、より好ましくは約196mm〜385mmの間である。
図8を参照して、ガスインジェクタ540のそれぞれの部分541及び542は、ガスインジェクタ540を通じたソースガスの伝導に利用可能な、内側断面領域564及び562をそれぞれ有する。好ましくは、それぞれの内側断面領域564及び562は、少なくとも約100mmである。図示する実施形態では、ガスインジェクタ540の、部分541及び542のそれぞれの断面積は、約330mmである。より一般的には、部分541及び542のそれぞれの断面積は、好ましくは約140mm〜600mmの間、より好ましくは約225mm〜455mmの間である。
図8に示す断面図は、ガスインジェクタ540の下端を貫通し、且つ、プロセスチャンバ526の下端へガスを吹き込むための、ガスインジェクタ部541内に装備された1対のインジェクション・ホール548を直線状に貫通して得られる。好ましくは、それぞれのガスインジェクタ部において、ホール548は同じ高さのペアで提供される。さらに、それら2つのホール548は、好ましくは、前駆物質ガスを、図中には約90°で示される約60〜120°の間の角度570を形成する2つの方向566及び568に注入し、半径方向の一様性を改善する。そのうえ、図中に示されるように、ガスインジェクタ540を備えるチューブは、好ましくは、水平断面で見られるように、長円形を有する。好ましくは、長円形の長辺は、プロセスチャンバ526の中心に面する。即ち、長辺を有する長円形の側面は、プロセスチャンバ526の中心から放射状に伸びる想像線に対して垂直である。
好ましい実施形態では、CVDモードにおいて、バイナリー薄膜の2つの構成要素を提供する2つの前駆物質ソースガスは、供給ダクト接続部545及び546(図7)を通じてガスインジェクタ540へ導入されるのに先だって、ガス供給装置(図示せず)において混合される。ガス供給装置において前駆物質ガスをあらかじめ混合することは、注入されたガスの均質な組成をボートの高さにわたって保証する1つの方法である。しかしながら、ガスをあらかじめ混合することなく、プロセスチャンバ526(図6)内へフローすることができる。他の実施形態では、それら2つの前駆物質ソースガスを、それぞれに個別のガスインジェクタ540(図示せず)を通じて注入することができ、その結果、それらは、反応スペース529内へ注入された後に初めて混合される。従って、1つ以上のガスインジェクタ540がプロセスチャンバ526の内部に配置され得ることが認識されるであろう。
有利なことに、2つのガスインジェクタ部541及び542の使用は、さらなるチューニングの実現を可能にする。反応スペース529内へのガスフローを微調整するために、異なるガスインジェクタ部541及び542へ供給されたフローを、違った風に選択することができる。これは、ウェハロード550(図6)の高さ560にわたって、前駆物質の蒸着速度の一様性を改善するであろう。
当該技術に熟練している者は、このプロセスのパフォーマンスを改善するために、バッチ式リアクタへの、あるいは、当該技術分野において公知であるバッチ式リアクタを操作する方法へのさらなる変更を適用することができることを認識するであろう。例えば、ホルダボートあるいはリングボート(即ち、ボートに挿入された個別のウェハホルダあるいはリング形のホルダによって、それぞれのウェハが個々に支持されるウェハボート)を使用することができる。
前記した装置の構成は、パルスCVD及びALDに関して記述されているが、それらが、低圧化学気相蒸着法(LPCVD)に関した使用にも同様に適していることが認識されるであろう。
TiN蒸着
リアクタの反応チャンバ内へ1つ以上の前駆物質を周期的に導入あるいはパルス的に導入することにより、バッチ式リアクタ内のウェハ上に、一様であり且つ低い抵抗率のTiN薄膜が蒸着され得ることが見いだされた。好ましくは、TiN薄膜は、安定なチタン前駆物質及び窒素前駆物質、即ち、ラジカルまたはプラズマでない前駆物質を使用して形成される。より好ましくは、チタン前駆物資及び窒素前駆物質として、四塩化チタン(TiCl)及びアンモニア(NH)がそれぞれ使用される。
一方法では、両方の前駆物質(例えばTiCl及びNH)は、好ましくは中間のパージガス注入ステップ又はチャンバ排気ステップと共に、反応チャンバ内へ交互にパルス的に導入される。この方法(図9を参照して以下で記述する)では、各1対のパルスは1つのサイクルを構成するので、任意数のサイクルを実行することができる。別の方法(図10を参照して以下で記述する)では、一方の前駆物質を反応チャンバ内へ連続的にフローする一方、他方の前駆物質のみをパルス的に導入する。いくつかの好ましい実施形態では、例えばNH等の窒素前駆物質を、反応チャンバ内へ連続的にフローする一方、例えばTiCl等のチタン前駆物質を、反応チャンバ内へパルス的に導入する。さらに別の方法(図11を参照して以下で記述する)では、それぞれのサイクルは、次のシーケンスにおいて、チタン前駆物質及び窒素前駆物質の実質的に同時のパルス、パージ又は排気ステップ、別の窒素前駆物質パルス(「フラッシュ」とも呼ぶ)、そしてその次に、別のパージ又は排気ステップを含む。第2の窒素前駆物質パルスあるいはフラッシュは、窒素を、先のチタンパルスからの任意の残留チタンと、より完全に反応させるために提供される。
TiN蒸着は、約600℃未満、より好ましくは、約500℃未満の温度、例えば約450〜500℃で有利に実行することができる。従って、その蒸着は、アルミニウム又は銅の多層金属配線化等の他のプロセスと両立可能である。さらに、その蒸着を有利に使用して、業界基準の200mm及び300mmウェハ上に薄膜を蒸着することができる。
いくつかの好ましい実施形態では、垂直に離隔された基板のスタック、例えば半導体ウェハは、バッチ式反応チャンバ内に収容され、例えばTiCl及びNH等のチタン前駆物質及び窒素前駆物質の時間的に分離されたパルスが、TiNのALDの際に、反応チャンバ内へ交互に且つ連続的に供給される。図9は、TiCl及びNHの交互パルスについて、この方法の実施形態を説明する反応物質のフローレートグラフである。図示しないが、反応物質の交互パルス間の時間間隔の間に、チャンバのパージ又は排気ステップを行うことができることが理解されるであろう。明瞭さのために、図9では、TiCl及びNHのフローは別々に示されている。周期的なシーケンスは、好ましくは、窒素前駆物質ガス(例えばNH)パルスで終了する。言い替えれば、最後のTiClパルスの後、好ましくは、別のTiClパルスが後に続かない付加的なNHパルスを注入する。
TiNの蒸着速度が、NHのガス分圧中の変化に対して特に敏感であることが見いだされた。その結果、NHは、好ましくは、NHの均等な配分を可能にするための、垂直に分布したホールを備えるガスインジェクタを使用して、チャンバ内へフローされる。好ましくは、それぞれの反応物質は、別の反応物質を導入する前に、例えば不活性ガスでパージされる、あるいは反応チャンバを排気することによって除去される。それぞれのパルスの継続時間は、好ましくは約60秒未満、より好ましくは約30秒未満、さらに好ましくは約15秒未満である。
TiN薄膜を連続的なCVDによって形成する場合、反応チャンバ内へ連続的にTiCl及びNHをフローすることによって、TiN薄膜の蒸着速度はTiClの分圧に応じてそれほど著しく変化しないことが見いだされた。一方、蒸着速度がNHの分圧に対してほぼ比例することが見いだされた。NHがチャンバ内へパルス的に導入されるか否かを問わず、例えば、ALDあるいはパルスCVDプロセスにおいてNHが使用されるか否かにかかわらず、一様な薄膜を蒸着するために、これらの発見は、反応チャンバ内部にNHを導入し、分散させるモードが、TiClに対するものよりもより重要であることを示す。その結果、上記したように、NHは、好ましくは、チャンバ内部のガス分布の均一性を最大限にする方式で反応チャンバ内へ導入される。特に、NHは、好ましくは垂直に分布した方式で、例えば、上記で説明したような、間隔を空けて垂直に配置された複数のホールを備えるマルチホール・インジェクタを通じて、垂直炉型の反応チャンバ内へ導入される。インジェクタは、好ましくは、実質的にチャンバの高さ以上に伸びて、それにより、インジェクタの複数のホールは、基板で占有される垂直方向の高さの大部分或いはすべてに広がる。さらに、TiClもマルチホール・インジェクタを使用して導入することができ、あるいは、反応チャンバ(図2)の供給端で導入することができる。
他の好ましい実施形態では、窒素前駆物質、例えばNHが、反応チャンバ内へ連続的に供給され、チタン前駆物質、例えばTiClのみがパルス的に供給され、TiNのCVDをもたらす。図10は、TiClのパルスフロー及びNHの連続的なフローについて、この方法の実施形態を説明する反応物質のフローレートグラフである。図10では、TiCl及びNHのフローは、相対的なフローレート値のよりよい理解のために重ね合わされている。有利なことに、そのような蒸着スキームは、TiCl及びNHの両方が交互にパルス的に導入されるスキームと比較して、薄膜の品質を失うことなしに、反応物質パルスあたりの蒸着速度の増大を可能にする。1つの前駆物質を連続的にフローすることにより、TiClパルスにつき、通常、1より多いTiNのモノレイヤーが蒸着される。さらに、チタン前駆物質パルスが比較的短いところでは、蒸着されたチタン含有薄膜は、チタン前駆物質パルス間の窒素前駆物質フローによって効果的に窒化される。従って、高品質の、低い抵抗率の、且つ一様なTiN薄膜を、好ましくは約600℃未満、より好ましくは、約500℃未満、例えば約450℃の比較的低い蒸着温度で得ることができる。好ましくは、パルスの継続時間は約60秒未満であり、より好ましくは約30秒未満、そして最も好ましくは約15秒未満である。
さらに他の好ましい実施形態では、それぞれの蒸着サイクルは、次のシーケンスにおいて、チタン前駆物質及び窒素前駆物質の実質的に同時のパルス、パージ又は排気ステップ、別の窒素前駆物質パルス、そしてその次に、別のパージ又は排気ステップを含む。図11は、TiCl及びNHのパルスについて、この方法の実施形態を説明する反応物質のフローレートグラフである。明瞭さのために、図11では、TiCl及びNHのフローは別々に示されている。この実施形態では、TiClパルス200は、NHパルス210と実質的に同時に生じる。しかしながら、付加的なNHパルス220(「アンモニアフラッシュ」とも呼ぶ)が、複合あるいは同時のパルス200、210の間に一時的に生じる。図示していないが、複合パルス200、210の後、且つ、アンモニアフラッシュ220の前に、チャンバのパージ又は排気ステップを行うことができることが理解されるであろう。さらに、別のチャンバのパージ又は排気ステップを、アンモニアフラッシュ220の後、且つ、次の複合パルス200、210の前に行うことができる。言い替えれば、蒸着サイクルの第1の時間間隔中にパルス200及び210が生じ、且つ、サイクルの第2の時間間隔中にパルス220が生じる場合、パージ又は排気ステップを、第1の時間間隔と第2の時間間隔との間、および、第2の時間間隔の後に再び行うことができる。
有利なことに、好ましい実施形態に従って、高品質のチタン窒化物薄膜を形成することができる。例えば、蒸着されたチタン窒化物薄膜の厚さは、基板バッチ中の基板間において約3nm未満で変化することができ、また、抵抗率は約5μΩ・cm未満で変化することができる。そのうえ、薄膜を、約220μΩ・cm未満の低い抵抗率を持って形成することができる。
有利なことに、より低い蒸着温度(例えば450℃)では、ウェハ全域にわたる平均膜厚は、ウェハに依らず例外的に一様であり、1つのウェハバッチの様々なウェハ間において約3nm未満で変化することが見いだされた。有利なことに、この温度では、薄膜の平均抵抗率は一様であり、1つのバッチ内の様々なウェハ間において約5μΩ・cm未満で変化することが見いだされた。
前駆物質のパルス時間が、膜厚と膜の抵抗率とに影響を及ぼすことが理解される。TiClの暴露時間の合計が変化しない場合に、より長いパルス時間は、蒸着された薄膜の厚さを増大させる、あるいは蒸着された薄膜の厚さに影響を及ぼさないと予想されるであろうが、約30秒より長いパルス時間が平均膜厚(いくつかの実験では、約23.5nm〜約23nm)の減少を実際に引き起こすことが、予期に反して見いだされた。さらに予期に反して、蒸着された薄膜の平均抵抗率が、パルス時間に強く依存することが見いだされた。特に、いくつかの実験では、薄膜の抵抗率が、約15秒のTiClパルスの継続時間に関する約220μΩ・cmから、約60秒のTiClパルスの継続時間に関する約520μΩ・cmまで増大することが見いだされた。従って、より短いパルス時間は、有利なことに、例えば約220μΩ・cm未満の、低減された抵抗率を有するTiN薄膜の蒸着を可能にする。
両方の反応物質がパルス的に導入される場合には、両方の反応物質についてのパルス時間が同じになりえること、あるいは、それぞれが異なるパルスの継続時間を有することが認識されるであろう。そのうえ、一方あるいは両方の反応物質がパルス的に導入されるかにかかわらず、パルスの継続時間は蒸着の過程全体にわたって同じままでありえるか、あるいは、蒸着の過程の間に変化することができる。
さらに、所望のTiN薄膜の抵抗率を与えるために、サイクル継続時間を選択することができる。例えば、TiClパルス時間(例えば約15〜60秒間)を適切に調節することにより、あるいは、プロセスガスのそれぞれのサイクル継続時間(例えば約1〜10分間)が調節され得ることにより、約520μΩ・cm〜約220μΩ・cmの抵抗率を達成することができる。
シリコン蒸着
上記において議論されたように、TiN膜を蒸着する前あるいは後の双方で、バッチ式リアクタ内のウェハ上にシリコン膜を蒸着することができる。シリコン膜はアモルファスシリコンを含むことができ、好ましくは、低温で、あるいは、TiN膜が蒸着される温度と同じ若しくは比較的近い温度で、CVDによって蒸着され得る。蒸着されるシリコン及びTiN膜は、互いに直接的に接触(例えば、直接的に隣接する)して蒸着されることができる。保護キャッピング薄膜となるように、TiN膜を覆ってシリコン膜を蒸着することができる。あるいは、シリコン膜を覆ってTiN膜を蒸着することができる。
TiN蒸着ステップとシリコン蒸着ステップとの間に、パージガスの注入により、チャンバ排気プロセスにより、反応種を運ぶガスによって先の前駆物質ガスを置換することにより、あるいはそれらの任意の組合せにより、先に蒸着された膜に関係するあらゆる過剰な前駆物質を、チャンバ内から除去することができる。先の前駆物質ガスがパージにより除去される場合には、プロセスチャンバは、チャンバ内の雰囲気を少なくとも1回交換するほど長い継続時間の間パージされる。
シリコン前駆物質として、好ましくはシランが使用される。シランは、モノシラン(SiH)、ポリシラン、およびクロロシラン(SiH4−nCl,ここでn=1〜4)から成るグループから選択されることができる。より好ましくは、以下で議論されるシリコン膜を形成するためのシリコン前駆物質として、ポリシランが使用される。本明細書で使用する「ポリシラン」は、化学式Si2n+2を有し、ここでn=2〜4である。好ましくは、ポリシランはジシランまたはトリシランである。最も好ましくは、ポリシランはトリシランである。従って、本発明の実施形態は、トリシランを用いるCVDサイクルの使用に関連して記述されているが、当該技術に熟練している者は、現在の開示内容を考慮して、他の前駆物質及び/又は他の蒸着技術を用いても、記述されたプロセスの所定の利点を得ることができることを認識するであろう。
米国特許出願公開第2005/0118837 A1号、および米国特許第6,962,859号に開示されているように、トリシラン(HSiSiHSiHまたはSi)は、シリコン前駆物質として使用された時に、本質的な利点を提示する。例えば、トリシランを用いて、シラン(SiH)等の他のシリコン前駆物質を用いる場合よりも実質的に低温で薄膜を蒸着することができ、このことは、有利なことに、低温領域(例えば400〜500℃)において、TiN及びシリコンの両方のインサイチュ蒸着を可能にする。そのうえ、トリシランを用いた蒸着速度は、基板の材料および厚さに対して比較的影響を受けにくい。さらに、トリシランは極端に短い薄膜の核生成時間を有し、これにより、シリコンの局部的な結晶性堆積物の寸法を縮小する。その結果、蒸着されたシリコン薄膜を、まだなお一様でありながら、より薄く形成することができる。そのうえ、局在的なシリコン堆積物のサイズが縮小されたことにより、薄膜は低減された表面粗さを示すであろう。さらに、プロセス・スループットに関して、トリシランはシランと比較してより速い蒸着速度を示す。トリシランは、シランのそれよりもより低いプロセス温度の使用を可能にするので、さらに熱量も低減する。
従って、本明細書に記述された蒸着方法にトリシランを採用することは、多数の利点を提供する。例えば、これらの蒸着方法は、枚葉式反応チャンバ内において、TiN及びシリコン膜のインサイチュでの蒸着を可能にする。これらの方法はまた、一様に薄く且つ連続的な、シリコン含有化合物の薄膜生成を可能にする。従って、これらの利点は、より高い歩留まりで半導体装置の生産を可能にし、さらに、より小さな回路寸法及び/又はより高い信頼性を有する新しい半導体装置の生産を可能にする。
シリコン前駆物質は、好ましくは、供給ガスの形態で、あるいは供給ガスの1つの成分としてプロセスチャンバへ導入される。供給ガスは、不活性キャリアガス等の、シリコン前駆物質以外のガスを含むことができる。キャリアガスは、窒素、水素、ヘリウム、アルゴン、あるいはそれらの様々な組合せ等の、当該技術分野において公知のキャリアガスを含むことができる。シリコン前駆物質がトリシランである場合には、トリシランは、好ましくは、トリシラン蒸気を搬送するためのキャリアガスと共にバブラーを使用する方法で、チャンバ内へ導入される。より好ましくは、温度制御式のバブラーを利用する。
シリコン膜を形成する際に、当該技術に熟練している者に対して公知である様々な蒸着方法によって、シリコン前駆物質の蒸着を行うことができるが、本明細書に教示されたCVD法によって蒸着を行う場合に、最も大きな利点が得られる。開示された方法は、プラズマエンハンスド化学気相蒸着法(PECVD)、あるいは、より好ましくは熱CVDを含むCVDを使用することにより、実行することができる。
蒸着条件は、好ましくは、基板が挿入される特定の型のリアクタ内における処理に適合される。一般に、蒸着条件は、熱せられた基板表面上のシリコン前駆物質を熱分解又は分解するのに十分なエネルギーを供給するように設定される。
さらに、蒸着条件は、好ましくは、シリコン前駆物質の反応速度が、シリコン蒸着速度について制限する変数であるように設定される。従って、有利なことに、ホットウォールリアクタが温度分布の高い一様性を達成する能力を、一様な膜を形成するために適用することができる。反応速度の制限条件の下で行われる蒸着は、温度変化に対して敏感な蒸着速度を有するが、高温での一様性を確立する能力は、この感度の影響を最小限にする。そのうえ、有利なことに、反応速度の制限条件は、供給された反応物質の濃度により、比較的影響を受けにくい蒸着速度を有する。
反応速度の制限領域が、主として比較的低温の使用によって達成されることが認識されるであろう。これは、バッチ式炉において望ましい、薄膜の低減された蒸着速度に帰着する。バッチサイズが大きいため、今までどおり、反応速度の制限領域へシフトダウンした温度に起因する蒸着速度で、十分なスループットを達成することができる。有利なことに、トリシランは、非常に低温においても許容可能な蒸着速度を可能にし、このことが、熱量の消費量の相当な量の低減を可能とする。当該技術に熟練している者は、限界寸法が縮小するにつれて、拡散に対する耐性が低減されるにつれて、および、熱処理に対してより低い抵抗率を有する新素材が導入されるにつれて、熱量が絶えず低減されることを容易に理解するであろう。シリコン蒸着プロセスは、好ましくは約600℃未満、より好ましくは約525℃未満、より好ましくは約500℃未満、より好ましくは約475℃未満の温度において行われる。シリコンは、約300℃〜約500℃の間の温度で蒸着することができる。
当該技術に熟練している者は、前記速度領域が、温度に加えて、反応物質の供給量あるいはシリコン前駆物質の分圧に、部分的に依存することを認識するであろう。好ましくは、反応速度は、反応物質が供給される速度よりも遅い。
当該技術分野において知られているように、蒸着パラメータの所定のセット(例えば合計圧力および温度)について、蒸着時間及び/又はガスのフローレートを変化させることによって、蒸着されるシリコン薄膜の厚さを、対象とする用途に応じて変化させることができる。
シリコン前駆物質、好ましくはトリシランをフローすることにより、最初にシリコン膜を蒸着する。既に述べたように、プロセス条件は、好ましくは前記速度領域での蒸着について準備される。プロセスは、好ましくは約600℃未満の温度、より好ましくは約500℃未満の温度、さらに好ましくは約400〜450℃の温度で行われる。さらに、反応物質の供給あるいはトリシランの分圧は、好ましくは、前記速度領域に蒸着を維持するために、十分に低いレベルにセットされる。反応物質が供給される速度よりも反応速度が遅い限り、適切に調整されたバッチ式炉(その内部において一様な温度を維持することができる)内の一様性は優れている。Sze,VLSI TECHNOLOGY,pp.240−41(1988)を参照。図示するバッチ式リアクタでは、プロセス圧力は、約10Torr以下、より好ましくは約1Torr以下に維持される。反応速度が制限された蒸着に維持するために、好ましくは、トリシランが、約100sccm未満で、より好ましくは約20sccm未満で供給される。トリシランは、一般に、例えばN、H、Ar、もしくはHe等の、非反応性ガスのあるいは不活性ガスのフローで希釈される。よって、トリシランの分圧は、好ましくは約10mTorr未満、より好ましくは約3〜4mTorrである。好ましくは、1つのトリシラン蒸着ステップは、約30〜120秒の継続時間を有する。
さらに、シリコン蒸着及びTiN蒸着(上記記述された)は、好ましくは、ほぼ等温の条件下で行われる。言い替えれば、TiN蒸着が第1の平均温度で行われ、シリコン蒸着が第2の平均温度で行われる場合、第1の温度と第2の温度とは、たとえ違っていても、好ましくは100℃未満、より好ましくは50℃未満、さらに好ましくは20℃未満異なる。「平均温度」は、時間平均された温度を指し、蒸着プロセスの間に温度が変動し得る可能性を反映する。蒸着ステップ間の温度を注意深く調節する必要がないので、あるいは、異なるリアクタへ基板を移送する必要がないので、同じ若しくは同様の平均温度でシリコン蒸着及びTiN蒸着を行うことは、プロセスを単純化する。一実施形態では、TiN及びシリコン蒸着ステップは両方とも、約400〜550℃の領域内、より好ましくは約450〜500℃の領域内の温度で行われる。
有利なことに、比較的狭い温度領域内での、上記したTiN及びシリコンのインサイチュ蒸着を、望ましくない量の粒子生成を生ずることなく行うことができる。上記したように、プロセスのうちの1つがシリコン蒸着である場合、主として、シリコンと例えばチャンバ壁等の反応チャンバの他の部分との間の熱膨張および収縮の差異が原因で、著しく異なる温度でのインサイチュプロセスにおけるチャンバの使用は、許容できない粒子生成に帰着する。シリコン及びTiNはまた、著しく異なる熱膨張率および収縮率を有し、隣接するTiN膜及びシリコン膜に対する問題を悪化させる。しかしながら、ここで開示する実施形態は、TiN膜及びシリコン膜が比較的狭い温度範囲内で蒸着されることにより、この問題を実質的に克服する。
以下に、バッチ式反応チャンバ内の複数の半導体上に、TiN及びアモルファスシリコン膜をインサイチュに蒸着する一例におけるプロセス条件を提示する。パルスCVD TiN蒸着について、反応チャンバ内の温度は約450℃であり、圧力は約200mTorrであり得る。チタン前駆物質はTiClであり、窒素前駆物質はNHであり得る。TiClは、Nキャリアガスによってチャンバへ供給され得る。TiClパルス中のTiClのフローレートは約1.5g/minであり、Nキャリアガスのフローレートは約200sccmであり得る。蒸着中のNHのフローレートは約0.19slmであり得る。TiClパルスの継続時間は、15秒、30秒、あるいは60秒であり得る。
上記において議論されたように、3つの方法でTiN蒸着を行うことができる:(1)好ましくは、反応チャンバ内へ、TiCl前駆物質及びNH前駆物質を交互にパルス的に導入し、それらのステップの間にパージ又は排気ステップを含むこと;(2)反応チャンバ内へ一方の前駆物質(例えばTiCl)をパルス的に導入する間に、他方の前駆物質(例えばNH)を連続的にフローすること;および、(3)次のサイクルを繰り返すこと:TiCl及びNHを実質的に同時にパルス的に導入するステップ、パージ又は排気ステップ、別のNHをパルス的に導入するステップ(「アンモニアフラッシュ」でもある)、および、その後の別のパージ又は排気ステップ。アンモニアフラッシュ中のNHフローは、約1slmであり得る。
アモルファスシリコンのキャッピング薄膜を、TiN膜上にインサイチュに蒸着することができる。反応チャンバの温度および圧力は、それぞれ約450℃および約200mTorrに維持され得る。トリシランは、約1slmのNキャリアガスのフローレートと共に、約60sccmのフローレートで、チャンバ内へ連続的に注入され得る。
従って、当該技術に熟練している者であれば、発明の技術的思想を逸脱しない範囲内で、上記した方法及び構造に対する他の様々な省略、追加および修正が可能であることを理解するであろう。添付の特許請求の範囲によって定義されているように、そのような修正及び変更はすべて、本発明の範囲に在ることを意図されている。
バッチ式リアクタ内の基板上にTiN及びシリコンをインサイチュに蒸着する方法を説明するフローチャートである。 本発明の実施形態において使用する例示的な炉を説明する図である。 本発明の実施形態において使用する例示的な液体供給システムを説明する図である。 本発明の実施形態において使用する別の例示的な炉を説明する図である。 本発明の実施形態において使用する付加的な例示的な炉を説明する図である。 本発明の一実施形態に従って構成されたガスインジェクタを備える細長いバッチプロセスチューブの概略的な側面断面図である。 図6のバッチプロセスチューブにおいて使用するガスインジェクタの正面図である。 図7のガスインジェクタの水平断面図である。 TiNを蒸着する一方法を説明する反応物質のフローレートグラフである。 TiNを蒸着する別の方法を説明する反応物質のフローレートグラフである。 TiNを蒸着する更に別の方法を説明する反応物質のフローレートグラフである。

Claims (14)

  1. 半導体ウェハのバッチをプロセスチャンバに挿入するステップと、
    前記プロセスチャンバ内の前記半導体ウェハ上に、チタン窒化物(TiN)を蒸着するステップと、
    タン窒化物を蒸着するための温度から20℃以内の差で異なるシリコン蒸着温度でトリシランをシリコン前駆物質として用いた熱化学気相蒸着法を行うことによって、前記プロセスチャンバ内の前記半導体ウェハ上にシリコンを蒸着するステップとを含み、
    チタン窒化物の蒸着とシリコンの蒸着とを、その間に前記プロセスチャンバから前記半導体ウェハを取り出すことなしに行う、半導体ウェハの処理方法。
  2. 前記シリコンを蒸着するステップが、前記TiNを蒸着するステップの後に生じる請求項1の方法。
  3. 前記TiNを蒸着するステップが、前記シリコンを蒸着するステップの後に生じる請求項1の方法。
  4. 前記TiNを蒸着するステップおよび前記シリコンを蒸着するステップが、両方とも400〜550℃以内の温度で行われる請求項1の方法。
  5. 前記TiNを蒸着するステップおよび前記シリコンを蒸着するステップが、両方とも450〜500℃以内の温度で行われる請求項4の方法。
  6. 前記半導体ウェハのバッチを挿入するステップが、互いに間隔を空けて配置された平行な半導体ウェハの配置を提供することを含み、
    TiNおよびシリコン着が、それぞれが前記プロセスチャンバの内部に位置し、且つ、前記半導体ウェハに対して垂直に向けられたガスインジェクタチューブを通じて、前駆物質ガスをフローすることを含み、
    それぞれのインジェクタチューブが、半導体ウェハの前記配置の長さの大部分に沿って伸び、それぞれのチューブが、その長さ方向に沿って複数のガスインジェクタホールを有する請求項1の方法。
  7. 前記ウェハのバッチを挿入するステップが、互いに垂直に間隔を空けて配置された水平なウェハの配置を提供することを含み、
    それぞれのインジェクタチューブが、垂直に配置されて、ウェハの前記配置の高さの大部分に沿って伸びる請求項6の方法。
  8. TiNを蒸着する前記ステップが、
    1番目の前記インジェクタチューブを通じて、チタン前駆物質ガスの複数の個別のパルスをフローするステップと、
    2番目の前記インジェクタチューブを通じて、窒素前駆物質ガスの複数の個別のパルスをフローするステップとを含み、
    当該窒素前駆物質ガスパルスのそれぞれが、複数の前記チタン前駆物質ガスパルスの2つの連続するパルスの間に、一時的に生じる請求項6の方法。
  9. TiNを蒸着する前記ステップが、複数の個別の時間間隔のそれぞれの間に、
    (1)前記プロセスチャンバ内へパージガスをフローすること、および
    (2)前記プロセスチャンバを排気させること、のうちの1つをさらに含み、
    前記時間間隔のそれぞれが、前記前駆物質ガスの一方のパルスの後、且つ、直ちに後続する前記前駆物質ガスの他方のパルスの前に在る請求項8の方法。
  10. TiNを蒸着する前記ステップが、最後の前記チタン前駆物質ガスパルスの後に、前記第2のインジェクタチューブを通じて、前記窒素前駆物質ガスの付加的なパルスをフローすることをさらに含み、
    当該付加的な窒素前駆物質ガスパルスの後に、別のチタン前駆物質ガスパルスが続かない請求項8の方法。
  11. TiNを蒸着する前記ステップが、
    1番目の前記インジェクタチューブを通じて、窒素前駆物質ガスをフローするステップと、
    前記窒素前駆物質ガスをフローしながら、2番目の前記インジェクタチューブを通じて、チタン前駆物質ガスの複数の個別のパルスをフローするステップとを含む請求項6の方法。
  12. TiNを蒸着する前記ステップが、
    第1の時間間隔中に、1番目の前記インジェクタチューブを通じて、窒素前駆物質ガスのパルスをフローするサブステップと、
    前記第1の時間間隔中に、2番目の前記インジェクタチューブを通じて、チタン前駆物質ガスのパルスをフローするサブステップと、
    前記第1の時間間隔の後の、第2の時間間隔中に、前記第1のインジェクタチューブを通じて、前記窒素前駆物質ガスのパルスをフローするサブステップとを含む1つのサイクルに従って、前記窒素前駆物質ガスおよび前記チタン前駆物質ガスをフローし、
    前記第2の時間間隔中に、前記チタン前駆物質ガスが前記プロセスチャンバへ供給されない請求項6の方法。
  13. 前記サイクルが、
    前記第1の時間間隔及び前記第2の時間間隔の間に、(1)パージガスで前記プロセ
    スチャンバをパージすること、および(2)前記プロセスチャンバを排気させること、のうちの1つを一時的に行うサブステップと、
    前記第2の時間間隔の後に、(1)パージガスで前記プロセスチャンバをパージする
    こと、および(2)前記プロセスチャンバを排気させること、のうちの1つを一時的に行うサブステップとをさらに含む請求項12の方法。
  14. 前記チタン前駆物質ガスが四塩化チタン(TiCl)を含み、前記窒素前駆物質ガスがアンモニア(NH)を含む請求項12の方法。
JP2008124258A 2007-05-14 2008-05-12 シリコン及びチタン窒化物のインサイチュ蒸着 Active JP5294694B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/748,364 2007-05-14
US11/748,364 US7629256B2 (en) 2007-05-14 2007-05-14 In situ silicon and titanium nitride deposition

Publications (3)

Publication Number Publication Date
JP2009054988A JP2009054988A (ja) 2009-03-12
JP2009054988A5 JP2009054988A5 (ja) 2011-06-16
JP5294694B2 true JP5294694B2 (ja) 2013-09-18

Family

ID=40027952

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008124258A Active JP5294694B2 (ja) 2007-05-14 2008-05-12 シリコン及びチタン窒化物のインサイチュ蒸着

Country Status (3)

Country Link
US (1) US7629256B2 (ja)
JP (1) JP5294694B2 (ja)
KR (1) KR101480529B1 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
KR20110131220A (ko) * 2009-03-27 2011-12-06 도쿄엘렉트론가부시키가이샤 금속 질화막의 성막 방법
US20100264506A1 (en) * 2009-04-17 2010-10-21 Olivier Evrard Light-Tight Silicon Radiation Detector
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
JP5589878B2 (ja) * 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
JP2014022594A (ja) * 2012-07-19 2014-02-03 Tokyo Electron Ltd 膜割れ検出装置及び成膜装置
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
JP6017396B2 (ja) 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
JP6123021B2 (ja) 2014-03-18 2017-04-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6319171B2 (ja) * 2014-07-28 2018-05-09 東京エレクトロン株式会社 成膜装置
JP6435967B2 (ja) * 2015-03-31 2018-12-12 東京エレクトロン株式会社 縦型熱処理装置
AT518081B1 (de) * 2015-12-22 2017-07-15 Sico Tech Gmbh Injektor aus Silizium für die Halbleiterindustrie
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
CN110998806A (zh) * 2018-03-23 2020-04-10 株式会社国际电气 基板处理装置、半导体装置的制造方法及程序
AT520629B1 (de) * 2018-05-22 2019-06-15 Sico Tech Gmbh Injektor aus Silizium für die Halbleiterindustrie
CN111471954B (zh) * 2020-04-13 2021-04-06 北京科技大学 一种在纯钛和钛合金表面原位合成共格Ti2N薄膜的方法
CN115404464A (zh) * 2022-09-23 2022-11-29 江苏微导纳米科技股份有限公司 沉积薄膜的方法和设备、薄膜以及太阳能电池

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2865791A (en) 1954-03-05 1958-12-23 Metallgesellschaft Ag Metal nitride coating process
US4279947A (en) 1975-11-25 1981-07-21 Motorola, Inc. Deposition of silicon nitride
GB1573154A (en) 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS5845177B2 (ja) 1979-03-09 1983-10-07 富士通株式会社 半導体表面絶縁膜の形成法
US4277320A (en) 1979-10-01 1981-07-07 Rockwell International Corporation Process for direct thermal nitridation of silicon semiconductor devices
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4402997A (en) 1982-05-17 1983-09-06 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer by purging deposition tube with oxygen
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4524718A (en) 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
US4428975A (en) 1983-01-28 1984-01-31 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer
US4803127A (en) 1983-02-25 1989-02-07 Liburdi Engineering Limited Vapor deposition of metal compound coating utilizing metal sub-halides and coated metal article
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4699805A (en) 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4834020A (en) 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
EP0332101B1 (en) 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
JPH0215067A (ja) 1988-07-04 1990-01-18 Hokuriku Seiyaku Co Ltd イソキノリンスルホンアミド誘導体
US5015330A (en) 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5214002A (en) 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
JPH04142079A (ja) * 1990-10-02 1992-05-15 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5316844A (en) 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
WO1992007525A1 (en) 1990-10-31 1992-05-14 Baxter International Inc. Close vascularization implant material
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JP2794499B2 (ja) 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5723382A (en) 1992-06-12 1998-03-03 Sandhu; Gurtej S. Method of making a low-resistance contact to silicon having a titanium silicide interface, an amorphous titanium nitride barrier layer and a conductive plug
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
US5381302A (en) 1993-04-02 1995-01-10 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3563819B2 (ja) 1995-03-28 2004-09-08 アネルバ株式会社 窒化チタン薄膜の作製方法及びその方法に使用される薄膜作製装置
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
US6161498A (en) 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3373990B2 (ja) 1995-10-30 2003-02-04 東京エレクトロン株式会社 成膜装置及びその方法
US6355656B1 (en) 1995-12-04 2002-03-12 Celgene Corporation Phenidate drug formulations having diminished abuse potential
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US6136654A (en) 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
JP3270730B2 (ja) 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5907792A (en) 1997-08-25 1999-05-25 Motorola,Inc. Method of forming a silicon nitride layer
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6136690A (en) 1998-02-13 2000-10-24 Micron Technology, Inc. In situ plasma pre-deposition wafer treatment in chemical vapor deposition technology for semiconductor integrated circuit applications
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
JP3854731B2 (ja) 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6210813B1 (en) 1998-09-02 2001-04-03 Micron Technology, Inc. Forming metal silicide resistant to subsequent thermal processing
KR100363083B1 (ko) 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
JP3754568B2 (ja) 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6180462B1 (en) 1999-06-07 2001-01-30 United Microelectronics Corp. Method of fabricating an analog integrated circuit with ESD protection
JP4192353B2 (ja) 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6271054B1 (en) 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6252295B1 (en) 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
JP3305301B2 (ja) 2000-08-02 2002-07-22 松下電器産業株式会社 電極構造体の形成方法及び半導体装置の製造方法
US6423201B1 (en) * 2000-08-23 2002-07-23 Applied Materials, Inc. Method of improving the adhesion of copper
JP5246985B2 (ja) * 2000-09-29 2013-07-24 東京エレクトロン株式会社 熱処理装置
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6503846B1 (en) 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
AU2003292678A1 (en) * 2002-12-27 2004-07-29 Adtec Plasma Technology Co., Ltd. Plasma generator, ozone generator, substrate processing apparatus, and method for manufacturing semiconductor device
US6908852B2 (en) * 2003-01-29 2005-06-21 Freescale Semiconductor, Inc. Method of forming an arc layer for a semiconductor device
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP4823690B2 (ja) * 2003-06-16 2011-11-24 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7091085B2 (en) * 2003-11-14 2006-08-15 Micron Technology, Inc. Reduced cell-to-cell shorting for memory arrays
US7030431B2 (en) * 2004-03-19 2006-04-18 Nanya Technology Corp. Metal gate with composite film stack
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20060234502A1 (en) * 2005-04-13 2006-10-19 Vishwanath Bhat Method of forming titanium nitride layers

Also Published As

Publication number Publication date
KR20080100793A (ko) 2008-11-19
US20080286981A1 (en) 2008-11-20
US7629256B2 (en) 2009-12-08
KR101480529B1 (ko) 2015-01-08
JP2009054988A (ja) 2009-03-12

Similar Documents

Publication Publication Date Title
JP5294694B2 (ja) シリコン及びチタン窒化物のインサイチュ蒸着
JP5075325B2 (ja) バッチリアクター内でのTiN膜の堆積
KR101848562B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101749398B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US8409988B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US7629267B2 (en) High stress nitride film and method for formation thereof
US7833906B2 (en) Titanium silicon nitride deposition
KR101088931B1 (ko) 간헐적 전구체 가스 플로우 프로세스를 이용한 금속 층형성 방법
JP4961381B2 (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
US20090035946A1 (en) In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090325391A1 (en) Ozone and teos process for silicon oxide deposition
JP5109299B2 (ja) 成膜方法
JPWO2016046909A1 (ja) 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
WO2008024566A2 (en) Overall defect reduction for pecvd films
JPH10144628A (ja) 薄膜の改良堆積法
KR101737215B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101134713B1 (ko) 순차 흐름 성막법을 이용한 금속층 성막 방법
WO2004007795A1 (ja) 半導体処理用の成膜方法
KR20230079221A (ko) 기판 처리 방법 및 기판 처리 장치
KR101462154B1 (ko) 텅스텐 박막 증착방법
KR20070004194A (ko) 막 형성 방법

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110428

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130611

R150 Certificate of patent or registration of utility model

Ref document number: 5294694

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250