JP5075325B2 - バッチリアクター内でのTiN膜の堆積 - Google Patents

バッチリアクター内でのTiN膜の堆積 Download PDF

Info

Publication number
JP5075325B2
JP5075325B2 JP2005155495A JP2005155495A JP5075325B2 JP 5075325 B2 JP5075325 B2 JP 5075325B2 JP 2005155495 A JP2005155495 A JP 2005155495A JP 2005155495 A JP2005155495 A JP 2005155495A JP 5075325 B2 JP5075325 B2 JP 5075325B2
Authority
JP
Japan
Prior art keywords
reaction chamber
precursor
titanium
flowing
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005155495A
Other languages
English (en)
Other versions
JP2006093653A (ja
Inventor
ハスペル アルベルト
スネイダース ゲルト−ジャン
バンデザンデ リーベ
ジェイ. デ ブランク マリナス
ゲラード バンクラス ラドコ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2006093653A publication Critical patent/JP2006093653A/ja
Application granted granted Critical
Publication of JP5075325B2 publication Critical patent/JP5075325B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Description

発明の分野
本発明は、概して、半導体の作製に関し、より詳細には、窒化チタン膜を形成することに関する。
関連技術の記載
低い抵抗率、優れた熱安定性及び優れた拡散バリア特性を含む様々な理由のため、集積回路の作製において、窒化チタン(TiN)について数多くの適用がなされている。例示的な適用としては、コンタクト層又はバリア層として、並びに、電気デバイス(例えば、トランジスタ)における電極としての使用が挙げられる。
しかしながら、TiNの特性は、プロセッシング及び堆積のパラメーターに密接に依存している。それゆえ、特定の適用に対する堆積されたTiNの適合性(suitability)及び適性(desirability)は、所望の特性(例えば、高い均一性及び低い抵抗率)を有するTiNを形成することができる堆積プロセスのアベイラビリティーに依存し得る。結果として、新しいTiN堆積プロセスの開発への研究は続いている。
例えば、ホットウォール炉内でのTiN膜の低圧化学蒸着法(LPCVD)は、N. Ramanujaらによって非特許文献1において最近記載された。しかしながら、Ramanujaらは、産業標準化された200mmおよび300mmのウェハーよりもむしろ100mmのウェハーを研究していたため、Ramanujaらの研究の適用範囲は限られている。堆積条件に対するTiN膜のセンシティビティーを考えると、産業サイズのウェハー(例えば、200mm又は300mmのウェハー)について、優れた均一性及び低い抵抗率を有するTiN膜を堆積し得るプロセスの必要性が依然として存在している。
許容されるTiN膜を形成し得ることに加え、TiN堆積プロセスの堆積温度が比較的低く、それゆえ他のプロセス及び構造を用いる堆積プロセスを統合するためのフレキシビリティーが高められることが望ましい。例えば、400〜500℃の範囲に堆積温度を低下させるにより、多層のアルミニウム又は銅のメタライゼーションとともに該膜を使用することが可能になる。
しかしながら、堆積温度における低下は、TiN膜中へのかなりの量の塩素の混入をもたらし、また、抵抗率の実質的な増大(これは、望ましくない)をもたらす。非特許文献2を参照のこと。膜の抵抗性及び塩素含有量を低減させるため、Hilmanは、単一ウェハー堆積プロセスに続く堆積後アニールを開示している。しかしながら、望ましくないことに、このようなプロセスは、更なるプロセス工程を必要とし、また、単一ウェハープロセッシングを用いることによるスループットを制限する。
Materials Letters, Vol.57(2002), pp.261−269 J.T.Hillman, Microelectronic Engineering, Vol.19(1992), pp375−378
したがって、優れた均一性及び低い抵抗率を有するTiN膜を堆積するための、経済的で比較的高いスループットのプロセスについての必要性が存在する。
発明の要旨
本発明の1つの局面に従って、窒化チタン膜を形成するための方法が提供される。この方法は、25又はそれより多い基板を収容し得る縦型炉の反応チャンバー内に複数の半導体基板を供給することを包含する。チタン前駆体は、時間的に分離されたパルスでチャンバー内にフローされ、そして、窒素前駆体は、チャンバー内にフローされる。
本発明の別の局面に従って、窒化チタン膜を堆積する方法が提供される。この方法は、基板を窒素前駆体及びチタン前駆体に暴露することによって、反応チャンバー内の基板上に窒化チタンを化学蒸着することを包含する。窒素前駆体及びチタン前駆体のうち一方は、時間的に間隔をあけたパルスでチャンバー内へフローされるが、窒素前駆体及びチタン前駆体のうち他方は、時間的に間隔をあけたパルス中及びパルス間にチャンバー内へ連続的にフローされる。
本発明の別の局面に従って、バッチリアクターが提供される。リアクターは、25又はそれより多い半導体基板を収容するよう構成された反応チャンバーを備える。反応チャンバーは、ガスインレットを有する。リアクターはまた、時間的に分離されたパルスでインレットを通り反応チャンバー内へ塩化チタンを送達するようプログラムされたガスデリバリーシステムを備える。
本発明の別の局面に従って、バッチリアクターが提供される。リアクターは、垂直に間隔をあけて配置された複数の半導体基板を収容するよう構成された垂直に延びる反応チャンバーを備える。チャンバーは、頂端部及び底端部を有する。リアクターは、また、チャンバー内に収容されたパージガスインジェクターを備える。パージガスインジェクターは、リアクターの底端部付近から上方へ延び、また、反応チャンバーの頂端部付近の反応チャンバーへの開口部を有する。パージガスインジェクターは、パージガスのためのフィード(feed)へ接続され、そして、パージガスインジェクターを通って開口部からフローされる実質的に全てのパージガスを排出するよう構成されている。少なくとも1つのリアクタントガスインジェクターが、反応チャンバー内に収容される。リアクタントガスインジェクターは、チャンバーの高さを実質的に超えて延びており、プロセスガスデリバリーシステムへ接続されている。プロセスガスデリバリーシステムは、2種のプロセスガスを反応チャンバーへ送達する(1種のプロセスガスは、少なくとも1つのインジェクターを通る)よう構成されている。リアクターはまた、反応チャンバーの底端部付近にガス排出部を備える。
本発明は、好ましい実施形態の詳細な説明及び添付の図面からよりよく理解されるであろう(これらは、本発明を説明することを意図したものであり、本発明を限定することを意図したものではない)。
好ましい実施形態の詳細な説明
リアクターの反応チャンバー内へ1種又はそれより多い前駆体を定期的に導入すること又はパルスする(pulsing)によって、均一で低い抵抗率のTiN膜が、バッチリアクター内に堆積され得ることが見出された。好ましくは、TiN膜は、安定なチタン及び窒素前駆体(即ち、ラジカル又はプラズマでない前駆体)を用いて形成される。より好ましくは、四塩化チタン(TiCl)及びアンモニア(NH)が、それぞれチタン及び窒素前駆体として使用される。両前駆体(例えば、TiCl及びNH)が反応チャンバー内へ交互にパルスされるか、或いは、一方の前駆体だけがパルスされて、他方の前駆体は連続的に反応チャンバー内へフローされる。幾つかの好ましい実施形態において、チタン前駆体(例えば、TiCl)が反応チャンバー内へパルスされて、窒素前駆体(例えば、NH)は該チャンバー内へ連続的にフローされる。
堆積は、有利に、約600℃より低い、更に好ましくは約500℃より低い、例えば、約450〜500℃の温度において行われ得る。従って、堆積は、多層のアルミニウム又は銅のメタライゼーションのような別のプロセスと両立させることができる。それに加え、この堆積は、産業基準の200mm及び300mmウェハー上へ膜を堆積するために有利に使用され得る。
好ましくは、堆積は、時間的に分離されたパルスで1種又はそれより多い前駆体を送達するよう構成又はプログラムされたバッチリアクター内で行われる。バッチリアクターは、好ましくは、基板の大きい方の面を水平に向けて、互いに垂直に分離された基板を収容する垂直に延びた反応チャンバーを有する。好ましくは、反応チャンバーは、25又はそれより多い、より好ましくは50又はそれより多い基板を収容する。図示される縦型炉(以下に議論される)は、100〜125の基板を支えるのに適している。
本発明の幾つかの好ましい実施形態において、垂直に間隔をあけて配置された基板(例えば、半導体ウェハー)のスタック(stack)は、バッチ反応チャンバー内に収容され、そして、チタン及び窒素前駆体(例えば、TiCl及びNH)の時間的に分離されたパルスは、TiNの原子層堆積において、交互に及び連続的に反応チャンバーへ供給される。TiNの堆積速度は、NHのガス分圧における変化に特に影響されやすいことが見出された。結果として、NHは、好ましくは、NHの均一な分布を可能にするよう、垂直に分散されたホールを有するガスインジェクターを用いてチャンバー内へフローされる。好ましくは、各リアクタントは、他のリアクタントの導入の前に、例えば、不活性ガスでパージすることによって又は反応チャンバーを排気すること(evacuating)によって取除かれる。各パルスの持続時間は、約60秒又はそれより少なく、更に好ましくは約30秒又はそれより少なく、最も好ましくは約15秒又はそれより少ない。
他の好ましい実施形態において、窒素前駆体(例えば、NH)が反応チャンバーへ連続的に供給され、チタン前駆体(例えば、TiCl)のみがパルス方式で供給される。有利に、このような堆積スキームは、TiCl及びNHの両方を交互にパルスするスキームに比べて、膜の品質を損なうことなくリアクタントパルス当りの堆積速度を高めることを可能にする。一方の前駆体を連続的にフローすることによって、典型的に、TiClパルス当り1より多くのTiN単層が堆積される。それに加え、チタン前駆体パルスが比較的短い場合、堆積されたチタン含有膜は、チタン前駆体パルスの間、窒素前駆体フローによって効果的に窒化される。このように、高品質、低抵抗率、且つ均一なTiN膜は、好ましくは約600℃より低い、更に好ましくは約500℃より低い(例えば、約450℃)比較的低い堆積温度で得られ得る。好ましくは、パルスの持続時間は、約60秒又はそれより少なく、より好ましくは約30秒又はそれより少なく、最も好ましくは約15秒又はそれより少ない。
有利に、高品質の窒化チタン膜が、好ましい実施形態に従って形成され得る。例えば、堆積された窒化チタン膜の厚さは、基板のバッチにおける基板間で約3nmより小さい値だけ異なり得、抵抗率は約5μOhm・cmより小さい値だけ異なり得る。さらに、約220μOhm・cm又はそれより小さい低抵抗率を有する膜が形成され得る。
図面が参照され、全体を通じて、同じ数が同じ部分を示している。
図1を参照すると、図示されるリアクター10は、互いに垂直に分離された基板40を収容し、効率的な加熱及び装填のシークエンス(heating and loading sequences)への利点を有する縦型炉リアクターである。適当な縦型炉の例は、オランダ国ビルトホーベンのASM international, N.V.より市販されているA400TM及びA412TM縦型炉である。しかしながら、縦型バッチ炉の内容について好ましい実施形態が示されているが、本書に開示される原理及び利点は、他のタイプのリアクター(その幾つかは、さらに以下に説明される)へも適用されることが理解されるであろう。
引き続き図1を参照すると、チューブ12は、縦型炉又はリアクター10の内部における反応チャンバー20を画定する。チューブ12の下端は、下部支持体表面14との接触によりチャンバー20を機械的に密閉するフランジ90で終わる。プロセスガスは、チャンバー20の頂部にあるガスインレット22を通じて反応チャンバー20へ供給され、チャンバー20の底部にあるガスアウトレット24を通じてチャンバー20から排気される。反応チャンバー20は、垂直に間隔をあけて配置された基板又はウェハー40のスタックを支えるウェハーボート30を収容する。ウェハーボート30は、好ましくはペデスタル42上に支持されるが、同様に、ドアプレート44上に支持されることも好ましい。
プロセスチューブフランジ90は、その上でのプロセスガスの凝縮を避けるため、高温で維持され得る。その高温はプロセスごとに異なってもよく、好ましくはプロセスガスの特性に基づき選択されることが理解されるであろう。フランジ90の温度の調節は、それに電気ヒーター及びウェハー冷却システムを備え付けることにより達成され得る。ウェハー冷却は、主に、ホットウェハー40のバッチを装填していない間、フランジ90の過熱を避けるのに望ましい。
リアクタント又は前駆体を反応チャンバー20へ供給するため、様々なシステムが使用される(図1)。例えば、前駆体がガスである場合、ガスソースからチャンバー20へ直接的にフローされ得る。ガスのフローのタイミング及び速度は、当該分野において知られているような、例えば、マスフローコントローラーによって制御され得る。
前駆体(例えば、TiCl)が液体として貯蔵される場合、バブラーが使用され、前駆体がガス形態でチャンバー20へ供給され得る。このような前駆体のフローのタイミング及び速度は、バブラー中の液体を通るキャリアガスのフローを制御することによって、及び、液体の温度を制御することによって調節され得る。キャリアガスによって運ばれる液体前駆体の量が温度上昇に伴って増大することが、理解されるであろう。
液体前駆体(例えば、TiCl)のフローを調節するための別の例示的なシステムが、図2において概略的に示される。液体前駆体は、コンテナ50内で貯蔵される。液体フローコントロールが使用され、エバポレーター又はベーパライザー60中への液体のフローを調節することによって、リアクター10へフローする液体前駆体の量が調節され得る。気化された後、十分に分離された前駆体のパルスが生成され、バルブ80を備えるバルブシステム70を用いて反応チャンバー20内へフローされ得る(図2の上部に示される)。好ましくは、バルブシステム70のバルブ80は高温で操作され、また、デッドボリュームが無いか又は最小限であり、異なるリアクタントのフローの間の良好な分離が得られる。このようなバルブシステムは、その全開示が本書において参考として援用される2004年6月9日に出願された米国特許出願第10/864,260号に更に詳細に記載される。
図3〜6を参照すると、リアクタントとしてTiCl及びNHを用い、前述された様々な堆積スキームの堆積結果が調べられている。堆積は、図1において概略的に示される、オランダ国ビルトホーベンのASM international, N.V.からのA400TM及びA412TM縦型炉内で行われた。200mmの直径を有するウェハー40は、炉10内のウェハーボート30上に支持された。
ウェハーボート30上でのウェハー間隔は、前駆体のパルススキームに依存して変えられた。TiCl及びNHが反応チャンバー20内へ交互にパルスされた実験については、200mm直径ウェハーの垂直間隔は、約4.76mmであり、ウェハーの合計数は、125であった。ウェハーボート30の頂部及び底部におけるウェハー40が概して更なるプロセッシングに使用されないことは、理解されるであろう。むしろ、それらは、反応チャンバー20の両極端における次善の堆積結果であるゆえに、テストのために使用されてもよく及び/又はさらに加工されなくてもよい。このように、合計125のウェハーうち、代表的に100ウェハーが、集積回路の完成のためにさらにプロセッシングされる「プロダクトウェハー」である。
一方の前駆体がパルスされるとともに他方の前駆体の連続的なフローが維持される実験について、200mmウェハー40の間隔は、両方の前駆体が交互にパルスされる実験における間隔の2倍大きかった。それゆえ、間隔は約9.54mmであった。これは、63ウェハーの合計装填サイズ及び50ウェハープロダクトの装填サイズをもたらした。
幾つかの実験において、バブラーが使用され、TiCl蒸気が反応チャンバー20へ送達された。TiCl蒸気の反応チャンバー20へのフローは、インレット22(図1)へ接続されたTiClコンテナ(示されない)の温度を制御することによって制御された。250sccm Nキャリアガスのフローは、TiClコンテナを通じてバブリングされた。ほとんどの実験において、TiClコンテナは、約28℃に制御された。他の実験において、エバポレーター60を通じて液体TiClのフローを制御するため及びTiClをパルスするため、図2に概略的に示されるシステムが使用された。
前述されるように、プロセッシングの間、プロセスチューブフランジ90(図1)は、好ましくは120℃を超える、好ましくは約180〜200℃の高温で維持され、フランジ90上の物質の凝縮が避けられ得る。
両方の前駆体が交互にパルスされる原子層堆積実験において、パルスのシークエンス及びタイミングは、以下の通りである:
・TiClパルス 15sec.
・Nパージ 17sec./5slm
・NHパルス 30sec./1slm
・Nパージ 17sec./5slm
サイクル時間は79秒であり、合計レシピ時間は18時間30分であった。
堆積が起こらないオーバーヘッドがレシピ時間の1時間を占め、堆積時間は17時間30分であった。交互の前駆体フローが行われることにより、合計795サイクルの堆積が行われた。堆積は、450℃及び600℃の基板温度で行われた。450℃の堆積温度にて、サイクルごとに約0.029nmのTiNが堆積され、結果的に約23nmの堆積膜厚が得られた。とりわけ、サイクルごとの堆積厚は、1Å/サイクル(0.1nm/サイクル)より小さい値であり、これは、原子層堆積(ALD)プロセスを代表する。
厚さの結果が図3において示され、抵抗率の結果が図4において示される。有利に、より低い450℃堆積温度において、ウェハーを横切る平均膜厚は、ウェハーのバッチの異なるウェハー間で約3nmより小さい値だけ異なり、ウェハーごとに非常に均一であることが見出された。この温度において、膜の平均抵抗性は、また、有利に、バッチにおける異なるウェハー間で約5μOhm・cmより小さい値だけ異なり、均一であることが見出された。
他の実験において、NHの連続的フローが反応チャンバーへ供給され且つTiClがパルスされるパルスCVDプロセス運転が行われた。図5は、チューブ圧、フロー速度、及び各前駆体についてパルスタイミングを示す。堆積温度は450℃であり、TiClバブラー温度は28℃であり、TiClパルス間の時間は4分であった。パルスの数は、合計TiCl暴露時間が15分になるよう選択された。従って、60秒のTiClパルス時間については、パルスの合計数は15であり、30秒のパルス時間については、パルスの合計数は30であり、15秒のパルス時間については、パルスの合計数は60であった。NHフローは、プロセッシングの間、約0.2slmで一定であった。
図5の堆積スキームについて、図6は、膜厚及び抵抗率に及ぼすパルス時間の影響を示している。合計TiCl暴露時間が変化しない場合、より長いパルス時間は、堆積される膜の厚さを増大するか、あるいは堆積される膜の厚さに影響を与えないことが予測されるが、予期せぬことに、約30秒より長いパルス時間が実際に約23.5nm〜約23nmの平均膜厚における減少をもたらすことが見出された。さらに予期せぬことに、堆積膜の平均抵抗性は、強くパルス時間に依存している。特に、膜抵抗性は、約15秒のTiClパルス時間に対する約220μOhm・cmから、約60秒のTiClパルス時間に対する約520μOhm・cmまで増大した。このように、より短いパルス時間は、有利に、低減された抵抗率(例えば、約220μOhm・cm又はそれより小さい値)を有するTiN膜の堆積を可能にする。
それに加えて、サイクル時間は、所望されるTiN膜抵抗率を与えるよう、選択され得る。例えば、約520μOhm・cm〜約220μOhm・cmまでの抵抗率は、TiClパルス時間を約15秒〜約60秒の間で適当に調整することにより達成され得、或いは、プロセスガスの各サイクルの時間が約1分〜約10分の間で調整され得る。図5の例示的プロセスにおいて、サイクル時間は、約5分間(60秒のTiClパルス時間+TiClパルス間の4分間)であった。
上述したように、プロセスガスは、様々な方法によりチャンバー20へ導入され得る。例えば、図1に示されるリアクターにおいて、全てのガスは、頂部インレット22を介して、頂部でリアクター10の内部20へ導入され、そして、排気部24を介して、リアクター10の底部で排気される。
他の実施形態において、リアクターへのプロセスガスの導入のためのマルチプルホールインジェクターを用いることによって、チューブの長さに渡って、プロセスガスのより均一な分布が達成され得る。適当なマルチプルホールインジェクターは、本書においてそれらの全開示が援用される2004年6月8日に発行された米国特許第6,746,240号、及び、米国特許出願公報2003/0111013 A1において開示される。より間隔の狭い(less spacious)、また、円筒状のマルチプルホールインジェクターが使用され得る。このようなインジェクターは、例えば、約25mm直径及び約1mm直径のホールを有し得る。幾つかの好ましい実施形態において、マルチプルホールインジェクターは、好ましくは、反応チャンバー20の下端及び上方へ向かうポイントにおいて、フランジ90の上又は下に設置される。
しかしながら、チャンバー20の高さに至る部分までしか延びないインジェクターを用いると、反応チャンバー20の頂部が効果的にパージされないかも知れないので、マルチプルホールインジェクターは、好ましくは、パージガスを導入するためには使用されない。
好ましくは、パージガスは、排出端と反対側のチャンバー端でチャンバー20へ導入され、それにより、パージガスは、入ってから排出されるまで、反応チャンバー20の全領域中を流れる。
別の例示的なリアクター構成が図7において示される。このデザインにおいて、プロセスチューブ100は、頂部で閉じられている。このデザインの利点は、プロセスチューブ100が構造上よりシンプルであり、頂部インレット22(図1)の気密性(gas−tightness)及び熱の隔離(thermal isolation)を伴う最終的な問題が防止され得ることである。この構成における全てのガスは、ガスインジェクター110(2つが示される)を通じて導入される。好ましくは、分離されたインジェクター100は、各々のガスについて使用される。TiCl及びNHを用いるTiN堆積の場合、1つのインジェクター110は、各々のプロセスガスについて使用される。これらのインジェクター110は、好ましくは、前述されたように、チューブ100の高さにわたり分布するホールを有するマルチプルホールガスインジェクターである。
更なるインジェクター110は、パージガス(好ましくは窒素ガスのような不活性ガス)のために使用され得る。パージガスのためのインジェクター110は、好ましくは、頂部に開口端を有し且つその側壁にガス放出ホールを有さないチューブであり、その結果全てのパージガスが反応チャンバー120の頂部で放出される。図8は、垂直に延びる3つのインジェクター110a、110b及び110cを有するリアクター10を図示する。インジェクター110a、110b及び110cは、それぞれ、1又はそれ以上のガスフィードへ接続するためのインレット140a、140b及び140cを有する。インジェクター110bは、頂端部112で開き、パージガスを、リアクター10を通して下方にフローさせ、リアクター10の底部にある排出部24から排出する。他の実施形態において、排出部24は、反応チャンバー120の頂部に存在し得、そしてパージガスは、反応チャンバー120の底部で放出され得る。なお、別の実施形態において、外部のプロセスチューブ及び内部のライナーを備える反応チャンバー構成が使用され得る。ガスは、チャンバーの頂部へ向かって、ライナーの内部で上方向にフローし、また、ライナーの外面とプロセスチューブの内面との間のスペース内で排出部へ向かって下方向にフローする。マルチプルホールインジェクターは、ライナー内に設置され、そしてパージガスインジェクターは必要でなくてもよい。このような反応チャンバー構成の例は、その全開示が本書において援用される米国特許出願公報第2003/0111013 A1において開示される。
有利に、このようなマルチプルホールガスインジェクターを用いると、反応チャンバーへのガス分布の均一性が高められ、それにより堆積産物の均一性が高められる。
例えば、TiCl及びNHを反応チャンバー中へ連続的にフローすることによりTiN膜が連続的なCVDによって形成された実験において、TiN膜の堆積速度は、TiClの分圧によって大きくは変動しないことが見出された。一方、堆積速度は、NHの分圧にほぼ比例するようであった。均一な膜を堆積することについて、これらの実験は、反応チャンバー内におけるNHの導入及び分布の方法(NHがチャンバー内へパルスされるか否か、例えば、NHがALD又はパルスCVD法(pulsed CVD process)において使用されるか否か)が、TiClよりも重要であることが示唆された。結果として、NHは、好ましくは、チャンバー内へのガスの分布の均一性を最大にする方法において、反応チャンバー内へ放出される。NHは、好ましくは、垂直に分布される方法において、例えば、前述したような垂直に互いに距離を隔てて配置された複数のホールを有するマルチプルホールインジェクターを通じて、縦型炉反応チャンバー内へ放出される。インジェクターは、好ましくは、チャンバーの高さを実質的に超えて延び、その結果インジェクターのホールが基板によって占有される垂直の高さに及ぶ。TiClは、また、マルチプルホールインジェクターを用いて放出され得るか、或いは、これは、反応チャンバー(図1)のフィードエンド(feed end)で放出され得る。
実施例
図7及び8のリアクターハードウェア配置並びに図2に従うTiCl液体フローコントロール及びエバポレーションユニットを用いて、TiN膜のパルスCVDのための例示的プロセスを以下に示す。0.35g/minTiClのエバポレーターへの液体フローが適用された。エバポレーターの上流で、200sccmNのフローが液体に加えられ、そしてエバポレーターの下流で100sccmNの更なるフローがエバポレーションされたTiClへ加えられた。TiClパルス時間は1分であった。TiCl/N混合物は、1mm又はそれより小さい値の直径を有する垂直に間隔をあけて配置された30のホールを有するマルチプルホールインジェクターを通じて、反応チャンバーへ放出された。TiClパルスの間、187sccm NH及び200sccmNの混合物が、同様のデザインを有する第2マルチプルホールインジェクターを通じて反応チャンバーへ放出された。TiClパルスの後、もとのNHインジェクターを通じて、NH及びNフローを残したまま、1slm Nのパージを30秒間TiClインジェクターへ適用した。次いで、NHフラッシュ工程において、2分間NHフローを1slmへ増大した。NHフラッシュ工程の後、NHフローを187sccmに再び減少させ、そしてもう一度、TiClを30秒間1slmでパージした。この後、TiClパルスを用いてサイクルを再び開始した。全工程の間、100sccmNパージフローが反応チャンバーの頂端部に近接するパージガスインジェクター開口部を通して放出された。TiClパルスの間の反応チャンバー内部の圧力は、約500mTorrであり、反応チャンバー温度は約500℃であった。16サイクルを通じて、厚さ21nm及び185μΩ・cmの抵抗率を有する膜が堆積された。
図1、2、7及び8のハードウェア構成は、パルスCVD及びALDの内容において記載されているが、低圧化学蒸着法(LPCVD)における使用にも同様に適していることは、理解されるであろう。さらに、このようなハードウェア構成はまた、前駆体としてトリメチルアルミニウム(TMA)及びHOを用いるAl堆積、並びに、前駆体として塩化ハフニウム及び水を用いる酸化ハフニウム(HfO)の堆積のように、他の堆積化学種についても利用され得る。
さらに、図示されたリアクターは、垂直に分離された方法で基板を支えているように示されているが、本書に記載される方法は、任意のバッチリアクター(例えば、水平方向に分離された方法において基板を支えるリアクター)に対しても適用され得る。
両方のリアクタントがパルスされる場合、両方のリアクタントのパルス時間が同じであるか、又は各々が異なるパルス時間を有し得ることが理解されるであろう。さらに、一方のリアクタントがパルスされている場合でも、両方のリアクタントがパルスされている場合でも、パルスの時間は堆積を通じて同じままであるか、或いは、堆積の過程を通じて変化し得る。
従って、本発明の範囲を逸脱することなく、前述の方法及び構造に種々の他の省略、追加及び改変が行われ得ることが当業者によって理解されるであろう。全てのこのような改変及び変更は、添付の特許請求の範囲によって定義されるような本発明の範囲内にあることが意図される。
図1は、本発明の好ましい実施形態で使用される例示的な炉を示す。 図2は、本発明の好ましい実施形態で使用される例示的な液体デリバリーシステムを示す。 図3は、本発明の1つの好ましい実施形態に従って、2つの異なる温度で加工される半導体基板のバッチについて、異なる垂直基板位置における膜厚の結果を示すグラフである。 図4は、図3の半導体基板について、異なる垂直基板位置における膜の抵抗率の結果を示すグラフである。 図5は、本発明の別の実施形態に従って、リアクタントのフローのタイミングを示すグラフである。 図6は、反応チャンバーへの各TiClパルスについて、TiClのフローの時間の関数として、膜厚及び抵抗率を示すグラフである。 図7は、本発明の好ましい実施形態で使用される別の例示的な炉を示す。 図8は、本発明の好ましい実施形態で使用される更なる例示的な炉を示す。

Claims (24)

  1. 縦型炉であり、25又はそれより多い半導体基板を収容することができる反応チャンバー内に複数の前記半導体基板を供給することと、
    複数の化学気相堆積サイクルを前記半導体基板に施すことにより前記半導体基板上に500℃よりも低い堆積温度で窒化チタン膜を堆積することと、を含み、
    各化学気相堆積サイクルは、
    前記反応チャンバー内にチタン前駆体をフローすることと、
    前記反応チャンバー内に前記チタン前駆体をフローしている間に前記反応チャンバー内に窒素前駆体をフローすることと、
    前記チタン前駆体のフローを停止することと、
    その後、前記反応チャンバー内に前記チタン前駆体をフローしている間における前記窒素前駆体のフローレートよりも高いフローレートで前記反応チャンバー内に前記窒素前駆体をフローすることと
    を包含
    複数の前記化学気相堆積サイクルを行うことにより形成された前記窒化チタン膜は、前記複数の基板の各基板間で5μOhm・cmよりも小さい値だけ異なる抵抗率を有する、窒化チタン膜を形成する方法。
  2. 前記窒素前駆体をフローすることは、25又はそれより多い前記半導体基板の高さに実質的に沿って垂直に配置された複数のポイントから、前記窒素前駆体を導入することを包含する、請求項1に記載の方法。
  3. 垂直に配置された複数の前記ポイントは、ガスインジェクターのホールである、請求項2に記載の方法。
  4. 前記チタン前駆体をフローすることは、前記反応チャンバーの一端に近接する開口部のみを有するインレットから前記チタン前駆体を導入することを包含する、請求項1に記載の方法。
  5. 前記縦型炉は、50又はそれより多い前記半導体基板を収容することができる、請求項1に記載の方法。
  6. 前記縦型炉は、100又はそれより多い前記半導体基板を収容することができる、請求項5に記載の方法。
  7. 前記チタン前駆体及び前記窒素前駆体は非ラジカル種である、請求項1に記載の方法。
  8. 前記チタン前駆体をフローすること及び前記窒素前駆体をフローすることは、複数の前記半導体基板の各々に、複数の前記半導体基板の間で約3nmより小さい値だけ異なる厚さを有する窒化チタン層を形成する、請求項1に記載の方法。
  9. 前記チタン前駆体をフローすることは、各半導体基板の上に窒化チタンの1を超える単層を堆積することを包含する、請求項1に記載の方法。
  10. 前記窒素前駆体をフローすることは、前記チタン前駆体のパルス間及びパルス中に、前記反応チャンバー内に前記窒素前駆体を連続的にフローすることを包含する、請求項に記載の方法。
  11. 前記チタン前駆体は四塩化チタンである、請求項1に記載の方法。
  12. 前記チタン前駆体をフローすることは、四塩化チタンを含むバブラーを通じて不活性ガスをフローすることを包含する、請求項11に記載の方法。
  13. 前記チタン前駆体をフローすることは、リキッドベーパライザー中で四塩化チタンを気化させることを包含する、請求項11に記載の方法。
  14. 前記窒素前駆体はアンモニアである、請求項11に記載の方法。
  15. 前記チタン前駆体をフローすること及び前記窒素前駆体をフローすることは、前記反応チャンバーの一方の垂直端に近接するインレットを通じて前記チタン前駆体及び前記窒素前駆体を導入すること、並びに、前記反応チャンバーの反対側の垂直端から外に前記チタン前駆体及び前記窒素前駆体を排出することを包含する、請求項1に記載の方法。
  16. 前記半導体基板は200mmのウェハーである、請求項1に記載の方法。
  17. 前記半導体基板は300mmのウェハーである、請求項1に記載の方法。
  18. 25又はそれより多い半導体基板を収容するよう形成されている反応チャンバーと、
    前記反応チャンバーへのガスインレットと、
    500℃よりも低い堆積温度で複数の化学気相堆積サイクルを行うようにプログラムされたガスデリバリーシステムとを包含するバッチリアクターであって、
    各化学気相堆積サイクルは、
    前記反応チャンバー内にチタン前駆体をフローし、
    前記反応チャンバー内に前記チタン前駆体をフローしている間に前記反応チャンバー内に窒素前駆体をフローし、
    前記チタン前駆体のフローを停止し、
    その後、前記反応チャンバー内に前記チタン前駆体をフローしている間における前記窒素前駆体のフローレートよりも高いフローレートで前記反応チャンバー内に前記窒素前駆体をフローし、
    複数の前記化学気相堆積サイクルを行うことにより形成された前記窒化チタン膜は、前記複数の基板の各基板間で5μOhm・cmよりも小さい値だけ異なる抵抗率を有する、バッチリアクター。
  19. 前記ガスデリバリーシステムは、前記反応チャンバー内へアンモニアの一定のフローを送達するよう構成されている、請求項18に記載のバッチリアクター。
  20. 前記ガスデリバリーシステムは、時間的に分離されたパルスで前記反応チャンバー内へアンモニアフローを送達するよう構成されている、請求項18に記載のバッチリアクター。
  21. 前記ガスデリバリーシステムは、垂直に間隔をあけて配置された複数のガスインレットを備えるガスインジェクターを通じて前記反応チャンバー内へアンモニアを送達するよう構成されている、請求項18に記載のバッチリアクター。
  22. 前記ガスデリバリーシステムはバブラーを備える、請求項18に記載のバッチリアクター。
  23. 前記ガスデリバリーシステムはガスベーパライザーを備える、請求項18に記載のバッチリアクター。
  24. 前記反応チャンバーは100又はそれより多い前記半導体基板を収容するよう構成されている、請求項18に記載のバッチリアクター。
JP2005155495A 2004-09-22 2005-05-27 バッチリアクター内でのTiN膜の堆積 Active JP5075325B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US61233204P 2004-09-22 2004-09-22
US60/612,332 2004-09-22
US11/096,861 2005-03-31
US11/096,861 US7966969B2 (en) 2004-09-22 2005-03-31 Deposition of TiN films in a batch reactor

Publications (2)

Publication Number Publication Date
JP2006093653A JP2006093653A (ja) 2006-04-06
JP5075325B2 true JP5075325B2 (ja) 2012-11-21

Family

ID=35517214

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005155495A Active JP5075325B2 (ja) 2004-09-22 2005-05-27 バッチリアクター内でのTiN膜の堆積

Country Status (5)

Country Link
US (2) US7966969B2 (ja)
EP (1) EP1641031B1 (ja)
JP (1) JP5075325B2 (ja)
KR (1) KR100805781B1 (ja)
TW (1) TWI354030B (ja)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7195544B2 (en) * 2004-03-23 2007-03-27 Cabot Microelectronics Corporation CMP porous pad with component-filled pores
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
KR100642646B1 (ko) * 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
KR100642763B1 (ko) * 2005-09-06 2006-11-10 삼성전자주식회사 반도체 소자의 TiN 막 구조, 그 제조 방법, TiN 막구조를 채용하는 반도체 소자 및 그 제조방법
US7557047B2 (en) * 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
KR100794657B1 (ko) * 2006-06-28 2008-01-14 삼성전자주식회사 반도체 장치의 배리어 금속막 형성 방법
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
JP5208128B2 (ja) * 2007-12-04 2013-06-12 フルテック株式会社 加圧ガスパルス制御処理方法及び加圧ガスパルス制御処理装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US20110318505A1 (en) * 2008-12-09 2011-12-29 Akiko Yamamoto Method for forming tantalum nitride film and film-forming apparatus for forming the same
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
KR101712040B1 (ko) * 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. 유전체 막들의 부동태화를 위한 공정들
US8652573B2 (en) 2010-07-15 2014-02-18 Asm International N.V. Method of CVD-depositing a film having a substantially uniform film thickness
US8937022B2 (en) 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8524322B2 (en) 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
JP2012193445A (ja) 2011-02-28 2012-10-11 Tokyo Electron Ltd 窒化チタン膜の形成方法、窒化チタン膜の形成装置及びプログラム
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
EP2757423B1 (fr) * 2013-01-17 2018-07-11 Omega SA Pièce pour mouvement d'horlogerie
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
JP6435967B2 (ja) * 2015-03-31 2018-12-12 東京エレクトロン株式会社 縦型熱処理装置
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
KR102227909B1 (ko) 2019-07-16 2021-03-16 주식회사 유진테크 배치식 기판처리장치 및 그 운용방법
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
CN113046723A (zh) * 2021-03-12 2021-06-29 四川大学 中温化学气相沉积氮化钛涂层的装置及方法

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US495218A (en) * 1893-04-11 Elastic tire
US2865791A (en) 1954-03-05 1958-12-23 Metallgesellschaft Ag Metal nitride coating process
US4279947A (en) 1975-11-25 1981-07-21 Motorola, Inc. Deposition of silicon nitride
GB1573154A (en) 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS5845177B2 (ja) 1979-03-09 1983-10-07 富士通株式会社 半導体表面絶縁膜の形成法
US4277320A (en) 1979-10-01 1981-07-07 Rockwell International Corporation Process for direct thermal nitridation of silicon semiconductor devices
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4402997A (en) 1982-05-17 1983-09-06 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer by purging deposition tube with oxygen
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4524718A (en) 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS6043485B2 (ja) 1982-12-08 1985-09-28 豊田株式会社 高速道路の安全対策装置車
US4428975A (en) 1983-01-28 1984-01-31 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer
US4803127A (en) 1983-02-25 1989-02-07 Liburdi Engineering Limited Vapor deposition of metal compound coating utilizing metal sub-halides and coated metal article
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
DE3309766A1 (de) * 1983-03-18 1984-09-20 Bayer Ag, 5090 Leverkusen Aromatische polyester und polyestercarbonate mit verbesserter verarbeitbarkeit,verfahren zu ihrer herstellung und ihre verwendung als formmassen
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS60245233A (ja) * 1984-05-21 1985-12-05 Nec Corp 半導体装置の製造方法
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
JP2592238B2 (ja) 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
US4699805A (en) 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPH0626188B2 (ja) * 1987-09-24 1994-04-06 日本電気株式会社 気相成長装置
US4834020A (en) 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
EP0332101B1 (en) 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
DK0507933T3 (da) 1990-10-31 1996-06-17 Baxter Int Nær-vaskulariserende implantationsmateriale
SG63578A1 (en) 1990-11-16 1999-03-30 Seiko Epson Corp Thin film semiconductor device process for fabricating the same and silicon film
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JP2794499B2 (ja) 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3200863B2 (ja) 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) * 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JPH0562911A (ja) 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
JPH07249618A (ja) 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3563819B2 (ja) * 1995-03-28 2004-09-08 アネルバ株式会社 窒化チタン薄膜の作製方法及びその方法に使用される薄膜作製装置
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US6161498A (en) 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3373990B2 (ja) * 1995-10-30 2003-02-04 東京エレクトロン株式会社 成膜装置及びその方法
US6355656B1 (en) 1995-12-04 2002-03-12 Celgene Corporation Phenidate drug formulations having diminished abuse potential
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US6136654A (en) 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
JP2973971B2 (ja) * 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5907792A (en) 1997-08-25 1999-05-25 Motorola,Inc. Method of forming a silicon nitride layer
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
JP3854731B2 (ja) 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6210813B1 (en) 1998-09-02 2001-04-03 Micron Technology, Inc. Forming metal silicide resistant to subsequent thermal processing
KR100363083B1 (ko) 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
JP3754568B2 (ja) 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
DE60042045D1 (de) 1999-06-22 2009-06-04 Panasonic Corp Heteroübergangsbipolartransistoren und entsprechende Herstellungsverfahren
JP4192353B2 (ja) 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6337289B1 (en) 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6436820B1 (en) 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
CN1365515A (zh) 2000-03-23 2002-08-21 松下电器产业株式会社 半导体晶体的制造方法
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
US6271054B1 (en) 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6252295B1 (en) 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6455395B1 (en) 2000-06-30 2002-09-24 Integrated Materials, Inc. Method of fabricating silicon structures including fixtures for supporting wafers
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6991684B2 (en) * 2000-09-29 2006-01-31 Tokyo Electron Limited Heat-treating apparatus and heat-treating method
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP3447707B2 (ja) * 2001-03-02 2003-09-16 三菱電機株式会社 熱処理装置およびこれを用いた熱処理方法
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6503846B1 (en) 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
WO2003008663A1 (en) 2001-07-16 2003-01-30 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
JP4178776B2 (ja) 2001-09-03 2008-11-12 東京エレクトロン株式会社 成膜方法
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
JP4074461B2 (ja) 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US7220312B2 (en) 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
KR100829327B1 (ko) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4393071B2 (ja) 2002-07-12 2010-01-06 東京エレクトロン株式会社 成膜方法
WO2004008493A2 (en) 2002-07-15 2004-01-22 Aviza Technology, Inc. Method and apparatus for supporting semiconductor wafers
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7192866B2 (en) * 2002-12-19 2007-03-20 Sharp Laboratories Of America, Inc. Source alternating MOCVD processes to deposit tungsten nitride thin films as barrier layers for MOCVD copper interconnects
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
WO2004061929A1 (ja) * 2002-12-27 2004-07-22 Hitachi Kokusai Electric Inc. プラズマ発生装置、オゾン発生装置、基板処理装置、及び半導体デバイスの製造方法
JP4214795B2 (ja) 2003-02-20 2009-01-28 東京エレクトロン株式会社 成膜方法
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP4047766B2 (ja) * 2003-05-21 2008-02-13 エルピーダメモリ株式会社 半導体装置の製造方法
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7691757B2 (en) * 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films

Also Published As

Publication number Publication date
US20060060137A1 (en) 2006-03-23
US20070077775A1 (en) 2007-04-05
TW200610835A (en) 2006-04-01
US7732350B2 (en) 2010-06-08
EP1641031B1 (en) 2019-05-08
KR20060092801A (ko) 2006-08-23
TWI354030B (en) 2011-12-11
US7966969B2 (en) 2011-06-28
EP1641031A2 (en) 2006-03-29
KR100805781B1 (ko) 2008-02-21
EP1641031A3 (en) 2007-09-05
JP2006093653A (ja) 2006-04-06

Similar Documents

Publication Publication Date Title
JP5075325B2 (ja) バッチリアクター内でのTiN膜の堆積
JP5294694B2 (ja) シリコン及びチタン窒化物のインサイチュ蒸着
US9238257B2 (en) Method of manufacturing semiconductor device, cleaning method, and substrate processing apparatus
KR101573733B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US9472398B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US8235001B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
US20090035946A1 (en) In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7833906B2 (en) Titanium silicon nitride deposition
US7884034B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR101737215B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20150110419A (ko) 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
KR20100127192A (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
KR100606398B1 (ko) 반도체 처리용의 성막 방법
WO2007007680A1 (ja) 成膜方法及び成膜装置
US7960278B2 (en) Method of film deposition
JP2004047634A (ja) 成膜方法及び成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061220

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091211

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110823

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120706

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120713

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120814

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120827

R150 Certificate of patent or registration of utility model

Ref document number: 5075325

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150831

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250