TWI354030B - Deposition of tin films in a batch reactor - Google Patents

Deposition of tin films in a batch reactor Download PDF

Info

Publication number
TWI354030B
TWI354030B TW094114999A TW94114999A TWI354030B TW I354030 B TWI354030 B TW I354030B TW 094114999 A TW094114999 A TW 094114999A TW 94114999 A TW94114999 A TW 94114999A TW I354030 B TWI354030 B TW I354030B
Authority
TW
Taiwan
Prior art keywords
precursor
titanium
reaction chamber
titanium nitride
nitride film
Prior art date
Application number
TW094114999A
Other languages
English (en)
Other versions
TW200610835A (en
Inventor
Albert Hasper
Gert-Jan Snijders
Lieve Vandezande
Blank Marinus J De
Radko Gerard Bankras
Original Assignee
Asm Int
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Int filed Critical Asm Int
Publication of TW200610835A publication Critical patent/TW200610835A/zh
Application granted granted Critical
Publication of TWI354030B publication Critical patent/TWI354030B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

I6877pif.doc 1354030 九、發明說明: 【發明所屬之技術領域】 這個發明通常與半㈣製造有關,更特職 化鈦薄膜有關。 ,、/战亂 【先前技術】 由於各種· ’包括低電阻率’好的熱敎性及 擴散阻擔性質,在積體電路製造中有許多氮化欽(TiN)的應
用。典型的應用包括使用它作為接觸窗或阻擋層,以及電 子儀器中的電極,如電晶體。 然而氮化欽的性質’與加卫和沈積參數有緊密的關 係。因此,沈積的氮化鈦對一個特定應用的合適性及需求 性取決於是㈣所需要氮化鈦沈積製程,製程以形成具有 所希望的性質的氮化鈦’例如高均勻性和低電阻率的性 質。因此’新的氮化鈦沈積製程的研究開發不斷地在進行 中。 例如,最近由N. Ram_ja等人在驗㈣业Letters第 57卷(細2) Ml-·頁中所描述的熱牆爐中的氣化鈦薄膜 的低壓化學氣相沈積(LPCVD)。然而“—a等人能達到 的範圍疋有限制的,因為Ramanuja 1Q() $ 米晶圓,並非工業標準200毫米(mm)以及3〇〇毫米(mm) 晶圓。由已知氮化鈦薄膜對沈積條件的靈敏度,仍然需要 -個能夠沈積具有好的均勻性和低電阻率,而且符合工業 規格的氣化㈣膜製規格諸如2⑼毫米(職) 或300毫米(mm)晶圓。 16877pif.a〇c 除了能形成可接受的氮化鈦薄膜外,還需要氮化鈦沈 積製程的沈積溫度是相對較低的,從而增加沈積製程與其 他製程和結構相整合的靈活性。例如,減少沈積溫度到 400-500 C範圍,以允許薄膜與多層鋁或銅金屬化一起被使 用。 而已經發現,沈積溫度的降低會在氮化鈦薄膜中產 生大I的氣,導致電阻率大幅度増加,這是不樂見的。見 J.T.Hillman,微電子工程第 19卷(1992),375 378 頁。為了 減〉、電阻率和薄膜的氣含量,Hilman揭示了 一個單晶圓的 沈積裝私,其伴隨著沈積後的熱處理。然而不樂見的是, ,樣的一個製程要求一個附加的程序,而且由於使用單一 晶圓加工,因此限制了生產量。 因此,需要一個經濟的、相對高生產量的製程以沈積 具有好的均勻性和低電阻率的氮化鈦薄膜,。 【發明内容】 依照本發明的一個特徵,提供了一個形成氮化鈦薄祺 的方法1方法包括:在一個能容納25或更多基底的垂直爐 的反應至中,提供數個半導體基底。鈦的前驅物(Precursor) 在依時間分開的脈衝中流入反應室内,氣的前驅物流入反 應室内。 照本發明的另一個特徵,提供一個沈積氮化鈦薄膜 的製私。此製程包括:在反應室中化學氣相沈積氮化鈦於 基底上’它是通過使基底暴露於氮的前驅物和鈦的前驅 物。在依時間隔開的脈衝中,氮的前驅物和鈦的前驅物其 16877pif.doc 1354030 中之一流入反應室内,而氮的前驅物和鈦的前驅物兩者的 另一個在依時間隔開的脈衝中和脈衝之間連續地流入反應 室内。 一 依照本發明的另一個特徵,提供一批次反應器。此批 次反應器包括一個反應室,它能容納25個或更多半導體基 底。反應室有一個氣體進口。反應器也包括一個氣體傳輸 系統’匕疋用程式化控制四氯化鈦的傳輸,在依時間分開 的脈衝中,四氯化鈦通過氣體進口進入反應室内。 依照本發明的另-個特徵,提供一批次反應器。此批 次反應器包含-垂直延伸的反應室,它被配置成能容 個垂直間關辭導體絲。反應室有—_端和一個底 端。反應器运包含-個在反應室内的淨化氣體喷射器 化氣體喷射驗接近批次反應器的底端向上延伸,並 近反應室_端’具有-個通向反應室㈣^淨化氣體 噴射器被連制-個供給純域體邮置上,並且 ΐΐΓ化=通過淨化氣體噴射11從開口處排除。在反 Μ至中至乂今納一個反應物氣體噴射器。反庫4 射器大致在反應室的-個高度之± ,。:'乳體贺 個加工氣體的輸送系統。加工氣體輸統 二種加工氣體給反應f,—種加工a 被配置成運送 物氣體喷射ϋ。批次反應H還包含接$ =過一個反應 排氣裝置。 反應室底端的氣體 【實施方式】 已經發現,通過週期地引入,或 次脈衝—種或多種前驅 16877pif.doc 物進入反應器的反應室内,能在一批次反應器中沈積均勻 的低電阻率的氮化鈦薄膜。較佳的是,使用穩定的鈦和氮 的前驅物形成氮化鈦薄膜,即前驅物不是基(Radicals)或電 漿。較佳的是,四氣化鈦(TiCI4)及氨(NH3)被分別作為鈦 和氮的前驅物使用。兩種前驅物[如四氯化鈦(TiCI4)和氨 (NH3)]被交替地脈衝進入反應室内,或當另一種前驅物連 續地流入反應室時,只脈衝一種前驅物。在某些較佳實施 例中,當氮的前驅物[如氨(NH3)]連續地流入反應室内時, 鈦的前驅物[如四氣化鈦(TiCI4)]被脈衝進入反應室内。 有利於沈積操作是在溫度小於600。(:,較佳的是大約 小於500°C ’例如大約450-500°C。如此一來,沈積可與其 他製程相容’諸如多層銘或銅金屬化。除此之外,此沈積 能方便地被用於工業標準200毫米和300毫米晶圓上沈積 薄膜。 較佳的是,沈積是在一批次反應器中實現的,此批次 反應器設定或編程在依時間分離的脈衝中傳輸一種或多種 前驅物。較佳的是,批次反應器具有一垂直延伸的反應室, 它容納相互間垂直分開的且主面水平的基底。較佳的是 佳,反應室容納25個或更多的基底,更佳的是佳5〇個或 更多的基底。下面討論說明的垂直爐適於承載1〇〇_125個 基底。 在本發明的一些較佳實施例中,在批次反應室中,容 納了很多垂直分開的基底,例如,半導體晶圓,而且在氣 化鈦原子層沈積中,交替和順序地供應鈦和氮的前驅物[如 1354030 . 16877pif.doc 四氣化鈦和氨]的依時間分開的脈衝給反應室。已經發現, 氮化鈦的沈積速度對氨的氣體分壓的變化是特別敏感的。 因此,較佳的是,使用具有垂直分佈孔的氣體噴射器使氨 流入反應室,並且使其均勻地分佈。較佳的是,每個反應 物在另一個反應物被引入之前被移走,例如以通過惰性氣 體淨化,或者抽除反應室的氣體。每個脈衝的持續時間大 約是60秒或60秒以下’較佳的是大約30秒或3〇秒以下, 最佳的是大約15秒或更少。
在其他的較佳實施例中,連續地供應氮的前驅物[如氨] 給反應室’而且只有鈦的前驅物[如四氯化鈦]是脈衝式地 供應。有利地是在與交替地脈衝四氯化鈦和氨的方法比較 中,這樣的一個沈積方法允許每個反應物脈衝的沈積速度 增加,而不損失薄膜品質。通過連續地流動一種前驅物, 每個四氣化鈦脈衝典型地沈積多於單層的氮化鈦。除此之 外,在鈦的前驅物脈衝相對不足的地方,通過在鈦的前驅 物脈衝之間㈣的㈣驅物會有效地氮化含有鈦的沈積的 薄膜。這樣’能在相對低的沈積溫度下,獲得高品質,、低 電阻率和均㈣a化鈦薄膜於較佳小於觀。c的溫度,更 ^ = 於5〇()C ’如大約4耽。較佳的是’脈衝持續時 間大、勺疋6G秒或6G秒以下’更佳的是大約 以下,最佳的是大約15秒或更少。 飞刈杉 薄膜有:是二佳實施例’能形成高品質的氮化鈦 有+於3太^批_人的基底間,沈積的氮化鈦薄膜厚度能 ;“㈣化量,而且電畴能有小於5微歐姆. ⑧ 9 1354030 16877pif.doc 釐米的變化量。此外,形成的薄膜具有大約220微歐姆. 釐米或更小的電阻率。 現在將參照圖,其中同樣的數字從頭至尾指的是同樣 的部件。
參考圖1 ’圖示的反應器10是一個垂直爐反應器,它 容納彼此垂直分開的基底40,而且具有高效率的加熱和有 順序的載入。合適的垂直爐的例子是Α400τν^π A4I2TM垂 直爐,它們是市場上可以買到的,由荷蘭asm國際, Bilthoven的N.V.生產。然而,將會瞭解的是,當以垂直分 層式爐來介紹較佳實施例時,所揭示的原理和優點將會應 用到其他類型的反應器中,有一些進一步在下面被討論。 繼續參考圖1,在垂直爐或反應器10的内部,一個管 12定義反應室20。管12的下端於凸緣90終止,凸緣90 通過與下面的支承表面14接觸,機械地密封反應室20。 通過在反應室20頂端的一個氣體進口 22供應加工的氣體 給反應室20,並且通過在反應室2〇底端的一個氣體出口 24排除。反應室20容納一個晶舟3〇,它裝有很多垂直間 隔的基底或晶圓40。晶舟30較佳由基座42支撐,而基座 42較佳由門板44支撐。 可以維持加工管凸緣90在高溫下以避免加工的氣體 在其亡冷凝。可以察知的是,高溫能隨著製程而變化,更 佳=疋,可根據加工氣體的特性來選擇溫度。凸緣90的溫 度月b由一電加熱器和一個水冷系統調節。水冷卻主要是希 望在一抵熱晶圓40卸載期間,防止凸緣90的過熱。 16877pif.doc 1354030 闻可利用不同的系統以供應反應物或前驅物給反應室 y 1)。例如,當前驅物是氣體,它能從一個氣體源直接 二由p 2〇。氣體流動的時間和速度能被控制,如在技 術中已知的質量流動控制器。 j :丨驅物作為液體儲存時’如四氣化鈦,可以使用一 固擴放5以供應氣體形式的前驅物給反應室。能通過控
經過擴㈣中液體的流動以及控織體的溫度 來調即這樣的前驅物的流動時間和速度。可以察知的是, 由運載氣體㈣帶的錢前錄的量會隨著溫度的增加而 增加。
另一個控制液態前驅物(如四氣化鈦)流動的典型系統 不意在圖2中。液態前驅物被儲存在一個容器5〇中。液體 流動控制是通過控制進入蒸發器6〇的液體流量來控制流 入垂直爐或反應器10的液態前驅物的量。在前驅物被蒸發 之後’產生分隔很好的前驅物脈衝,並且利用包含闕門8〇 的閥門系統70使其流入反應室20,顯示在圖2的上部分。 較佳的是,閥門系統70的閥門80是在高溫下操作,並且 沒有空量(Dead Volume)或僅有極小的空量,以提供不同反 應物流動間的好的分離。這樣一個閥門系統的進一步詳細 描述是在2004年6月9曰提出申請的美國專利申請案第 10/864260號之全部揭露内容’均併入本案供參考。、 參考圖3至圖6,利用四氣化鈦和氨作為反應物,研 究了上面所討論的不同沈積方案的沈積結果。此沈積是在 荷蘭 ASM 國際 ’Bilthoven 的 N.V·生產的 A4〇〇TM 或 A4i2tM ⑧ 16877pif.doc 1354030 垂直爐中完成的,圖1示意的說明。具有200毫米直徑的 晶圓40被支架在垂直爐或反應器10中的晶舟3〇上。 b曰舟30上晶圓的空間間隔是依賴於前驅物脈衝方案 而變化的。對於交替地脈衝四氯化鈦和氨進入反應室2〇 的實驗,200毫米直徑的晶圓的垂直空間間隔大約是4 76 毫米,而且,晶圓的總數是125。可以察知的是,晶舟3〇 頂端和底端的晶圓40不會進行後續的製程。然而,它們可
能被用於賴和/或由於在反應室2G末端的次最佳沈積結 果,而不進一步地被加工。因此,總數為125個晶圓中的 100個晶圓典型地是“產品晶圓,,,它們將進一步被加工用 於完成積體電路。 的會财驅物,錢續維料—種前驅物流動 晶®40的空_隔是兩倍於兩個前驅物 ^替脈的空間間隔。因此,空間間隔大約是9.54毫 =。运就導致總數為63個晶_裝載 圓的產品量。 里以次π個日曰 在一些貫驗中,使用一個播Λ 反應室。糾控繼翻祕鈦蒸汽給 - Λλα ”粒進口 22(圖1)的四氯化鈦 谷态(不顯不)的溫度來控制四氯化鈦蒸 動。250sccm氮氣運載氣體的流: :至、机 在大多數的實財,控制四 28t。在其他的試驗中,示意的溫度大約在 液態四氣化鈦經過蒸發器6G =統用於控制 化鈦。 耵机動,以及用於脈衝四氣 10877pif.doc 1354030 =面的討論,在操作期間,為了避免 ”皿又疋在12GCM上,更佳的溫度是 在原子層沈積實驗中,交替、, ° 順序和時糾下·· 又替地脈衝兩種丽驅物’脈衝 四氣化鈦脈衝15秒;氡翁潘仆17 30八㈣是79秒,而且總程;认時是科時 數為77鐘°通過交#前驅物流動,實行總 為95個沈積週期。沈積溫度是在基底溫度為*贼和 H’备溫度為靴,每一週期大約沈積_奈 :二1匕鈦’產生大約23奈米的沈積薄臈厚度。值得注 t疋,箱沈積的厚度是小於 奈米/週期]’它是典型的原子層沈卿)製程[ 厚度結果顯示在圖3中,電阻率結果顯示在圖4中。 是’在較低的450 °C的沈積溫度下,發現每個晶圓 的千二句溥膜厚是特別的均勻。在一批晶圓的不同晶圓 ^ ’大約疋小於3奈米的差別。同樣發現’在這個溫度下, 平均電阻率是有益地均句,在一批晶圓的不同晶圓 甲,大約是小於5微歐姆·釐米的差別。 中,他的實,中’在運行脈衝的化學氣相沈積製程 :,的供應氨給反應室’而四氣化鈦是脈衝的。圖5 .·、、不了每種前驅物的管壓力,流速和脈衝時間。沈積溫度 ⑧ 1354030 16877pif.doc 是450°C,四氯化欽擴散器的溫度是坑,四氣化欽脈衝 間隔的時間是4分鐘,選擇脈衝的數目以使四氣化欽的曝 光時間的總合等於15分鐘。這樣對於6〇秒的四氣化欽的 脈衝時間,脈衝總錢15 ;對於3〇秒的脈衝時間, 總,是30 ;以及對於15秒的脈衝時間,脈衝總數是⑼。 在操作期間,氨固定的以大約〇 2 Slm流入。 :於圖5的沈積方案,圖6顯示了脈衝時 度;電:且率的影響。雖然當四氣化鈦的曝光時的; =’較長的脈衝會增加沈積薄膜的厚度是可期的^ =不影響沈積薄膜的厚度,但是出乎意料地發現,大 衝時間實際上引起平均薄膜厚度從大約23·5奈 均電阻率強二也卡二下於降脈意膜的平 鈦的脈衝持續時間二二 %以手大約疋520微歐姐.整半。m ,, =利於沈積氮化欽薄膜帶=二較=:衝時 Μ歐姆.釐米或更少。 电阻革,如大約220 鈦薄ΐ二:週期持續時間能給出-個期望的氮化 即四氣化欽的脈衝 叫之間’適 ^米到大約220微歐㈣米 ^"大約MO微歐姆.
二體每個週期的持續時間 ^者能夠調節加X 間。在圖5的血型力1刀1里和大約10分鐘之 令,週期持續時間大約是5分鐘⑽ I6877pif.doc 1354030 秒的四氣化鈦脈衝時間+4分鐘的四氯化鈦脈衝之間的時 間]0
—如上所述,可以用不同的方式引進加工的氣體到反應 至20例如,在圖丨說明的反應器中,引進所有的氣體經 上部的氣體進口 22進入反應$ 1〇的内部2〇的頂部,而^ 在反應器10的底部,經氣體出口 24排出。 在其他的實施例中,通過使用多孔喷射器使加工的氣 體引入反應器内,可以在整個管長内獲得更均勻分佈的加 工的氣體。合適的多孔喷射器是揭示在2004年6月8曰發 行的美國專利案第6746240號,以及美國專利申請出版刊 物第2003/〇111〇ι3Α1號中,全部揭露的内容均併入本案供 參考。可選擇的是,可以使用較不佔空間的圓柱形多孔噴 射器。這樣的噴射器,例如,具有大約25毫米的直徑和大 約1毫米直徑的孔。在某些較佳的實施例中,更佳的是, 把多孔喷射器安裝在反應室20底端的凸緣90或凸緣9〇 之下,並且朝上指向。 然而更佳多孔喷射器最好不用於引入淨化氣體,.因為 利用一個噴射器並不有效地淨化反應室20的上部分,嘴射 器僅僅延伸到反應室20的部分高度。更佳的是’淨化氣體 被引入反應室20的末端,它是與排氣端相對的’所以淨化 氣體在進入之後和被排除以前會流經反應室20的所有區 域。 圖7中顯示了另一個範例的反應器的構造。在這個設 計中,加工管100在頂部關閉。這個設計的〆個優點是加 1354030 16877pif.doc 工管100的結構B齡两留& υ處的氣體密封和夠避免頂部氣體進口 22(圖 有氣體由氣體嘴射器題。在這個裝置中的所 對每種氣體使用各自的此顯7F二種。更佳的是’ 體。更佳:—個賀射器用於每種加工的氣 是八佈在&些1*射器110是多孔氣體噴射器,其孔 疋刀佈在官100的財高度,如上所討論。
更^是’—個附加的喷射器110用於淨化氣體,如 氛亂。更佳的是,用於淨化氣體的噴射H 11G是-個管, 它在頂部有-個開叫,而且在其側壁沒有氣體排出孔, 所以’所有的淨化氣體在反應室12G _部被排出。圖8 說明了反應H 1G具有三個垂直延伸的喷射器ll()a、ii〇b 和11〇C。噴射器110a、議和11〇c每個分別具有一個進 口 140a、140b和140c,用於連接一個或多個的氣體供給。 喷射器110b在它的頂端112打開允許淨化氣體向下流動°經 過反應器ίο,並且在反應器10的底端從氣體出口 24排 出。在其他的實施例中,氣髅出口 24是在反應室12〇的頂 部’而且淨化氣體是在反應室120的底部流入。還有其他 的實施例中’使用配置有一個外部操作管和一個内部&筒 的反應室,氣體在襯筒内向上流到反應室的上部,以及在 襯筒的外表面和操作管的内表面之間的空間中向下# ^排 氣裝置。多孔喷射器被放在襯筒内,而且不需要淨:氣體 喷射器。這樣一個反應室配置的實例是在美國專利申請刊 物第2003/0111013 A1號中被揭示的,全部揭露内容^併 1354030 16877pif.doc 入本案供參考® 有利的是,使用這樣的多孔氣體喷射器,能夠改進進 入反應室内氣體分佈的均勻性,因此改進沈積結果的均勻 性0
例如,在連續的化學氣相沈積形成氮化鈦薄膜的試驗 中’通過四氣化鈦和氨連續地流入反應室内,發現氮化鈦 薄膜的沈積速度並沒有隨著四氯化鈦的分壓而有很大的改 變。另一方面,沈積速度似乎近似與氨的分壓成比例。對 沈積均勻薄膜來說,這些實驗表示,在反應室内氨的引入 方式和分佈是比四氣化鈦更重要,無論氨是否是脈衝進入 反應室内。例如,無論氨是否是在原子層沈積或者脈衝的 化學氣相沈積製程中被使用。結果,更佳的是,氨是以氣 體分佈最大均勻性的方式進入反應室内。更佳的是,氨是 以垂直分佈的方式進入垂直爐反應室内,例如,經過一具 有多數垂直空間分開孔的多孔噴射器,諸如上面所討論的 那些。更佳的是’喷射器大致上在反應室所有高度上延伸, 以使喷射器的孔跨越了由基底佔據的垂直高度。四氣化鈦 也能使用多孔喷射器引入,或者在反應室的供應端引入(圖 1)。 現在將給出一個氮化鈦薄膜脈衝的化學氣相沈積的典 型製程’它是使用圖7和圖8的反應器硬體配置以及圖2 的四氣化鈦液體流動控制和蒸發器。四氣化鈦液體以0.35 克/分鐘(g/min.)流入蒸發器。在蒸發器的上游,2〇〇 sccm 的氮氣流入液態的四氯化鈦,而在蒸發器的下游,1〇〇 sccm ⑧ 1354030 . I6877pif.doc
的附加氮氣流入汽化的四氣化鈦。四氯化鈦的脈衝時間是 1分鐘。四氯化鈦/氮氣混合物通過多孔喷射器進入反應室 内,其多孔喷射器具有30個垂直間隔的,直徑為i毫米或 更小的孔。在四氣化鈦脈衝期間,一個187sccm的氨和2〇〇 seem的氮氣混合物經過第二個具有類似結構的多孔喷射 器進入反應室内。在四氯化鈦脈衝以後,施加lslm的淨化 氮氣給四氣化鈦喷射器達3〇秒,而通過氨喷射器的氨和氮 氣不變。然後,在氨流入步驟中,增加氨流量到131111達2 分鐘。在氨流入步驟之後,再一次減少氨流量到187 sccm , 而且再一次以1 slm淨化四氣化鈦達3〇秒。在這之後四 氣化鈦脈衝的週期再一次開始。在所有的步驟當中,100 seem氮氣的淨化流經過鄰近反應室頂端的淨化氣體喷射 器開口。在四氣化鈦脈衝期間,反應室内的壓力大約是5〇〇 毫托(mTorr),而且反應室溫度大約是5〇(rc。經過16個 週期,沈積了厚度為21奈米和電阻率為185微歐姆.爱米 的薄膜。 可以察知的是,圖卜2,7-,8的硬體配置,雖然這裏 的描述是在脈衝的化學氣相沈積和原子層沈積(AL D)的情 況下,但它同樣適用低壓化學氣相沈積(LPCVD)的情況。 進一步地,這樣的硬體配置也能被用於其他的沈積化學 物二諸如使用三甲基鋁(TMA)和水(的〇)作為前驅物的 二氧化二鋁(八丨2〇3)的沈積,和使用氣化铪和水作為前驅 物的二氧化鈐(Hf〇2)的沈積。 除此之外,當圖示說明的反應器以垂直分開的方式裝 1354030 16877pif.doc 載基底時,此處描賴妓紐制於任 例如包括以水平分開財式裝餘底的反應器。一 物沾知的是’在脈衝兩種反應物的地方,兩種反應 产3^\關可1相同的’或者每個具有不同的脈衝持 二曰❿且’不官是一個還是兩個反應物是脈衝的,脈 2持續時間在沈積製程始終可以保持相同
製程期間可以改變。 I 因此,可以察知的是,可以在沒有違背本發明的範圍 通過些各種其他省略的技術技巧,對上面描述的方 、η結構作些增加和修改。所有這樣的修改和改變意圖要 屬t本發明的翻,如附加的申請專利範圍所定義的。 【圖式簡單說明】 明,=較ί貫施例的詳細描述和附圖將會較好地理解本發 k些貫施例和附圖僅僅是為了說明,而不是要限定本 發明,而且其中:
圖1 έ兒明了本發明較佳實施例所使用的典型的爐子。 圖2說明了本發明較佳實施例所使用的典型的液體傳 輪糸統。 膜日圖3疋一個曲線圖,它顯示了不同垂直基底位置的薄 、厚度的結果,它是依照本發明一個較佳的實施例’在二 不同溫f下處理的一批半導體基底的結果。 圖4是一個曲線圖’它顯示了圖3中半導體基底的不 5垂直基底位置的薄膜電阻率的結果。 圖5疋一個曲線圖,依照本發明的另一個實施例,它 1354030 16877pif.doc 說明了反應物的流動時間。 圖6是一個曲線圖,它顯示了薄膜厚度和電阻率作為 進入反應室的每個四氯化鈦脈衝的四氯化鈦流動持續時間 » 的函數。 圖7說明了本發明較佳實施例所使周的另一個示例性 的爐子。
圖8說明了本發明較佳實施例所使用的一個附加示例 性的爐子。 【主要元件符號說明】 10 :垂直爐或反應器 12 :管
14 :支承表面 20 :反應室 22 :氣體進口 24 :氣體出口 30 :晶舟 40 :基底或晶圓 42 :基座 44 :門板 50 :容器 60 :蒸發器 70 :閥門系統 80 :閥門 凸緣 90 1 1354030 . 16877pif.doc 100 :管 . 110 :喷射器 : 112 :喷射器頂端 - 120 :反應室 140 :進口 ⑧

Claims (1)

1354030 ; 16877pifl ! jf β w 爲第94114999號中文專利範圍無劃線修正本 修正日期:2中1年7月2穷泪; * ----------------- . 十、申請專利範圍· 1.一種形成氮化鈦薄膜的方法,包括: 在一垂直爐的一反應室内,提供多數個半導體基底, 其中該反應室能容納25個或更多的基底; 在該反應室内提供多數個基底;以及 進行多數個化學氣相沈積週期而於該多數個基底上化 學氣相沈積氮化鈦薄膜而沈積溫度大約小於500°C,其中 任一化學氣相沈積週期包括: a) ·將一鈦的前驅物流入該反應室内; b) ·將一氮的前驅物流入該反應室内,其中該鈦 的前驅物流入與該氮的前驅物流入至少時間上部份 重疊; c) .停止該鈦的前驅物流入該反應室内;以及
d) .當該鈦的前驅物停止流入該反應室時,透過 以高於前述步驟b)中該氮的前驅物的流速的一流速 將該氮的前驅物流入該反應室内,而將該多數個半導 體基底暴露於該氣的前驅物, 其中進行多數個化學氣相沈積週期而形成的氮 化鈦薄膜具有一電阻率,在該多個基底中,基底與基 底間電阻率的改變量大約小於5微歐姆·釐米。 2.如申請專利範圍第1項所述之形成氮化鈦薄膜的方 法’其中流入該氮的前驅物包括從多數個垂直分佈的點'引 入氮前驅物’這些點大致上是沿著25個或更多基底上的一 高度分佈。 22 1354030 . 16877pifl 爲第941M999號中文專利範圍無劃線修正本修正日期:2〇11年7月2〇日 3.如申請專利範圍第2項所述之形成氮化欽薄膜的方 法,其中該些垂直分佈點是一氣體噴射器的孔。 . 4·如申請專利範圍第2項所述之形成氮化鈦薄膜的方 法,其中流入鈦的前驅物包括:從一進口引入鈦的前驅物, • 該進口具有只接近反應室一端的一開口。 .· 5.如申請專利範圍第1項所述之形成氮化鈦薄膜的方 法’其中該垂直爐能容納50個或更多的基底。 S 6.如申請專利範圍第5項所述之形成氮化鈦薄膜的方 法’其中該垂直爐能容納100或更多的基底。 7.如申請專利範圍第1項所述之形成氮化鈦薄膜的方 法’其中該鈦的前驅物及該氮的前驅物是非基類的 (non-radical) 〇 8.如申請專利範圍第1項所述之形成氮化鈦薄臈的方 法’其中各該化學氣相沈積週期形成小於αι奈米厚的氮 化鈦薄膜。 9.如申请專利範圍第1項所述之形成氮化鈦薄膜的方 φ 法,其中化學氣相沈積氮化鈦薄膜在各該基底上形成一定 厚度的一氮化鈦層,其中在該些基底中,厚度的變化量大 約小於3奈求。 10.如申請專利範圍第1項所述之形成氮化鈦薄膜的 錄’其巾化學氣概積氮彳b鈦薄膜包括在各該基底上沈 積多於一層的氮化鈦。 、11.如申請專利範圍第1〇項所述之形成氮化鈦薄膜的 S法’其中化學氣相沈積氮化鈦薄膜包括在該鈦的前驅物 23 I6877pifl 修正日期:2011年7月20日 爲第94114999號中文專利範圍無劃線修正本 的脈衝之間及脈衝期間,使該氮的前驅物連續地流入該反 應室。 12. 如申請專利範圍第1項所述之形成氮化鈦薄膜的 方法,其中該鈦的前驅物是四氯化鈦。 13. 如申請專利範圍第12項所述之形成氮化鈦薄膜的 方法,其中流入該鈦的前驅物包括通過含有四氯化鈦的一 擴散器(bubbler)流入惰性氣體。 14. 如申請專利範圍第12項所述之形成氮化鈦薄膜的 方法,其中流入該鈦的前驅物包括在一液體蒸發器中蒸發 四氯化鈦。 15. 如申請專利範圍第12項所述之形成氮化鈦薄膜的 方法,其中該氮的前驅物是氨。 16. 如申請專利範圍第1項所述之形成氮化鈦薄膜的 方法,其中在流入該欽的前驅物及流入該氮的前驅物期 間,該基底的溫度大約是600°C或600。(:以下。 17. 如申請專利範圍第16項所述之形成氮化鈦薄膜的 方法’其中在流入該欽的前驅物及流入該氮的前驅物期 間,該基底溫度大約是500°C或500°C以下。 18. 如申請專利範圍第1項所述之形成氮化鈦薄膜的 方法’其中流入該欽的前驅物及流入該氮的前驅物包括通 過鄰近該反應室的一垂直端的一進口引入該鈦的前驅物及 該氮》的則驅物’以及從該反應室相對的另一垂直端排出号· 鈦的前驅物及該氮的前驅物。 19. 如申請專利範圍第1項所述之形成氮化鈦薄膜的 1354030 - 16877pifl 爲第9411499?號中文專利範圍無劃線修正本 修正日期:2011年7月20日 方法’其中該基底是200毫米(mm)晶圓。 20. 如申請專利範圍第1項所述之形成氮化鈦薄膜的 方法’其中該基底是300毫米(mm)晶圓。 21. —種批次反應器,包括: 一反應室’配置為能容納25個或更多的半導體基底; 進入該反應室的一氣體進口;
一氣體傳輸系統,通過程式化控制經過該進口輸送氯 化鈦,並且在依時間分開的脈衝中進入該反應室;以及 一控制器’通過程式化控制進行多數個氮化鈦化學氣 相沈積週期而沈積溫度大約小於5〇〇。〇,其中任一化學氣 相沈積週期包括: a) .將該多數個半導體基底暴露於一鈦的前驅物 與一氮的前驅物,透過流入一脈衝的該鈦的前驅物至 該反應室内與流入該氮的前驅物至該反應室内,其中 該鈦的前驅物流入與該氮的前驅物流入至少時間上 部份重疊; b) .步驟a)之後,停止該鈦的前驅物流入該反應 室内;以及 , c) ·當該鈦的前驅物停止流入該反應室時,透過 以高於前述步驟a)中該氮的前驅物的流速的一流速將 該氮的前驅物流入該反應室内,而將該多數個半導體 基底暴露於該氮的前驅物, 其中進行多數個氮化鈦化學氣相沈積週期而形成 的氮化鈦薄膜具有一電阻率,在該多個基底中,基底 25 l354〇30 16877pm 爲第94丨丨49999號中文專利範圍無劃線修正本 修正曰期:20丨丨年7月2〇日 與基底間電阻率的改變量大約小於5微歐姆·釐米。 22. 如申請專利範圍第21項所述之批次反應器,其中 該氣體傳輸系統連續輸送氨進入該反應室。 23. 如申凊專利範圍第21項所述之批次反應器,其中 該氣體傳輸系統使氨在依時間分開的脈衝中流入該反應 室。 〜 . 24.如申請專利範圍第21項所述之批次反應器,其中 霉 s亥氣體輸送系統使氨經過含有多數個垂直間隔進口的 體噴射器進入該反應室。 “ 士 — 25.如申請專利範圍第21項所述之批次反應器,其 °亥氣體傳輸系統包含一擴散器(bubbler)。 26. 如申請專利範圍第21項所述之批次反應器, /氟體傳輸糸統包含一氣體蒸發器。 27. 如申請專利範圍第21項所述之批次反應器,其 §玄反應室玎以容納100個或更多的基底。 ’、 26
TW094114999A 2004-09-22 2005-05-10 Deposition of tin films in a batch reactor TWI354030B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61233204P 2004-09-22 2004-09-22
US11/096,861 US7966969B2 (en) 2004-09-22 2005-03-31 Deposition of TiN films in a batch reactor

Publications (2)

Publication Number Publication Date
TW200610835A TW200610835A (en) 2006-04-01
TWI354030B true TWI354030B (en) 2011-12-11

Family

ID=35517214

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094114999A TWI354030B (en) 2004-09-22 2005-05-10 Deposition of tin films in a batch reactor

Country Status (5)

Country Link
US (2) US7966969B2 (zh)
EP (1) EP1641031B1 (zh)
JP (1) JP5075325B2 (zh)
KR (1) KR100805781B1 (zh)
TW (1) TWI354030B (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7195544B2 (en) * 2004-03-23 2007-03-27 Cabot Microelectronics Corporation CMP porous pad with component-filled pores
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
KR100642646B1 (ko) * 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
KR100642763B1 (ko) * 2005-09-06 2006-11-10 삼성전자주식회사 반도체 소자의 TiN 막 구조, 그 제조 방법, TiN 막구조를 채용하는 반도체 소자 및 그 제조방법
US7557047B2 (en) * 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
KR100794657B1 (ko) * 2006-06-28 2008-01-14 삼성전자주식회사 반도체 장치의 배리어 금속막 형성 방법
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
WO2009072187A1 (ja) * 2007-12-04 2009-06-11 Full-Tech Co., Ltd. 加圧ガスパルス制御処理方法及び加圧ガスパルス制御処理装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
KR101271869B1 (ko) * 2008-12-09 2013-06-07 가부시키가이샤 알박 질화 탄탈막의 형성 방법 및 그 성막 장치
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
US8652573B2 (en) 2010-07-15 2014-02-18 Asm International N.V. Method of CVD-depositing a film having a substantially uniform film thickness
US8937022B2 (en) 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8524322B2 (en) 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
JP2012193445A (ja) 2011-02-28 2012-10-11 Tokyo Electron Ltd 窒化チタン膜の形成方法、窒化チタン膜の形成装置及びプログラム
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
EP2757423B1 (fr) 2013-01-17 2018-07-11 Omega SA Pièce pour mouvement d'horlogerie
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
JP6435967B2 (ja) * 2015-03-31 2018-12-12 東京エレクトロン株式会社 縦型熱処理装置
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
KR102227909B1 (ko) 2019-07-16 2021-03-16 주식회사 유진테크 배치식 기판처리장치 및 그 운용방법
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
KR102619482B1 (ko) * 2019-10-25 2024-01-02 에이에스엠 아이피 홀딩 비.브이. 막 증착 공정에서의 정상 펄스 프로파일의 변형
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
CN113046723A (zh) * 2021-03-12 2021-06-29 四川大学 中温化学气相沉积氮化钛涂层的装置及方法

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US495218A (en) * 1893-04-11 Elastic tire
US2865791A (en) 1954-03-05 1958-12-23 Metallgesellschaft Ag Metal nitride coating process
US4279947A (en) 1975-11-25 1981-07-21 Motorola, Inc. Deposition of silicon nitride
GB1573154A (en) 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS5845177B2 (ja) 1979-03-09 1983-10-07 富士通株式会社 半導体表面絶縁膜の形成法
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4277320A (en) 1979-10-01 1981-07-07 Rockwell International Corporation Process for direct thermal nitridation of silicon semiconductor devices
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4402997A (en) 1982-05-17 1983-09-06 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer by purging deposition tube with oxygen
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4524718A (en) 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS6043485B2 (ja) 1982-12-08 1985-09-28 豊田株式会社 高速道路の安全対策装置車
US4428975A (en) 1983-01-28 1984-01-31 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer
US4803127A (en) 1983-02-25 1989-02-07 Liburdi Engineering Limited Vapor deposition of metal compound coating utilizing metal sub-halides and coated metal article
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
DE3309766A1 (de) * 1983-03-18 1984-09-20 Bayer Ag, 5090 Leverkusen Aromatische polyester und polyestercarbonate mit verbesserter verarbeitbarkeit,verfahren zu ihrer herstellung und ihre verwendung als formmassen
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS60245233A (ja) * 1984-05-21 1985-12-05 Nec Corp 半導体装置の製造方法
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
JP2592238B2 (ja) 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
US4699805A (en) 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0626188B2 (ja) * 1987-09-24 1994-04-06 日本電気株式会社 気相成長装置
US4834020A (en) 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
EP0332101B1 (en) 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
BR9106205A (pt) 1990-10-31 1993-03-30 Baxter Int Dispositivo para implantacao em hospedeiro,processo de implantacao,dispositivo implantado,e recipiente de imunoisolamento
US5372958A (en) 1990-11-16 1994-12-13 Seiko Epson Corporation Process for fabricating a thin film semiconductor device
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JP2794499B2 (ja) 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3200863B2 (ja) 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5279857A (en) * 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JPH0562911A (ja) 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
JPH07249618A (ja) 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3563819B2 (ja) * 1995-03-28 2004-09-08 アネルバ株式会社 窒化チタン薄膜の作製方法及びその方法に使用される薄膜作製装置
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US6161498A (en) 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3373990B2 (ja) * 1995-10-30 2003-02-04 東京エレクトロン株式会社 成膜装置及びその方法
US6355656B1 (en) 1995-12-04 2002-03-12 Celgene Corporation Phenidate drug formulations having diminished abuse potential
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US6136654A (en) 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
JP2973971B2 (ja) * 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5907792A (en) 1997-08-25 1999-05-25 Motorola,Inc. Method of forming a silicon nitride layer
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
JP3854731B2 (ja) 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6210813B1 (en) 1998-09-02 2001-04-03 Micron Technology, Inc. Forming metal silicide resistant to subsequent thermal processing
KR100363083B1 (ko) 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
JP3754568B2 (ja) 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
EP1965431A2 (en) 1999-06-22 2008-09-03 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
JP4192353B2 (ja) 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6337289B1 (en) 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6436820B1 (en) * 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
TW516100B (en) 2000-03-23 2003-01-01 Matsushita Electric Ind Co Ltd Method for producing semiconductor crystal
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
US6271054B1 (en) 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6252295B1 (en) 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6455395B1 (en) 2000-06-30 2002-09-24 Integrated Materials, Inc. Method of fabricating silicon structures including fixtures for supporting wafers
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100569646B1 (ko) * 2000-09-29 2006-04-11 동경 엘렉트론 주식회사 열처리 장치 및 열처리 방법
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
JP3447707B2 (ja) * 2001-03-02 2003-09-16 三菱電機株式会社 熱処理装置およびこれを用いた熱処理方法
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6503846B1 (en) 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
WO2003008663A1 (en) 2001-07-16 2003-01-30 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
JP4178776B2 (ja) * 2001-09-03 2008-11-12 東京エレクトロン株式会社 成膜方法
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
JP4074461B2 (ja) 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US7220312B2 (en) 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
KR100829327B1 (ko) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4393071B2 (ja) 2002-07-12 2010-01-06 東京エレクトロン株式会社 成膜方法
WO2004008493A2 (en) 2002-07-15 2004-01-22 Aviza Technology, Inc. Method and apparatus for supporting semiconductor wafers
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7192866B2 (en) * 2002-12-19 2007-03-20 Sharp Laboratories Of America, Inc. Source alternating MOCVD processes to deposit tungsten nitride thin films as barrier layers for MOCVD copper interconnects
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
JP4447469B2 (ja) * 2002-12-27 2010-04-07 株式会社日立国際電気 プラズマ発生装置、オゾン発生装置、基板処理装置、及び半導体デバイスの製造方法
JP4214795B2 (ja) 2003-02-20 2009-01-28 東京エレクトロン株式会社 成膜方法
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP4047766B2 (ja) * 2003-05-21 2008-02-13 エルピーダメモリ株式会社 半導体装置の製造方法
JP4823690B2 (ja) * 2003-06-16 2011-11-24 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7691757B2 (en) * 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films

Also Published As

Publication number Publication date
KR20060092801A (ko) 2006-08-23
EP1641031A3 (en) 2007-09-05
US7732350B2 (en) 2010-06-08
KR100805781B1 (ko) 2008-02-21
EP1641031A2 (en) 2006-03-29
TW200610835A (en) 2006-04-01
US20070077775A1 (en) 2007-04-05
JP2006093653A (ja) 2006-04-06
JP5075325B2 (ja) 2012-11-21
US20060060137A1 (en) 2006-03-23
EP1641031B1 (en) 2019-05-08
US7966969B2 (en) 2011-06-28

Similar Documents

Publication Publication Date Title
TWI354030B (en) Deposition of tin films in a batch reactor
US7833906B2 (en) Titanium silicon nitride deposition
JP5294694B2 (ja) シリコン及びチタン窒化物のインサイチュ蒸着
JP3670628B2 (ja) 成膜方法、成膜装置、および半導体装置の製造方法
US9472398B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US7431767B2 (en) Apparatus and method for growth of a thin film
US7771535B2 (en) Semiconductor manufacturing apparatus
KR100606398B1 (ko) 반도체 처리용의 성막 방법
JP4399206B2 (ja) 薄膜製造装置
KR20050100610A (ko) 박막의 층간 증착을 위한 방법 및 장치
JP2016058676A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2005506448A (ja) 汚染防止と膜成長速度増進機能を備える化学気相蒸着方法及び装置
US20040041286A1 (en) Method and apparatus for supplying a source gas
US5789028A (en) Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
KR101462154B1 (ko) 텅스텐 박막 증착방법
JP2004197227A (ja) Mocvd銅インターコネクトのためのバリア層としてタングステンナイトライド薄膜を堆積するソースオールタネイトmocvdプロセス
KR101066138B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
TW202417667A (zh) 一種操作化學氣相沉積製程之方法
KR100450173B1 (ko) 확산억제가스흐름과 확산억제수단을 이용한 화학기상증착방법 및 그 장치
KR20240072524A (ko) 몰리브덴 원자층 박막 형성 방법
TW200822191A (en) Precursors and hardware for CVD and ALD
JPH07252658A (ja) 誘電体薄膜の形成方法およびその装置