KR20050100610A - 박막의 층간 증착을 위한 방법 및 장치 - Google Patents

박막의 층간 증착을 위한 방법 및 장치 Download PDF

Info

Publication number
KR20050100610A
KR20050100610A KR1020057012926A KR20057012926A KR20050100610A KR 20050100610 A KR20050100610 A KR 20050100610A KR 1020057012926 A KR1020057012926 A KR 1020057012926A KR 20057012926 A KR20057012926 A KR 20057012926A KR 20050100610 A KR20050100610 A KR 20050100610A
Authority
KR
South Korea
Prior art keywords
reactant
gas
chamber
alp
flow
Prior art date
Application number
KR1020057012926A
Other languages
English (en)
Inventor
아지트 파란제페
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050100610A publication Critical patent/KR20050100610A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 간단히 ALP 처리량을 증가시키는 방법에 관한 것으로, 본 발명의 바람직한 실시예는 웨이퍼상에 층간 성장을 달성하는 반응기에서 가스 흐름을 연속적으로 조정함으로써 ALP 처리량을 증가시키는 방법을 포함한다. 제 1 시간 간격 후, 제 1 반응 물질 흐름은 감소되는 반면, 캐리어 가스 흐름은 대략 일정한 전체 가스 흐름을 유지하기 위해 증가된다. 제 1 반응 물질 흐름이 예정된 최소량에 도달할 경우, 제 2 반응 물질 흐름이 개시되어 증가하는 반면, 캐리어 가스 흐름은 일정한 전체 가스 흐름을 계속하기 위해 감소된다. 택일적으로 상기 방법은 기판과 반응하는 제 1 인가 가스 또는 반응 물질에 첨가된 리간드로서 반응 물질 흡착 및 화학적 흡착을 증진시키는 기판을 도입하는 것을 포함한다. 택일적으로 막 특성을 개선하기 위한 급속 열 어닐링, 평행한 웨이퍼 프로세싱 및 반응 물질 저장소를 포함한다.

Description

박막의 층간 증착을 위한 방법 및 장치{METHOD AND APPARATUS FOR LAYER BY LAYER DEPOSITION OF THIN FILMS}
본 출원은 (a) (i)1997년 8월 11일 출원된 미국 출원 일련 번호 08/909,461, (ii)1999년 1월 12일 출원된 미국 출원 일련 번호 09/228,835(1998년 1월 15일 출원된 미국 출원 일련 번호 60/071,572를 우선권으로 함) 및 (iii)1999년 1월 12일 출원된 미국 출원 일련 번호 228,840(1998년 1월 15일 출원된 미국 가출원 일련 번호 60/571,571을 우선권으로 함)의 부분 연속 출원인 1999년 9월 15일 출원된 미국 출원 일련 번호 09/396,588(1998년 9월 16일 출원된 미국 가출원 일련 번호 60/100,594를 우선권으로 함)의 부분 연속출원인 2001년 9월 10일 출원된 미국 출원 일련 번호 09/954,705의 부분 연속 출원인 2002년 8월 9일 출원된 미국 특허 출원 일련 번호 10/216,079; 및 (b) 1999년 9월 15일 출원된 미국 출원 일련 번호 09/396,590(1998년 9월 16일 출원된 미국 출원 일련 번호 60/100,596을 우선권으로 함)의 부분 연속 출원이다. 각각의 상기한 출원은 본 명세서에 참조된다.
본 발명은 기판 상에 재료를 증착하는 방법 및 장치에 관한 것이며, 특히 반응기 및 가스 운반 복합체 없이, 반응물질 소스의 광범위한 어레이, ALP 반응 화학 작용 및 반응기 구조에 ALP를 더욱 적합하게 하는 통상의 반응기 설계와 양립할 수 있는 박막의 층간(layer-by-layer) 증착용 방법 및 장치에 관한 것으로, 종래 기술의 펌프 세정 단계는 연속적으로 조정된 가스 흐름 및 압력의 제공에 의해 회피되며, 모든 운반 밸브가 개방 상태로 유지되고 전환 라인이 폐쇄로 유지되게 하며, 그 결과 on/off 상태 사이의 밸브의 빠른 순환을 방지한다.
반도체 장치 제조에서 박막의 층간 증착이 점점더 중요하게 되고 있다. 층간 증착은 층 두께의 우수한 제어, 웨이퍼 전체의 개선된 균일성, 두께의 작은 주기성을 갖는 라미네이팅된 막의 증착 능력, 및 밀도, 컨포멀 특성, 절연 특성 등과 같은 현저히 개선된 막 특성을 포함하여, 통상의 화학 기상 증착 또는 화학 기상 에피택시와 비교해서 몇몇 장점을 제공하며, 특히, 전체 막의 두께는 10nm이하의 스케일이다. 층간 증착은 금속(Al, W, Ti 등), 반도체(Si, ZnSe, III-V 및 II-VI족 화합물), 산화물(SiO2 , TaO5, Al2O3, TiO2, SrTiO3, HfO2, ZrO2 등), 질화물(Si3N4, TiN, TaN, AlN), 실리사이드(TaSiN, TiSiN) 및 이러한 물질의 나노라미네이트를 포함하는 다양한 막들에 사용된다. 이러한 모든 물질은 반도체 소자에서 산업상 관련성이 매우 높다.
원자층 프로세스(ALP:atomic layer processing)라고도 불리는 층간 성장에서, 막은 한 번에 대략 단층이 증착되는데, 즉 각 층의 두께는 원자간 간격에 따르므로 원자층 프로세스라는 용어를 쓴다. 원자층 프로세싱을 위해, 기판은 반응이 표면을 포화시키는 흡착된/화학적으로 흡착된 반응 물질과 연이은 펄스에 제공된 제 2 가스상 반응 물질 사이의 표면 반응에 한정되도록 반응 물질의 흐름에 연속적으로 노출된다. 이러한 방식으로, 반응은 통상적으로 자기 제한되며, 일단 표면 흡수된 반응 물질이 소모되면, 단층의 막이 생성된다. 최근에, 서브-단층들 또는 몇몇 단층들이 원자층 증착에서 교대층 증착으로 이름이 변경되게 유발하는 동일한 기술을 통해 얻어질 수 있다. ALP 기술은 에피택셜 및 비에피택셜 막을 증착하는데 사용된다. 에피택셜 막의 증착 공정은 원자층 에피택시(ALE)라고 불리는 반면, 비에피택셜 막을 증착하는 기술은 전통적으로 원자층 증착(ALD)으로 불린다. ALP용 기상 소스 반응 물질은 가스 소스이거나 열 증발, 액체 소스의 증발 또는 원격 플라즈마 분해에 의해 생성될 수 있다.
웨이퍼를 다양한 반응 물질에 연속적으로 노출시킴으로써 막을 증착하는기술은 잘 알려져 있으며 20년 동안 애용되고 있다. ALP를 위한 중요한 필요 조건은 반응 물질을 가스 상태에 있는 서로로부터 분리시키는 것이다. 대부분의 ALP 반응의 경우, 가스 상태의 반응 물질을 분리시키는 것은 반응 소스들 사이의 가스상 반응을 방지하고, 또한 반응 물질이 동시에 가스 상태로 존재할 경우 발생할 수 있는 소정의 기생 화학 기상 증착을 억제하기 위해 필수적이다. 다른 선구체가 유입될 때 하나의 선구체의 가능한 잔여 레벨은 프로세스에 의존한다. 하나의 선구체의 가능한 잔류 레벨을 결정하는 하나의 표준은 전체 증착율에 대한 기생 CVD의 기여도이다. ALP 프로세스에서 전체 증착율에 대한 기생 CVD의 기여도는, 막 특성, 막 균일성 및 스텝 커버리지가 허용할 경우 더 높은 값도 가능하지만, 통상적으로 10% 미만이다. 사실, 기생 CVD는, 기생 CVD가 없었을 경우 늦어질 ALP 프로세스의 증착율을 강화하는데 사용될 수도 있다. 몇몇 프로세스의 경우, 전체 증착율에 대한 CVD의 기여도는 미립자 생성 가스상 반응을 방지하기 위해 1% 미만이어야 한다. ALP 동안 선구체들을 서로로부터 완전히 분리시키는 것은 요구되지 않으며, 몇몇 경우, 요구되지 않을 수도 있다. 몇몇 기생 CVD는 바람직하지 않은 반작용이, 기생 CVD가 없을 경우, 증착될 막을 에칭하는 것을 방지할 수 있다. 따라서, 선구체의 격리를 위한 다양한 방법들이 책자로 소개되어 있다. 반응 물질을 분리시키는 한가지 방법은 반응 물질을 상이한 영역에 제한하고 기판을 다수의 반응 물질의 교대하는 도즈에 기판을 노출시키기 위해 상이한 영역들 사이로 기판을 이동시키는 것이다. 이러한 방법은 미국 특허 4,058,430에 개시되어 있다. 이러한 방법의 단점은 화학 기상 증착, 특히 완전한 표면 포화를 달성하기 위해 기판 전체에 높은 반응 물질 부분 압력을 요구하는 프로세스를 위해 사용되는 통상의 반응기에서 실행하기 어렵다는 것이다. 반응 물질을 격리시키는 다른 방법은 분리된 운반 라인을 통해 하나 이상의 기판을 수용하고 있는 진공 챔버로 반응 물질을 펄스의 형태로 연속적으로 공급하는 것이다. 배출 또는 펌핑 단계가 연속적인 펄스들 사이에 행해져서 다음 반응 물질을 유입시키기 전에 챔버내의 하나의 반응 물질을 제거한다. 미국 특허 4,058,430은 정지된 기판을 반응 물질의 교번하는 펄스에 노출시키고 반응 물질을 갖는 챔버를 배출시키기 위해 펄스들 사이에 배출 또는 펌핑 단계를 사용하는, 통상적으로 사용되는 방법을 개시한다. 미국 특허 4,058,430은 운반 시스템 및 챔버에서 기판이 서로로부터 격리된 반응 물질의 교번하는 펄스에 노출된 원자층 증착 및 원자층 에피택시를 개시한다. 이러한 기술 중 하나에서 선구체들의 완전한 격리는 달성되지 않지만, 하나의 선구체의 잔여 농도는 다른 선구체가 유입되기 전에 임의의 낮은 레벨로 감소될 수 있다.
ALD 시퀀스에 대한 개선이 제안되었다. 일 개선안은 배출 단계와 동시에 세정 가스를 유입시키는 것이다. 이는 통상적으로 펌프 세정 단계로 불린다. 세정 가스는 가스 확산 배리어로 작용하여 모든 반응 물질 및 세정 가스가 가스 인입구로부터 챔버 배기부로 동일한 흐름 경로를 따를 때, 반응 물질들 사이에 상호 작용을 방지할 수 있다. 이러한 개선안은 미국 특허 4,389,973에 개시된다. 챔버의 동시 배출과 함께하는 상기한 세정 가스 흐름은 또한 미국 특허 6,015,590에 개시된 바와 같이 반응 물질의 잔여 농도를 트레이스 레벨(1% 미만)로 감소시킨다. 다수의 펌프 세정 단계는 다음의 반응 물질 펄스가 챔버에 유입되기 훨씬 전에 챔버의 반응 물질 농도를 감소시키는데 사용될 수 있다. 이러한 ALP 모드는 간단하고 CVD 반응기 구성의 광범위한 영역에 쉽게 적용할 수 있기 때문에 널리 사용되므로 통상적으로 ALP 기술로 언급된다. 단일 웨이퍼 ALP 반응기의 경우, 이러한 특성은 펌핑만을 사용하는 것과 비교하여 챔버로부터 반응 물질을 배출시키는 더 신속한 방법을 제공한다. 이는 펌핑이 1-2초보다 짧은 시간 스케일에서 선구체의 농도를 트레이스 레벨로 감소시킬 수 있는 동안 동시에 세정되기 때문인데, 만일 펌핑이 단독으로 사용될 경우, 현저히 긴 시간(2-5초)이 소요된다.
통상의 ALP 시퀀스가 도1에 도시된다. 반응 물질 펄싱 및 펄프/세정 단계를 참조하라.
ALP를 위한 통상의 증착율은 1 단층/주기이며, 이는 ~1Å/주기로 이해될 수 있다. 통상의 ALP 기술에 따른 각각의 주기는 다음 단계로 구성된다.
● 기판 상에 흡착된/화학적으로 흡착된 층을 형성하기 위해 반응 물질 1의 펄스를 도입.
● 가스상인 반응 물질 1의 잔류 농도를 트레이스 레벨로 감소시키기 위해 전형적으로 불활성인 세정 가스의 펄스로 반응기를 세정하는 동안 반응기를 동시에 펌핑.
● 기판상에 흡착된/화학적으로 흡착된 층과 반응시키기 위해 챔버로 반응 물질 2의 펄스를 도입하여 막을 형성.
● 가스상인 반응 물질 2의 잔류 농도를 트레이스 레벨로 감소시키기 위해 전형적으로 불활성인 세정 가스의 펄스로 반응기를 세정하는 동안 반응기를 동시에 펌핑.
따라서, 가장 간단한 ALP 주기는 네 개의 개별 가스들의 펄스/단계로 구성된다. 30-100Å인 통상의 막 두께의 경우, 다수의 ALP 주기는 30-100 주기의 범위이다. 명백하게, 높은 웨이퍼 처리량을 달성하기 위해, 짧은 주기 시간이 달성되어야 한다. 이상적으로, 10초 이하의 주기 시간은 6주기/분 또는 6Å/분의 대응하는 증착율을 가능하게 한다. 따라서, 한번에 하나의 웨이퍼를 프로세싱하는 단일 웨이퍼 프로세스 모듈을 위한 최대 처리량은 30Å막의 경우 10wph이며, 100Å의 경우 3-4wph 이다. 이를 20-30wph의 웨이퍼 처리량을 갖는 통상의 단일 웨이퍼 CVD 모듈과 비교하라. 반도체 소자의 대량 생산을 위해 비용 효율적이될 ALP 프로세스의 경우, 웨이퍼 처리량에서 5-10배의 개선이 필연적이다.
ALD 프로세스에 대한 높은 처리량은 몇 가지 이유로 필수적이다. 당연히, 높은 처리량은 프로세스의 소유자의 비용을 감소시킨다. 더욱 중요하게, ALD 프로세스는 종종 진공 통합 클러스터 툴에서의 높은 처리량 프로세스와 함께 사용된다. 우수한 예는 사전 세정, 계면 옥시니트라이드 성장, ALD 높은 k 증착 및 게이트 전극 증착을 위한 모듈로 구성된 높은 k 게이트 스택용 클러스터 툴이다. 가장 낮은 처리량을 갖는 모듈이 상기한 클러스터 툴의 처리량을 결정한다. 이상적으로, 모듈은 최대 생산성을 위한 처리량에 부합되어야 한다.
통상의 ALP를 위한 10초의 주기 시간은 또한 상당히 짧은 펄스 및 2-3초 정도의 펌프-세정 시간을 필요로 한다. 전술한 바와 같이, 단일 웨이퍼 ALP에 대한 10초 이상의 주기 시간은 웨이퍼 처리량을 현저히 떨어드리며, 결국 비생산적이다. 짧은 펄스 및 펌프 세정 시간을 달성하기 위한 필요성이 다음 사항을 포함하여 추가의 하드웨어 및 프로세스 복잡성을 대두시킨다.
● 반응 물질 및 세정 가스 운반 시스템은 운반 시스템으로부터 반응기로 반응 물질 및 세정 가스 통과 시간이 실질적으로 펄스 주기보다 짤아지도록 설계되어야 한다. 일반적으로, 이는 가스 운반 시스템을 반응기 챔버에 근접하게 위치시키고, 운반 라인 체적을 감소시키고, 챔버로 반응 물질을 운반하는 캐리어 가스를 사용함으로써 달성될 수 있다.
● 안정적인 흐름이 각각의 반응 물질 펄스 동안 달성될 수 있도록, 반응 가스 및 세정 가스 흐름 성분은 초단위 시간 스케일의 일부에서 오프 상태와 정규 흐름 상태 사이에 토글되어야 한다. 이는 가스상 반응 물질에 대해 달성될 수 있지만, 액상 반응 물질 소스, 원격 플라즈마 반응 물질 소스, 및 수 초의 시간 스케일에 응답하는 다른 소스에 대해 더욱 복잡하다. 이러한 소스의 경우, 흐름을 펌프의 포어라인(foreline)으로 직접 운반하는 전환 라인이 실행될 수 있다. 그러나, 특정 구조로 연결되는 펌프의 포어라인에서 반응 물질의 혼합 위험은 설명되어야 한다. 게다가 펌프의 포어라인으로 전환된 반응 물질이 낭비된다.
● 오프 상태와 온 상태 사이의 흐름 제어기 및 밸브들과 같은 흐름 성분의 반복된 신속한 주기는 챔버의 압력 파괴 및 프로세스의 입자 동작을 역으로 충돌하는 흐름 성분에서 증가된 입자 생성/방출을 초래한다. 게다가, 오프 상태와 온 상태 사이의 일정한 주기하의 이러한 성분의 신뢰성은 중요한 관계로 증명되었다.
● SiCl4/NH3를 사용하는 SiN과 같은 몇몇 ALP 프로세스의 경우, 완전한 표면 포화를 위한 반응 물질 노출 도즈는 100Torr를 초과하는데, 즉 만일 웨이퍼 상의 반응 물질의 부분 압력이 1Torr인 경우, 100초의 노출 시간이 필요하다. 대안은 예를 들어 10초 동안 10Torr와 같은 반응 물질의 높은 부분 압력을 사용하는 것이다. 이는 반응 물질을 위해 액체 소스를 포함하는 대부분의 예에는 비현실적인데, 그 이유는 액체 소스의 기상 입력이 원하는 부분 압력을 달성하는 데는 불충분하기 때문이다.
많은 ALP 시퀀스에서, 비록 낮은 농도로 존재하지만, 반응 부산물은 원자층 에칭을 초래하는 역방향으로 반응을 진행시킬 수 있다. 이는 바람직하지 않으며 반드시 회피되어야 한다. 이러한 현상은 통상적으로 반응 부산물로서 HF 또는 HCl을 생성하는 ALP 반응에서 발생한다. 예를 들어, TiCl4 및 NH3를 사용한 TiN의 ALP에서, HCl 부산물은 역반응을 억제하는 TiCl4의 낮은 잔류 기본 압력(background pressure)이 존재하지 않는다면, 형성된 TiN을 에칭할 수 있다. Ga 및 As의 연속 펄스를 사용하는 GaAs와 같은 화합물 반도체 막의 증착 동안, As의 낮은 부분 압력은 증착된 막으로부터 As가 증발하는 것을 방지하기 위해 Ga 펄스 동안 존재해야 한다. 반응 물질의 낮은 부분 압력은 반응 물질이 세정 단계동안 흡착되는 것을 유지하는데 필수적일 수도 있다.
ALP용 증착 온도는 통상적으로 대등한 CVD 온도보다 낮다. 이는 대등한 CVD 프로세스에서 사용되는 것보다 조금 낮은 온도 범위에서 발생하는 모든 표면 위치의 완전한 포화가 필수적이기 때문이다. 예를 들어, CVD 프로세스 온도가 450-550℃인 반면, TiN은 350-450℃에서 ALP 시퀀스를 사용하여 TiCl4/NH3로부터 증착될 수 있다. 더 낮은 온도에서 동작할 경우의 결점은 반응이 완료되지 않을 수도 있다는 것이며, 이는 높은 불순물을 갖는 비화학량론적 막 또는 막들을 생성할 수도 있다. 예를 들어, 비염소 처리된 선구체를 사용하여 증착된 막들에서, 잔류 염소 오염이 통상적으로 관심사이다. 유사하게, 유기 선구체를 사용하여 증착된 막의 경우, 탄소 및 수소 오염이 발생한다. 라디칼 보조 ALP가 상당한 성과로 이러한 문제점을 개선하는데 사용되어 왔지만, 노출 시간은 매우 긴데, 이는 처리량을 떨어뜨리는 ALP 주기의 존속시간을 연장시킨다. 플라즈마 어닐링 또는 플라즈마 조밀화는 이러한 공정을 가속화할 수 있지만, 플라즈마 이온이 막으로의 유한한 침투 깊이를 갖기 때문에, 불순물의 제거는 막 두께 전체에서 균일하지 않다. 또한 막은 플라즈마에 직접 노출시키는 것은 표면 오염물을 증가시키는 것 외에도 막 또는 하부 소자 구조물에 플라즈마로 인한 손상을 초래할 수 있다. 우수한 플라즈마 균일성을 갖는 ALP 및 플라즈마 프로세스에 적합한 반응기를 만드는 것은 또한 중요한 기술적 임무이다.
도 1은 종래 기술의 ALP 시퀀스를 도시한다.
도 2는 본 발명의 실시예에 대한 시간의 함수로서 흐름 및 압력 변화를 도시한 그래프이다.
도 3은 본 발명에 따라 ALP 동작의 흐름도를 나타낸 도면이다.
도 4는 본 발명의 가스 흐름의 택일적 실시예를 나타낸 도면이다.
도 5는 다중 웨이퍼 반응기와 조합한 ALP 가스 주입기를 나타낸 도면이다.
도 6a는 종래 기술의 가스 운반 시스템을 나타낸 도면이다.
도 6b는 도6a의 시스템의 ALP 동작의 흐름도를 나타낸 도면이다.
도 7a는 본 발명에 따른 가스 운반 시스템을 나타낸 도면이다.
도 7b는 도 7a의 장치의 동작을 나타낸 도면이다.
도 7c는 도 7a의 운반 시스템의 변형을 나타낸 도면이지만 다중 플레넘(multi-plenum) 주입기에 적용된다.
도 8은 다중 웨이퍼 반응기와 조합한 본 발명의 반응기 저장소를 갖는 가스 운반 시스템을 나타낸 도면이다.
도 9는 다중 웨이퍼 반응기의 개략도이다.
도 10은 ALP에서 흡수율을 증가시키는 화학 흡착의 사용을 나타낸 흐름도이다.
도 11은 화학 흡착을 사용한 증착을 증가시키는 택일적 방법의 흐름도이다.
도 12는 ALP의 단일 웨이퍼 반응기를 나타낸 도면이다.
도 13은 급속 열 어닐링을 위한 장치를 나타낸 도면이다.
도 14는 펄스 시간의 함수로서 표면 포화를 나타낸 도면이다.
도 15a는 충전 동안 시간 대 챔버 압력의 그래프를 나타낸 도면이다.
도 15b는 반응기 저장소를 사용하여 충전하는 동안 시간 대 챔버 압력, 및 시간 대 저장소 압력의 그래프를 나타낸 도면이다.
도 16은 펌핑(그래프a), 및 펌핑 및 세정(그래프b)에 의해 반응 물질을 배출시키는 시간을 나타낸 두 개의 그래프를 갖는 그래프이다.
본 발명의 목적은 ALP 프로세스에서 웨이퍼 처리량을 증가시키는 방법 및 장치를 제공하는 것이다.
본 발명의 다른 목적은 처리량이 단일 웨이퍼 ALP 반응기보다 높고 통상의 단일 웨이퍼 CVD 반응기에 비해 더 경쟁력 있도록 평행한 웨이퍼 프로세싱 모듈을 사용하여 ALP 시스템에서 웨이퍼 처리량을 향상시키는 것이다.
본 발명의 목적은 ALP 시스템 운반 장치 반응기 챔버에서 반응 물질 ,및 통상의 반응기 설계와 양립할 수 있고 통상의 ALP에서 실행되는 펌핑 단계를 필요로 하지 않는 반응기 배출 라인을 분리시키는 개선된 방법을 제공하는 것으로, 반응기 및 가스 운반 복잡성을 증가시키지 않고, 반응 물질 소스의 광범위한 어레이, ALP 반응 화학 작용 및 반응기 구조에 ALP를 더욱 적합하게 한다.
본 발명의 또다른 목적은 기판 표면상의 반응 물질의 흡착율/화학적 흡착율을 증가시킴으로써 요구되는 최소 반응 물질 노출 도즈를 감소시키는 것이다.
본 발명의 또다른 목적은 ALP 동안 발생할 수 있는 역반응을 억제하여 양호한 막 보전성 유지하는 것이다.
본 발명의 또다른 목적은 처리량이 단일 웨이퍼 ALP 반응기보다 더 높고 통상의 단일 웨이퍼 CVD 반응기보다 경쟁력 있도록 평행한 웨이퍼 프로세싱 모듈을 사용하여 원자층 프로세싱을 위해 웨이퍼 처리량을 향상시키는 것이다.
본 발명의 또다른 목적은 급속한 열 보조 ALP를 사용하여 손상 유발 또는 표면 불순물의 증가 없이 ALP 막으로부터 불순물을 제거하는 방법을 제공하는 것이다.
요약하면, 본 발명의 실시예는 웨이퍼상에 층간 성장을 달성하기 위해 반응기에서 가스 흐름을 연속적으로 조정함으로써 ALP 처리량을 증가하는 방법을 포함한다. 제 1 반응 물질이 캐리어 가스의 일정비로 유입된다. 제 1 시간 간격 후, 제 1 반응 물질 흐름은 감소되는 반면, 캐리어 가스 흐름은 대략 일정한 전체 가스 흐름을 유지하기 위해 증가된다. 제 1 반응 물질 흐름이 최소의 예정된 양에 도달할 때, 제 2 반응 물질 흐름이 개시되어 증가되는 반면, 캐리어 가스는 일정한 전체 가스 흐름을 계속하기 위해 감소된다. 상기한 방법은 택일적으로 표면과 반응하는 제 1 인가 가스로서 또는 반응 물질에 추가된 리간드로서 반응 물질 흡착 및 화학적 흡착을 향상시키는 물질을 도입하는 것을 포함한다. 또다른 대안은 막 특성, 평행한 웨이퍼 프로세싱 및 반응 물질 저장소를 개선시키기 위해 주기적인 급속 열 어닐링을 포함한다.
본 발명의 장점은 반응 물질 노출 도즈 및 노출 시간을 감소시킴으로써 반응 물질의 비용을 감소시킨다는 것이다.
본 발명의 다른 장점은 원하지 않는 원자층 에칭을 유발하는 역반응의 발생을 방지한다는 것이다.
본 발명의 또다른 장점은 웨이퍼 프로세싱의 처리량을 증가시키는 방법 및 장치를 제공한다는 것이다.
본 발명의 원자층 프로세싱의 방법의 실시예가 시간의 함수로서 반응 물질 및 캐리어 밸러스트 가스의 흐름을 나타내는 도2의 그래프를 참조하여 설명될 것이다. 제 1 반응 물질 가스의 흐름은 그래프(10)로 도시되며, 제 2 반응 물질 가스는 그래프(12)로 도시된다. 밸러스트/캐리어 가스의 흐름은 그래프(14)로 표시되고, 전체 가스 흐름은 그래프(16)로 표시된다. 원자층 프로세싱 가스 흐름의 방법은 도 2에 도시된 흐름을 설명하는 도 3의 흐름도를 기초로 상세히 설명된다. 라인(14)(도2)로 나타난 캐리어 가스는 연속한 흐름율(블록 18)로 주입 및 유지된다. 이어, 제 1 반응 물질 가스(라인(10), 도2)가 도 2의 항목(20) 및 블록(22)과 같이 나타난 제 1 시간 주기 동안 예정된 흐름 조절 윤곽에 따라 주입된다. 도 2 및 도 4에 도시된 조절 윤곽은 사다리형이다. 본 발명은 또한 사인 곡선과 같은 다른 윤곽을 포함할 수 있다. 제 1 반응 물질 흐름이 블록(26)에 개시된 대로 레벨(24)로 감소될 경우, 제 2 반응 물질이 도 3의 블록(30)에 개시된 바와 같이, 제 2의 시간 주기(28)(도 2) 동안 도 2에서 라인(12)으로 표시된 대로 유입된다.
제 2 주기(28)의 종료시, 제 2 반응 물질이 정지되거나, 최소화되며, 제 1 반응 물질은 다시 증가된다. 제 2 반응 물질은 만일 반응 물질 2가 가스 소스를 가지고 반응 물질 2의 온/오프 제어가 간단하다면 최소화되기 보다는 정지될 것이다. 도 2에 도시된 이러한 주기는 도 3에서 복귀 라인(34)으로 도시된다. 도 2 및 도 3에 도시된 방법은 일반적으로 도 1에 도시된 바와 같은 종래 기술과는 현저히 상이하며, 여기서 명확한 분리가 제 1 반응 물질과 제 2 반응 물질의 주입 사이에 행해진다. 종래 기술의 방법은 제시간에 이러한 분리 동안 세정 가스를 분출시키기 위해 및/또는 반응 물질 가스를 제거하기 위해 반응 챔버를 배출시키기 위해 세정 가스를 주입시킨다. 도 4는 본 발명의 방법의 택일적 실시예를 도시하는데, 여기서 전체 가스 흐름(42)은 제 1 반응 물질 가스(41)로부터 제 2 반응 물질 가스(43)로 변화하는 프로세스 동안 반응 물질 가스(41)의 급강하와 동시에 발생하는 캐리어 가스 라인(38)의 급상승 영역(peaked area)(36)으로 나타난 바와 같이 캐리어 가스를 증가시킴으로써 도 2에 도시된 것보다 더 일정하게 유지된다. 본 발명의 방법은 어떠한 세정 또는 배출 단계가 사용되지 않는 점에서 종래 기술의 방법과 상이하다. 도 2-4의 방법의 장점은 특정한 기간의 ALD 주기 동안 각각의 반응 물질의 유효 노출 도즈가, 세정 또는 배출을 대기할 필요가 없기 때문에, 증가된다는 것이다. 택일적으로, 각각의 반응 물질에 대한 노출 시간은 감소될 수 있으며, 이는 원자층의 분량을 증착하기 위해 필요한 시간을 감소시킨다.
도2, 3 및 4에 도시된 바와 같이 본 발명의 방법은 제 1 반응 물질로부터 제 2 반응 물질로 가스 흐름을 조절하는 것을 개시한다. 본 발명은 예를 들어 제 1 반응 물질로부터 제 2 반응 물질로 이어, 제 3 반응 물질로 조절하는 소정 수의 반응 물질들을 또한 포함한다.
도 2-4를 참조하여 전술한 바와 같이, 하나의 반응 물질로부터 다른 반응 물질로의 반응 물질 흐름을 연속적으로 조정하는 방법은 ALP 시스템에서 실질적으로 기판/웨이퍼 처리량에서 실질적인 증가를 제공된다. 본 발명은 또한 도2, 3 및 4를 참조하고 추가의 방법적 소자 및 장치와 조합하여 개시된 바와 같은 방법을 포함한다. 도 5는 도2-4를 참조하여 전술한 바와 같이 동작하는 ALP 방법을 반응기(44) 및 가스 주입 장치(46)의 조합을 도시한다. 상기 도면에서, 두 반응 물질들은 공통 가스 주입 포트(47)를 통해 반응기로 진입한다. 반응 물질들 사이의 공간 분리를 개선하기 위해 다중 플레넘 주입기를 통하는 것처럼 분리된 가스 주입기를 통해 두 개의 반응 물질을 주입하는 것이 유리하다. 다중 플레넘 주입기는 미국 특허 출원 일련 번호 10/216,079에 개시되며, 그 내용은 본 명세서에 참조된다. 반응기(44)는 미국 특허 일련 번호 08/909,461에 상세하게 개시되며, 그 내용은 본 명세서에 참조된다. 반응기(44)에서 다수 웨이퍼의 동시 프로세싱은 웨이퍼 처리량에서 현저한 증가를 제공한다. 택일적 실시예로서, 본 발명의 방법 및 장치는 반응 물질의 증가된 공급을 제공하는 반응기 저장소를 포함한다. 또다른 택일적 실시예는 예정된 수의 각각의 주기 후, 급속 열 어닐링을 제공하는 방법 및 장치를 포함한다. 급속 열 어닐링은 본 발명의 방법 및 장치에 의해 제공된 높은 웨이퍼 처리량으로 막 품질을 개선한다. 반응 물질 저장소는 다중 웨이퍼 반응기와 함께 ALP에 적용된 상태로 도 8에 도시된다. 저장소는 본 발명에 따라 단일 웨이퍼 반응기로 사용될 수도 있다. 급속 열 어닐링은 점선(49)로 나타낸 택일적 실시예로 도시된 바와 같이 도3의 블록(48)으로 개시된다. 조절된 가스 흐름, ALP 다중 웨이퍼 반응기, 반응 물질 저장소 및 급속 열 어닐링의 방법 및 장치에 대한 상세한 설명은 상세한 설명 및 도면으로 제공될 것이다.
도2-4를 참조하여 도시된 바와 같이 흐름 및 압력의 조절은 이제 더욱 상세하게 설명될 것이다. 전술한 바와 같이, 종래 기술의 ALP에서 실행된 대로 펌프 세정 단계가 생략되었다. 대신, 반응 물질 흐름 및 압력은 하나의 반응 물질로부터 바로 다른 반응 물질로 주기적으로 조절된다. 도 2의 항목 번호(24)로 도시된 바와 같이, 반응 물질의 흐름 및 압력은 완전한 턴-오프보다는 임의의 낮은 값으로 강하된다. 전술한 바와 같이, 다른 선구체가 유입될 경우 하나의 선구체의 허용가능한 잔류 레벨은 프로세스에 따라 다르다. 하나의 선구체의 허용가능한 잔류 레벨을 결정하는 하나의 표준은 전체 증착율에 대한 기생 CVD의 기여도이다. APL 프로세스의 경우, 전체 증착율에 대한 기생 CVD의 기여도는, 만일 막 특성, 막 균일성 및 스텝 커버리지가 손상되지 않는다면 비록 더 높은 값이 가능할지라도, 전형적으로 10% 미만이다. 사실, 기생 CVD는 기생 CVD가 사용되지 않는다면 늦어질 ALP 프로세스의 증착율을 강화시키는데 사용될 수도 있다. 이는 분자 CVD로 칭해진다. 분자 CVD는 ALP에 비해 증착율을 강화시키지만, 상대적으로 양호한 스텝 커버리지, 막 균일성 및 양호한 막 특성을 달성한다. 몇몇 프로세스에서, 전체 증착율에 대한 CVD의 기여도는 특정한 생성 가스상 반응 물질을 회피하기 위해 1% 미만이어야 한다. 또한, ALP 동안 선구체를 서로로부터 완전히 분리하는 것은 요구되지 않으며, 소정의 경우 바람직하지 않을 수도 있다. 몇몇 기생 CVD는 증착될 막을 에칭할 수도 있는 해로운 역반응을 방지할 수 있다. 본 발명에 따라, 종래 기술의 ALP에서 개별 세정 단계 어떠한 프로세스 이익도 없고 단지 반응 물질을 서로로부터 격리시키는 작용을 한다는 것이 밝혀졌다. 다양한 흐름 및 압력 조절 파형들(예를 들어, 사각형, 삼각형, 사디리꼴, 사인곡선, 지수 함수형 등)이 독립적으로 또는 조합하여 사용될 수 있다. 본 발명에 따른 사다리꼴 파형은 도 2 및 4에 도시된다. 전술한 바와 같이, 캐리어 가스 흐름은 각각 도 2 및 도4에 라인(14 및 38)으로 표시되며, 통상적으로 반응 물질 운반 시스템으로부터 반응기 챔버로의 가스 흐름 전송 시간을 감소시키기 위해 필수적이다. 캐리어 가스 흐름율은 또한 챔버내의 반응 물질의 잔류 시간을 제어한다. 게다가, 캐리어 가스는 선구체를 희석시켜서 운반 라인내에서의 응축될 기회를 감소시킨다. 도 2에 도시된 방법에서, 반응 물질 흐름이 하나의 반응 물질로부터 다른 반응 물질로 전환되면, 챔버를 통한 전체 흐름율을 저하된다. 가스 밸러스트로 작용하기 위한 이러한 전환 동안 캐리어 가스를 증가시키는 것은 이러한 저하를 보상할 수 있으며, 더욱 일정한 전체 흐름율을 초래한다. ALP에 대한 이러한 추가의 개선은 항목(36)으로 도 4에 도시된다.
도 1에 도시된 종래의 ALP 시퀀스에서, 개별 반응 물질 펄싱 및 펌프/세정 단계가 포함된다. 본 발명의 ALP 프로토콜은 종래 기술의 반응 물질 및 불활성 세정 가스의 개별 펄싱에 비해 몇몇 장점을 제공한다. 종래 기술의 시스템은 특정 반응 물질이 반응 물질 챔버에 보내지지 않을 경우, 펌프의 포어라인으로 반응 물질을 전환시키기 위해 전환 라인을 구비한 가스 운반 시스템을 필요로 한다. 본 발명은 전환 라인을 필요로 하지 않으며, 결국 펌프 포어라인에서 반응 물질 혼합의 문제점을 방지한다. 이는 이하의 상세한 설명에서 더욱 상세히 설명될 것이다. 본 발명의 다른 장점은 흐름이 조절되는 시간 스케일이 종래 기술의 ALP 주기에 비해 길기 때문에종래 기술의 밸브 및 흐름 제어 성분의 급속한 주기가 필요없다는 것이다. 이는 ALP 프로토콜이 승화된 고체, 액체 및 원격 플라즈마를 포함하는 다양한 반응 물질 소스에 적합하게 한다. 종래의 시스템에서, 반응 물질 펄스 및 세정 시간은 ALP 주기의 기간을 단축시키기 위해 0.5-1초처럼 짧을 수 있다. 연속적은 흐름 조절이지만, 반응 물질 펄스 시간은 ALP 주기의 전체 기간을 증가시키지 않고 두 배가될 수 있다.
종래 기술에서, 가스 성분 및 가스 밸브의 급속한 주기는 압력 파괴를 초래할 수 있다. 통상적으로 압력 파괴는 약하게 점착된 입자를 가스 스트림으로 늦추고, 그 결과 웨이퍼를 오염시킨다. 본 발명의 유연한 흐름 조절은 압력 파괴를 방지하거나 적어도 최소화시킨다. 가스 밸브의 종래의 급속 주기는 특히, 높은 반응성인, 또는 낮은 증기압을 갖는 반응 물질에 노출된 밸브에 대해 밸브내의 현저한 입자 생성을 증가시킬 수도 있다. 본 발명의 흐름 조절 방법은 또한 임의의 낮은 반응 물질 농도가 바람직하지 않은 역반응을 최소화시키기 위한 주기의 전체 부분 동안 유지되게 한다. 도 2 및 4에서, 반응 물질 1의 낮은 잔류 흐름은 주기의 모든 시간에서 제공된다. 대부분의 예에서, 반응 물질1이 흐르는 동안 반응 물질 2의 낮은 잔류 레벨이 허용가능하다.
본 발명의 방법에서, 반응 물질에 대한 웨이퍼 표면의 효율적인 노출 시간은 ALP 주기의 기간을 증가시키지 않고 증가한다. 이는 도 1 및 2를 비교하여 알 수 있다. 혼합된 기간을 갖는 ALP 주기에 대해, 도 2의 반응 물질중 하나에 대한 웨이퍼 표면의 효울적인 노출 시간은 개별 불활성 가스 세정 단계가 제거되기 때문에 도 1의 값을 두 배로 한다. 프로세스 시간에 부담을 가중시키는 종래 기술의 개별 배기 및 불활성 가스 세정 단계는 제거되었다.
도 6a는 종래의 반응 물질 가스 운반 시스템의 개략도이며, 도 7a는 본 발명에 따른 반응 물질 가스 운반 시스템의 개략도이다. 단지 필수 구성 요소만이 개시되었다. 실제 시스템은 운반 시스템이 더욱 견고하고 내구성을 갖도록 통상적으로 추가의 밸브 ,필터 등과 같은 더 많은 소자를 포함한다. "제어"라고 표시된 박스는 흐름 제어기이다. 제어기의 종류에는 고압 가스 소스용 질량 흐름 제어기, 낮은 증기압 가스 소스용 압력 기반 흐름 제어기, 및 콤비네이션 액체 질량 흐름 제어기 및 액체 소스용 증발기 등이 있다. 콤비네이션 질량 흐름 제어기, 버블러, 및 온도 제어기는 고체 및 액체 소스에 사용될 수도 있다. 도6a에 개시된 종래 기술의 시스템(48)은 반응 물질 및 불활성 세정 가스의 교번하는 펄스를 제공한다. 통상적으로, 운반 밸브(52, 52, 54) 및 전환 밸브(56, 58, 60)는 제어기(68, 70, 72)가 자신의 세트-포인트 값을 유지하는 동안 반응기로 반응 물질의 펄스를 운반하기 위해 온/오프 토글링된다. 운발 밸브(50, 52, 54)는 메인 흐름 경로(74)와 인라인으로 도시되며, 운반 밸브(56, 58, 60)는 폄프(미도시)의 포어라인과 연결된 전환 라인(76, 78, 80)과 인라인으로 장착된다.
도 7a는 온/오프 신호보다는 연속적으로 조절된 흐름 및 압력 신호를 사용하는 본 발명의 개선된 ALP 프로토콜용 반응 물질 운반 시스템(82)을 도시한다. 흐름 제어기(92, 94, 96)의 가스 운반 라인(86, 88, 90) 다운스트림을 배기하는데 사용될 수 있는 단일의 전환 라인(84)을 제외하고, 도 6의 운반 라인(76, 78, 80)이 제거되었음을 주의해야 한다. 여기서, 전환 라인(84)은 통상적으로 전환 라인이기보다는 펌프 아웃 라인으로 사용된다. 이러한 시스템의 동작은 도 6a에 도시된 시스템과는 현저히 상이하다. 프로세싱 동안, 운반 밸브(100)는 개방된 채 유지되고 전환 라인 밸브(104)는 폐쇄된 채 유지된다. 온/오프 상태의 급속한 밸브 주기가 방지된다. 전술한 파형은 각각의 흐름 제어기로 제공되어 도 2에 도시된 반응 물질 및 캐리어/밸러스트 흐름이 달성될 수 있다. 사실, 제어기(92, 94, 96)로 향하는 제어기 신호(106, 108, 109)는 더욱 빠른 응답을 위해 각각의 흐름 제어기의 제어 밸브로 직접 제공될 수 있다. 질량 흐름 제어기는 외부적으로 제공된 흐름 세트-포인트에 응답하여 원하는 흐름을 달성하기 위해 내부 제어 밸브의 위치를 조절하는 장치 및 PID 제어 루프를 감지하는 내부 흐름을 통합한다. 내부 흐름 센서의 응답 시간이 통상적으로 1-2초이므로, 질량 흐름 제어기는 수 초보다 짧은 시간 스케일에 대해 세트-포인트 변화에 응답할 수 없다. 연속적인 흐름 조절을 위해, 질량 흐름 제어기의 응답 시간은 감소되어야 한다. 질량 흐름 제어기로 연속적으로 변화하는 세트-포인트를 제공하기 보다는, 느리게 변화하는 신호가 흐름을 제어하기 위해 내부 제어 밸브로 직접 제공될 수도 있다. 이러한 방식으로, 질량 흐름 제어기의 본질적으로 느린 응답 시간이 극복될 수 있다. 이러한 방식으로, 반응 물질 운반 시스템의 구조 및 동작은 종래의 ALP 시퀀스용 운반 시스템에 비해 현저히 간단하게 될 수 있다. 도6a으 시스템을 사용하는 종래 기술의 4단계 ALP 시퀀스 더욱 상세한 설명은 도 6b의 흐름도의 블록(59-65)에 개시된다. 이러한 단계들은 복귀 라인(67)으로 나타낸 바와 같이 원하는 막 두께를 증착하기 위해 여러 번 반복된다.
도 6b에서, 도 7a에 개시된 장치를 사용하는 본 발명에 따른 ALP 시퀀스는 도 7b의 블록(81 및 83)에 개시된 바와 같이 감소된 온/오프 스위칭 소자를 사용하여 단지 두 단계로 구성된다. 상기 단계들은 복귀 라인(85)으로 나타낸 대로 원하는 막 두께를 얻기 위해 반복된다.
다중 플레넘 주입기에 적용되는 도 7a에 도시된 운반 시스템의 변형은 도 7c에 도시된다. 도 7c의 반응 물질 1 및 반응 물질 2는 개별 주입기를 통해 챔버로 도입되는 각각의 플레넘 1 및 2(미도시)를 통해 챔버로의 입력을 위해 개별 출력(87 및 89)을 통해 도 7c의 시스템으로부터 출력된다.
반응 물질 저장소를 사용하는 다른 택일적인 반응 물질 운반 시스템은 도 8에 도시된다. 제 1 및 제 2 소스(112 및 114)는 대응하는 제어 밸브(124 및 126)가 소스(112 및 114)가 반응 물질 중기를 생성하는 것만큼 빠르게 반응기(128)로 흐름을 제공하지 않을 경우, 저장소(120 및 122)를 채우기 위해 증기(116 및 118)를 제공한다. 전술한 바와 같이, 제어기(124 및 126)는 종래 기술의 시스템에서와 같이 반응 물질을 완전히 차단하지 않는다. 도 2 및 4의 방법에 따라, 반응 물질 저장소는 제어기(124, 126)에 의해 제공된 제어기/밸브 개방 정도에 따라 반응 물질 증기를 챔버에 공급한다. 캐리어 소스 제어기(131)는 도 4를 참조하여 전술한 바와 같이 더욱 일정한 가스 흐름을 유지하기 위해 조절될 수도 있다. 반응 물질이 저장소로부터 챔버로 흐름에 따라, 저장소는 고갈되며 그 결과 업스트림 가스 압력을 감소시킨다. 제어기(124 및 126)는 챔버로의 원하는 반응 물질 흐름율을 달성하기 위해 업스트림 압력의 상기한 감소를 보상해야 한다. ALP 주기에서, 단지 하나의 반응 물질이 실질적인 흐름율로 흐르며, 제 2의 반응 물질은 상당히 감소된 흐름율로 챔버로 흐른다. 챔버로의 하나의 반응 물질의 흐름율이 감소될 경우, 대응하는 반응 물질 저장소가 다시 채워진다. 반응 물질 저장소의 용량은 반응 물질 도즈 단계를 위해 총분한 반응 물질을 확보하도록 선택되어야 한다.
반응 물질 저장소는 종래 기술의 시스템에 비해 더 많은 반응 물질을 공급한다. 종종, 종래 기술에서, 챔버로 운반될 수 있는 최대 반응 물질 흐름은 반응 물질의 특성에 의해 제한된다. 이는 특히 통상적으로 낮은 증기압을 가지며 쉽게 증기화되지 않는 액체 또는 고체 반응 물질 소스의 경우 해당된다. 예를 들어, 증발기가 단지 반응 물질을 10sccm 증발시킬 수 있기 때문에, 최대 10sccm흐름율로 운반될 수 있는 종래 기술의 시스템을 고려해야 한다. 이러한 경우, 상기한 반응 물질을 사용하여 1Torr로 1리터의 반응 물질 챔버를 채우기 위해 필요한 시간은 ~8초이다. 대조적으로, 본원 발명의 저장소가 반응 물질 증기가 10Torr-1로 충전되면(예를 들어, 1.5Torr로 채워진 4리터 저장소), 1리터의 반응기 챔버는 저장소가 챔버로 방출시킬 경우 거의 동시에 1Torr로 채워진다. 이러한 개선은 대략적으로 크기가 단일 웨이퍼 챔버의 체적보다 큰 평행한 웨이퍼 프로세싱 챔버에 대해 특히 유리하다. 반응 물질 저장소가 없을 경우, 반응 물질 소스로부터의 반응 물질 흐름은 단일 웨이퍼 ALP 반응기용 밸브에 비해 더 큰 크기를 가져야 한다. 반응 물질 저장소는 반응 물질 소스로부터 이러한 높은 반응 물질 흐름에 대한 요구를 제거한다. 반응 물질 저장소 또는 증발된 반응 물질 증기를 수용하는다른 방법 없이, 다운스트림 압력은 운반 밸브가 폐쇄된 경우 허용 불가능하게 높은 레벨에 도달할 것이다. 종래 기술의 도 6a는 각각의 가스에 대응하는 운반 밸브(50-54)가 차단될 경우, 초과 증기를 펌프 또는 펌프의 포어라인으로 전환시키는 전환 밸브(56-60) 및 라인(76-80)를 도시한다. 본 발명의 도 8을 참조하면, 포어라인으로의 전환 대신, 흐름은 반응 물질 저장소에 축적되어, 반응 물질 저장소를 채운다. 4단계로 구성된 종래 기술의 통상의 ALP 시퀀스에서, 반응 물질은 ALP 주기의 ~25% 동안 챔버로 흐른다. 이러한 이유로, ALP 주기의 75% 동안 반응 물질은 펌프의 포어라인으로 전환되며 필수적으로 낭비된다. 선구체를 포어라인으로 전환하는 것은 입자 생성과 같은 몇몇 예상하지 못한 결과를 초래할 수 있다. 통상의 ALP 시퀀스에서, 하나의 반응 물질이 운반되면, 다른 반응 물질은 챔버로 그리고 결국 포어라인으로 도입된다. 따라서, 펌프의 두 반응 물질은 포어라인에서 혼합하며, 이는 입자를 발생시킨다. 본 발명의 반응 물질 저장소의 경우, 반응 물질은 챔버(130)로 흐르거나 반응기 저장소에 축적된다. 반응기 저장소의 내용물은 주기적으로 반응기 챔버(130)로 방출되고 저장소의 압력을 낮춘다. 이러한 방식으로, 반응 물질 낭비가 감소되며, 펌프의 포어라인에서의 입자 생성이 방지된다.
ALP 프로세싱에 사용하기 위한 도 8의 다중 웨이퍼 반응기(128)의 바람직한 실시예는 내부면을 도시하는 절개도로 도 9에 도시된다. 이러한 반응기는 미국 특허 일련 번호 10/216,079의 도 27 및 28을 참조하여 상세히 설명되며, 전체 내용은 본 명세서에서 참조된다. 도 9의 다중 웨이퍼 반응기의 챔버는 성공적인 ALP 주기의 필요성에 부합할 수 있는 감소된 체적으로 설계된다. 매우 일반적으로, 도 9의 반응기는 다중 웨이퍼 보트(133), 가스 입력부(135), 배기부(137), 히터(139), 및 세정 주입기 포트 및 열전쌍 포트로 사용될 수 있는 두 개의 추가 포트(141, 143)을 포함한다. 각각의 히터 및 포트는 제어기에 의해 온도가 제어된다. 도 9의 반응기의 배열은 챔버 내부 체적을 최소화하며, 이는 적절한 가스 흐름의 제어를 위해 전술한 바와 같이 중요한 특성이다.
도 8에서 시스템(132)과 같이 가스 운반 시스템은 특정 응용예를 지지하도록 설계되어야 한다. 가스 운반 시스템의 구성 요소는 응용예에 종속한다. 도 8은 두 개의 반응 물질 소스(112 및 114)와 하나의 캐리어 소스를 도시하지만, 본 발명은 특정 막의 증착을 위해 요구되는 소정 수의 구성 요소를 포함한다. 예를 들어, AlOx/HfOx 막의 나노 라미네이트를 증착하기 위해, 하나 이상의 액체 반응 물질 소스(예를 들어, 트리메틸알루미늄, Hf-t-butoxide, 및 물), 하나 이상의 가스 반응 물질(예를 들어, 산소, 오존, 니트로스옥사이드, 암모니아 등), 및 라디칼 소스(예를 들어, 수소, 산소, 또는 아르곤과 같은 캐리어 가스를 갖거나 갖지 않은 니트로스옥사이드 원격 플라즈마)를 포함한다. 가스 운반 시스템은 각각의 반응 물질 소스로부터 반응 물질 챔버로 2초 이하의 짧은 반응 물질 가스 운반 시간을 달성하도록 배치된다. 도 9의 반응기는 도 6a를 참조한 설명과 같이 종래 기술의 가스 운반 시스템과 함께 사용될 수 있으며, 이러한 조합은 새로운 것으로 여겨지며 ALP 프로세싱 속도를 향상시킨다. 도 9의 반응기는 도 8에 도시된 반응 물질 저장소와 관련하여 사용될 수 있다. 더욱 바람직하게, 도 9의 반응기는 도 2-4를 참조하여 설명된 조절된 가스 흐름을 제공하는 본 발명에 따른 가스 운반 시스템과 함께 사용될 수 있다. 개선된 운반 시스템의 구조는 도 7을 참조하여 설명될 수 있으며, 도 8을 참조하여 설명된 바와 같이 반응 물질 저장소를 포함할 수 있다.
도 9의 챔버는 미국 특허 일련 번호 10/216,079의 구성을 참조하여 상세히 설명된다. 본 발명에 따라 ALP 프로세싱에서 도 9의 챔버의 통합은 종래 기술에 대한 개선인데, 이는 작은 챔버 체적이 반응 물질의 개선된 제어를 가능하게 하며, 그 결과 챔버에서의 반응 물질의 더욱 신속한 변화를 가능하게 하고, ALP 주기를 최소화하고 반응 물질의 사용을 최대화한다. 웨이퍼당 효율적인 챔버 체적은 보트와 둘레의 챔버 표면 사이의 웨이퍼간 공간 및 갭을 감소시킴으로써 도 9의 챔버에서 감소된다. 가스 주입 포트, 배기 포트, 세정 주입기 포트 및 열전쌍 포트는 둘레의 챔버 표면을 구성한다. 택일적으로, 도 9의 반응기는 보트에 근접하며, 미국 특허 일련 번호 10/216,079에 개시된, 열 실드를 포함할 수 있다. ALP 프로세싱 온도는 통상적으로 300-550℃의 범위이며, 따라서, 보트와 둘레의 챔버 표면 사이의 갭은 미국 특허 일련 번호 10/216,079에 설명된 바와 같이, 주입기 포트, 배기 포트, 세정 주입 포트 및 열정쌍 포트를 과도 가열하지 않고 감소될 수 있다. 이러한 방식으로, 웨이퍼당 무관한 체적은 각각의 웨이퍼 쌍에 대해 웨이퍼간 체적의 25%로 감소될 수 있다. 이러한 개선으로, 20-30초의 통상의 ALP 주기는 용이하게 유지될 수 있으며, 이는 2-3Å/분의 증착율로 해석된다. 본 발명의 개선된 조절된 흐름을 사용하는 평행한 웨이퍼 프로세서/반응기는 한번에 25개까지 웨이퍼를 프로세싱할 수 있다. 통상적으로 프로세스는 웨이퍼 로딩/언로딩을 위해 부가의 10분, 및 웨이퍼 온도를 안정화시키기 위해 부가의 10분을 포함한다. 따라서, 전체 기간은 프로세싱 시간에 부가의 20분은 더한 것이다. 부가의 20분으로 인해, 30Å 막의 처리량은 대략 시간당 40개의 웨이퍼인 반면, 100Å의 처리량은 시간당 20개이다. 종래의 기술을 사용하는 증착의 경우, 30Å의 막인 경우 시간당 10개의 웨이퍼, 100Å 막인 경우, 시간당 4개의 웨이퍼를 생산할 수 있는 것과 비교하라. 본 발명에 따라 5배의 처리량 개선 효과를 용이하게 달성할 수 있다.
ALP의 경우, 각각의 반응 물질에 의한 웨이퍼 표면의 완전한 포화는 우수한 막 균일성, 우수한 스텝 커버리지 및 우수한 막 특성을 위해 필수적이다. 표면 포화의 정도는 도 14에 도시된 바와 같이, 웨이퍼가 반응 물질에 노출되는 시간(펄스 시간)에 근본적으로 의지한다. 표면 포화는 또한 반응 물질의 화학적 성질에 영향을 받는다. 몇몇 반응 물질은 매우 신속하게 흡착(도14의 그래프)한다. 더욱 빈번하게, 포화 속도는 늦게 진행(예를 들어, 도 14의 그래프(b))한다. 그래프(c)는 시간에 따른 반응 물질의 포화도를 나타내며, 그래프(d)는 흡착된 반응 물질의 포화도를 나타낸다.
모든 경우에, 반응기를 가능한한 신속하게 각각의 반응 물질로 채우는 것은 단축된 시간 주기에 표면 포화를 달성시킨다. 반응 물질로 반응기를 채우는 시간이 너무 길고, 반응 물질이 시간의 주기 동안 분해 또는 흡착되는 경향(도 14의 그래프(c 및 d))을 갖는다면, 반응 물질이 제 2의 반응 물질이 도입되기 전에 분해 또는 흡착되므로 ALP는 불가능하다. 긴 반응기 채움 시간은 더 큰 체적을 갖는 챔버의 경우 중요한 논점이다. 가능한한 많이 챔버 체적이 감소되는 것이 매우 중요하다. 반응 물질 저장소는 부분적으로 큰 체적의 챔버에 필요한 더 긴 채움 시간을 부분적으로 경감시킨다. 도 15a는 1 slm N2의 안정된 흐름이 사용될 경우 92 리터의 평행한 웨이퍼 프로세싱 반응기의 채움 시간을 도시한다. 채움 시간은 ~5초이며, 이는 소정의 공급 파라미터를 사용하여 가능한 최대 챔버 압력의 원하는 비율에 도달하는데 필요한 시간을 한정한다. 대신에 만일 300Torr-리터(90Torr×3.5리터)로 채워지는 본 발명의 반응 물질 저장소가 사용되면, 160리터 챔버에 대한 채움 시간은 도 15b에 도시된 바와 같이 2초 미만으로 감소된다. 도 15b는 또한 챔버가 채워짐에 따른 저장소 압력의 감소를 나타낸다. 만일 챔버 체적이, 통상적으로 25개의 웨이퍼를 프로세싱하도록 설계된 도 9의 평행한 웨이퍼 프로세싱 반응기를 위해 달성할 수 있는, 45리터로 감소되면, 반응기 채움 시간은 1초에 도달할 것이다. 따라서 반응기 채움 시간의 현저한 감축은 반응 물질 저장소의 사용을 통해 달성될 수 있다.
펌핑만을 사용하는 경우와 비교하여 더욱 시간 효율적이기 때문에, 단일 웨이퍼 ALP 반응기는 펌핑과 동시에 반응기 챔버로부터 반응 물질을 배출시키기 위해 세정을 조합하여 사용한다. 이는 완전히 닫혀진 위치에서 챔버 압력 제어 밸브(즉, 스로틀 밸브)를 개방시키는데 몇 초가 소요되기 때문이다. 대조적으로, 플레넘 밸브가 초단위의 비율로 개방 및 폐쇄될 수 있다. 그러나 단일 웨이퍼 ALP 반응기와 비교하여 높은 컨덕턴스 배기 포트 및 실질적으로 큰 챔버 체적을 갖는 도 9에 도시된 평행한 웨이퍼 프로세싱 반응기의 경우, 동시 펌핌보다는 단독 펌핑이 더 짧은 시간 주기에서 충분한 반응 물질 배기를 달성할 수 있다. 도 16의 그래프 "a"는 초기 압력보다 2단계의 크기 단위가 낮은 챔버 압력을 감소시키기 위한 펌핑 시간이 약 2-3초라는 것은 보여주며, 두 그래프(a 및 b)는 유사한 초기 및 최종 조건을 위한 두 개의 실험으로부터 얻은 데이터를 나타낸다. 도 16의 그래프"b"는 펌핑 및 세정이 동시에 사용될 경우, 반응 물질의 부분 압력에서의 동일한 감소를 달성하기 위해 현저히 더 길다는 것을 보여준다.
원자층 증착에서 웨이퍼 처리량을 향상시키기 위한 본 발명의 목적은 화학적 흡착율을 증가시키는 방법의 실시를 통해 더욱 증진된다.
반응 물질 펄스 시간에 대한 기본적인 하한은 특정 온도에서 표면의 완전한 포화를 달성하기 위한 반응 물질 노출 도즈이다. 통상적으로 온도는 반응 물질 커버리지를 최대화하고, 화학적 흡착 반응 물질과 가스상 반응 물질들 사이의 표면 반응이 달성되어 낮은 불순물 성분을 갖는 화학량론적 막이 형성되는 것을 보장한다. 완전한 포화를 위해 100Torr를 초과하는 몇몇 반응 물질 노출 도즈의 경우, 프로세스의 산업적 실현성에 부정적으로 영향을 미친다. 도 10은 ALD 프로세스에서 화학적 흡착율을 증가시키기 위한 하나의 방법을 도시한다. 블록(134 및 136)에 의해 표시되는 일련의 반응 물질에 이어 프로세싱될 웨이퍼(블록 (138))의 표면과 작용하는 챔버로 반응물을 주입되지만, 상기 일련의 반응 물질은 선택된 증착에 대해 요구되는 소정의 수일 수 있다. 이어, 주기가 복귀 라인(140)으로 도시된 바와 같이 반복된다. ALP 주기는 제 1 반응 물질의 주입에 앞서 표면을 사전처리하도록 블록(138)으로 시작될 수 있다.
표면 화학적 흡착율은 웨이퍼 표면에 대한 반응 물질의 부착 계수에 의존한다. 더 높은 부착 계수는 더 높은 화학적 흡착율을 초래한다. 기판의 표면 본딩은 부착 계수에 영향을 미친다. 통상적으로 히드록시화된(OH) 또는 C-H 본딩된 표면은 부착 계수를 향상시킨다. 표면 본딩은 표면과 작용하는 ALP 시퀀스 동안 블록(138)에서 나타낸 바와 같이 반응물을 도입함으로써 원하는 더 높은 반응도로 변경될 수 있다. 목적을 위한 반응물의 예는 본 명세서를 참조한 후 기술 분야의 당업자에게 명확할 것이다. 예를 들어, 증기는 웨이퍼 표면을 히드록시화하는 반응물로 작용한다. 프로세스는 SiN의 ALP를 위해 사용될 수 있는데, 이는 SiCl4 및 Si2Cl6가 SiN 표면에서 낮은 부착 계수를 갖지만 히드록시화된 표면에 대해서는 실질적으로 높은 부착계수를 갖기 때문이다. 알콜, 루이스 염, 및 탄화 수소와 같은 유기 반응물은 유사하게 작용할 수 있다. 반응물의 선택은 부착 계수의 개선 및 소개되는 잔류 막 오염에 의해 결정된다. 예를 들어, H2O가 각각의 ALP 주기의 종료시 SiN 표면을 히드록시화하는데 사용될 경우, 막에서의 산소 불순물 레벨이 증가하기 쉽다.
도 11은 ALD 프로세스에서 화학적 흡착을 증가시키는 또다른 방법은 도시한다. 도 11에 따라, 표면 화학적 흡착율은 화학적 흡착(블록(142 및 144))을 증진시키기 위해 염기성 반응 물질에 반응성 리간드를 첨가함으로써 향상된다. 예를 들어, SiCl4를 사용하는 ALP SiN의 경우, 하나 이상의 유기 리간드가 염기성 반응 물질에 첨가된다. 유기 리간드는 증착된 막의 잔여 탄소 오염물과 본딩하여 화학적 흡착을 증진시킨다. 염기성 반응 물질에 첨가될 수 있는 다른 물질은 특히 미량의 탄소 함량을 갖는 표면에 대해 염소처리된 실란보다 더 높은 화학적 흡착율을 갖는 다량의 염소처리된 알킬 실란을 포함한다. 이러한 방식을 위한 트레이드오프는 전술한 방식과 유사하다. 막에서의 불순물 레벨은 더 높기 쉽다. 미량의 소정의 불순물은 수용가능하며, 어떤 경우 막의 특성을 향상시킨다. 미량의 탄소 불순물을 포함하는 유기 선구체로 증착된 SiN 막은 카본이 없는 무기 반응 물질로 증착된 막과 비교하여 더 낮은 누설 전류를 갖는 것이 밝혀졌다. SiN 및 SiO2의 ALD 위해 사용된 염소처리된 실리콘 소스를 위한 다른 옵션은 더 무거운 할로겐을 갖는 하나 이상의 염소 원자를 대체하는 것이다. 예를 들어, 실리콘 테트라브로마이드 또는 실리콘 테트라이오다이드는 SiN 증착을 위해 NH3와 함께 사용될 수도 있다. 이러한 두 선구체는 더 낮은 휘발성을 가지므로 염소처리된 형태보다 웨이퍼 표면에 대해 더 높은 부착 계수를 갖는다. 염소처리된 실란으로부터 실리콘 테트라브로마이드 또는 실리콘 테트라이오다이드로 전환하는 또다른 이익은 할라이드 본딩에 대한 실리콘이 더 약해지므로 ALP가 더 낮은 온도에서 가능하다는 것이다. 통상적으로 더 낮은 온도는 더 높은 표면 포화를 의미하는 더 높은 부착 계수를 촉진시킨다.
화학적 흡착을 증가시키기 위한 또다른 옵션은 의도적으로 막에 소정의 오염물을 도입시키는 반응물 중 하나에 리간드를 첨가하는 것이다. 이러한 오염은 다른 리간드에 대해 화학적 흡착율을 증가시킨다. 예를 들어, 알킬 아민, 알킬 히드라진 등과 같은 질소 소스는 증착된 막에 C-H 오염물을 남겨서 ALD SiN 동안 실리콘 소스의 화학적 흡착율을 증가시킨다.
본 발명의 방법은 성장 동안 막을 주기적으로 어닐링함으로써 더욱 강화된다. 다시 말해, 전체 막의 증착에 이어 막을 어닐링하기 보다는, 본 발명은 막이 두께 전체를 통해 균일하게 어닐링되도록 성장 동안 주기적으로 막을 어닐링한다. 종래 기술의 방법을 참조하면, CVD로 증착된 막은 밀도, 전기적 결함 밀도, 절연 특성, 스트레스, 열 안정성 등과 같은 막 특성을 향상시키기 위해 다양한 대기(N2, AR, H2, O2, N2O 및 이들 가스의 혼합)에서 증착 온도 이상의 온도로 정형적으로 어닐링된다. ALP 막은 CVD와 비교하여 더 낮은 온도로 증착되며 또한 어닐링으로부터 유리하다. 본 발명에 따라 ALP에 적용된 금속 열 어닐링은 예를 들어 바람직하게 5-50 주기 마다 주기적으로 막을 어닐링한다.
ALP 프로세싱에서 급속 열 어닐링을 달성하는 장치는 도 12 및 13에 도시된다. 도 12는 단일 웨이퍼 반응기(146)이다. 프로세싱될 웨이퍼(148)는 상부 서셉터(150)와 하부 서셉터(152) 사이에 세팅된다. 반응기 챔버(154)는 ALP 프로세싱에서 효율적이기 위해 최소화되어야 한다. 일반적으로 CVD 시스템에 사용된 큰 챔버 체적은 전술한 바와 같이 챔버 반응 물질에서 급속한 변화를 위해 요구되기 때문에 ALP에 사용가능하지 않다. 본 발명에 따라, 도 12의 구조 또는 유사한 반응기의 서셉터들 사이의 체적에 대한 전체 챔버 가스 체적의 비는 3 미만 바람직하게는 1.5미만이어햐 한다. 전술한 미국 특허 일련 번호 10/216,079에 개시된 다중 웨이퍼 챔버는 서셉터들 사이의 체적에 잔류할 수도 있는 전체 챔버 체적의 낮은 비로 인해 ALP 및 급속 열처리에 적용가능하다.
도 12의 반응기에서, 웨이퍼의 온도는 램프(156)로부터 서셉터(150 및 152)로 많거나 적은 열을 제공함으로써 신속하게 상승 및 하강한다. 동시에, 어닐링 가스는 막을 어닐링하기 위해 주입된다. 유사한 반응기가 미국 특허 일련 번호 10/216,079의 도 10에 도시된다. 도 13은 웨이퍼(158)의 온도를 급속하게 증가 및 감소시키는 택일적인 방법을 도시한다. 웨이퍼(158)은 하부 서셉터(162)의 세척 홀을 통과하는 핀(160)상에 지지된다. 장치(164)는 웨이퍼(158)를 상승 및 하강시키도록 설계된다. 상부 서셉터(166)는 히터(168)에 의해 제 1 온도로 가열되며, 하부 서셉터(162)는 히터(170)에 의해 제 2 온도로 가열된다.
동작시, 예를 들어, 상부 서셉터(160)는 더 높은 온도로 가열될 수 있고 하부 서셉터는 더 낮은 온도로 가열될 수 있다. 이어 장치(164)는 어닐링을 위한 웨이퍼 온도로 상승시키기 위해 상부 서셉터(166)를 향해 웨이퍼를 상승시키고 ALP 증착을 위해 필요한 낮은 온도로 서셉터(162)를 향해 웨이퍼를 하강시키는데 사용된다.
요약하면, 개선된 설계는 웨이퍼를 감싸는 한 쌍의 서셉터를 구비한 반응기를 포함한다. 웨이퍼를 가열하기 위해 서셉터를 사용하는 것은 몇몇 장점을 제공한다. 서셉터들 사이의 공간은 웨이퍼 온도가 특별히 균일하게 하는 등온 환경이다. 차가운 웨이퍼가 뜨거운 서셉터들 사이에 배치될 경우 서셉터는 신속하게 웨이퍼를 상온에서 프로세싱 온도로 가열한다. 서셉터는 시스템의 열 질량을 형성하며 서셉터간 갭은 주입기로부터 배기 포트로 흐름 컨덕턴스를 한정한다. 이러한 배열은 다수의 웨이퍼 크기들이 동일한 프로세스 방식으로 프로세싱되게 하는데, 이는 시스템의 열 질량 및 서셉터를 통한 흐름 컨덕턴스가 웨이퍼 크기에 독립적이기 때문이다. 미국 특허 일련 번호 10/216,079에 개시된 바와 같이, 만일 웨이퍼가 서셉터에 비해 직경이 훨씬 작다면, 웨이퍼에 앞서 서셉터 공간이 열 경계층을 한정한다. 반응 물질 가스가 서셉터 에지에서 시작하는 열 경계층을 횡단함에 따라, 반응 물질 가스는 웨이퍼 에지에 도달하기 전에 사전 가열된다. 따라서, 흐름 및 가스 온도는 가스 흐름이 웨이퍼 에지에 도달하기 전에 완전히 설정되어 웨이퍼 표면으로 반응 물질의 균일하게 가열된 공급을 초래한다. 예로써, 이러한 사전 가열은 고품질의 실리콘 질화물의 균일한 증착에 필수적이다.
급속 열 보조 ALP의 경우, 서셉터의 사용은 추가의 장점을 제공한다. 프로세스 가스에 노출된 유효한 챔버 체적은 큰 체적을 갖고 서셉터는 갖지 않는 종래의 챔버와 비교하여 현저히 감소된다.
비록 본 발명이 특정예로 설명되었지만, 당업자는 본 발명을 변경할 수 있다. 따라서, 이하의 청구항은 본 발명의 사상내에서 행해질 모든 변경을 포함한다.

Claims (21)

  1. 하나의 가스를 주입하기 전에 챔버로부터 다른 가스를 세정하지 않고, 반응 물질 챔버로 연속하여 일련의 가스들을 주입하는 것을 포함하는 원자층 프로세싱에 의해 적어도 하나의 기판상에 물질을 증착하는 방법.
  2. 제 1 항에 있어서, 각각의 상기 가스는 반응 물질 가스 및 불활성 캐리어 가스의 혼합물을 포함하는 것을 특징으로 하는 물질 증착 방법.
  3. 제 1 항에 있어서, 주입된 상기 제 2 가스는 앞서 주입된 상기 제 1 가스의 감소된 흐름을 포함하는 것을 특징으로 하는 물질 증착 방법.
  4. 제 1 항에 있어서, 상기 가스들은 반응 물질 가스를 포함하는 가스의 주입에 앞서 상기 기판상에 표면 사이트를 활성화시키는 제 1 활성화 가스를 포함하는 것을 특징으로 하는 물질 증착 방법.
  5. 제 4 항에 있어서, 상기 일련의 가스들의 양은 상기 재료를 원하는 두께로 증착하기에 충분한 것을 특징으로 하는 물질 증착 방법.
  6. 제 4 항에 있어서, 상기 제 1 활성화 가스는 상기 기판상의 반응 물질의 흡착율 및 화학적 흡착율을 증가시키기 위한 것을 특징으로 하는 물질 증착 방법.
  7. 제 2 항에 있어서, 각각의 그룹의 주입에서 적어도 하나의 가스는 상기 기판상의 반응 물질 흡착율 및 반응 물질의 화학적 흡착율을 향상시키기 위해 리간드를 포함하는 것을 특징으로 하는 물질 증착 방법.
  8. 제 4 항에 있어서, 각각 예정된 수의 상기 가스들을 주입 후, 상기 적어도 하나의 기판을 급속 열 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 물질 증착 방법.
  9. 제 1 항에 있어서, 상기 반응기는,
    a) 복수의 상기 기판은 수용하는 보트;
    b) 상기 보트 주위에 이격된 복수의 제 1 히터 섹션; 및
    c) 다수의 온도 제어된 제 2 영역을 포함하며, 각각의 상기 영역은 두 개의 히터 섹션들 사이에 위치하는 것을 특징으로 하는 물질 증착 방법.
  10. 제 9 항에 있어서, 상기 영역은 각각의 상기 기판 전체에 집중된 가스 흐름을 제공하기 위해 주입기 플레이트와 함께 구성된 온도 제어된 가스 주입기를 포함하는 것을 특징으로 하는 물질 증착 방법.
  11. 제 9 항에 있어서, 상기 영역은 각각의 상기 기판 전체에 상기 가스를 유도하기 위해 상기 주입기로부터 상기 보트에 대향하여 배치된 온도 제어된 가스 배기부를 포함하는 것을 특징으로 하는 물질 증착 방법.
  12. 복수의 반응 물질 가스들을 증착 챔버로 주입시키는 것을 포함하는 원자층 프로세싱에 의해 적어도 하나의 기판에 물질을 증착하는 방법으로서, 상기 반응 물질 가스들 중 하나를 상기 반응 물질 가스들 중 다른 하나로 전환하여 주입하는 동안, 적어도 하나의 상기 반응 물질 가스들을 포함하는 가스가 연속적으로 흐르는, 물질 증착 방법.
  13. 제 12 항에 있어서, 상기 챔버는 단일 웨이퍼 챔버인 것을 특징으로 하는 물질 증착 방법.
  14. 제 12 항에 있어서, 상기 챔버는 다중 웨이퍼 챔버인 것을 특징으로 하는 물질 증착 방법.
  15. 반응기 챔버에서 원자층을 증착하는 방법으로서, 상기 챔버는 다중 웨이퍼 챔버인, 원자층 증착 방법.
  16. 반응기 챔버에서 원자층을 증착하는 방법으로서, 복수의 반응 물질 가스들이 상기 챔버로 주입되고, 상기 각각의 반응 물질 가스는 전용 반응 물질 저장소로부터 주입되고, 상기 반응 물질들은 펌프의 포어라인에서 혼합되지 않으며, 선구체 운반 시스템의 주기는 최소화되는, 원자층 증착 방법.
  17. 제 12 항에 있어서, 각각 예정된 수의 상기 그룹들의 주입 후, 상기 기판들을 급속 열 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 물질 증착 방법.
  18. 제 15 항에 있어서, 각각 예정된 수의 상기 그룹들의 주입 후, 상기 기판들을 급속 열 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 물질 증착 방법.
  19. 제 16 항에 있어서, 각각 예정된 수의 상기 그룹들의 주입 후, 상기 기판들을 급속 열 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 물질 증착 방법.
  20. 제 1 항에 있어서, 상기 주입된 가스는 적어도 하나의 반응 물질 가스, 및 화학적 흡착율을 증가시키기 위해 상기 기판의 표면과 작용하는 반응물을 포함하는 것을 특징으로 하는 물질 증착 방법.
  21. 제 12 항에 있어서, 상기 가스들은 적어도 하나의 반응 물질, 및 화학적 흡착율을 증가시키기 위해 상기 기판의 표면과 작용하는 반응물을 포함하는 것을 특징으로 하는 물질 증착 방법.
KR1020057012926A 2003-01-13 2004-01-13 박막의 층간 증착을 위한 방법 및 장치 KR20050100610A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/342,151 US7393561B2 (en) 1997-08-11 2003-01-13 Method and apparatus for layer by layer deposition of thin films
US10/342,151 2003-01-13

Publications (1)

Publication Number Publication Date
KR20050100610A true KR20050100610A (ko) 2005-10-19

Family

ID=32711656

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057012926A KR20050100610A (ko) 2003-01-13 2004-01-13 박막의 층간 증착을 위한 방법 및 장치

Country Status (6)

Country Link
US (1) US7393561B2 (ko)
EP (1) EP1590497A2 (ko)
JP (1) JP2006516304A (ko)
KR (1) KR20050100610A (ko)
CN (1) CN1735709A (ko)
WO (1) WO2004062341A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200141935A (ko) * 2019-06-11 2020-12-21 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Families Citing this family (460)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050188923A1 (en) * 1997-08-11 2005-09-01 Cook Robert C. Substrate carrier for parallel wafer processing reactor
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
WO2004094695A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7833580B2 (en) * 2003-07-04 2010-11-16 Samsung Electronics Co., Ltd. Method of forming a carbon nano-material layer using a cyclic deposition technique
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
JP4583764B2 (ja) * 2004-01-14 2010-11-17 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) * 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
KR100597322B1 (ko) * 2005-03-16 2006-07-06 주식회사 아이피에스 박막증착방법
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
JP5137366B2 (ja) * 2006-01-24 2013-02-06 株式会社日立国際電気 基板処理システム及び液体材料供給装置
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7789319B2 (en) * 2006-05-17 2010-09-07 Micron Technology, Inc. System and method for recirculating fluid supply for an injector for a semiconductor fabrication chamber
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9649715B2 (en) * 2009-12-30 2017-05-16 Lincoln Global, Inc. Pulse width modulation control of gas flow for plasma cutting and marking
JP2011151294A (ja) * 2010-01-25 2011-08-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20120000612A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US8652573B2 (en) 2010-07-15 2014-02-18 Asm International N.V. Method of CVD-depositing a film having a substantially uniform film thickness
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524322B2 (en) 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5945430B2 (ja) * 2012-02-29 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6245643B2 (ja) * 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101390474B1 (ko) 2013-04-08 2014-05-07 주식회사 유진테크 기판처리장치
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
KR101767855B1 (ko) 2013-07-02 2017-08-11 울트라테크 인크. 격자 전위들을 제거하기 위한 급속 열적 프로세싱에 의한 헤테로에피택셜 층들의 형성
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
DE112014004343B4 (de) 2013-09-23 2019-01-31 Ultratech, Inc. Verfahren und Vorrichtung zum Ausbilden von Galliumnitridschichten mit Bauelementqualität auf Siliziumsubstraten
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP2020026571A (ja) * 2018-08-17 2020-02-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20210120073A (ko) * 2019-02-28 2021-10-06 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP7407521B2 (ja) 2019-04-26 2024-01-04 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112795897B (zh) * 2020-12-25 2022-12-02 安徽中飞科技有限公司 多晶硒化锌的制备方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114959649A (zh) * 2022-05-19 2022-08-30 江苏微导纳米科技股份有限公司 一种基片处理设备和方法

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS51144183A (en) 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
JPS53112066A (en) 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
DE2849240C2 (de) 1978-11-13 1983-01-13 Siemens Ag, 1000 Berlin Und 8000 Muenchen CVD-Beschichtungsvorrichtung für Kleinteile und ihre Verwendung
JPS5846057B2 (ja) 1979-03-19 1983-10-14 富士通株式会社 プラズマ処理方法
US4258858A (en) * 1979-07-09 1981-03-31 Russell Robert L Apparatus for sealing floating roof tanks
US4381965A (en) 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
US4565157A (en) 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4858557A (en) 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4784874A (en) 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
JPS61191015A (ja) 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
JPS61197638A (ja) 1985-02-28 1986-09-01 Sumitomo Bakelite Co Ltd プラズマ処理装置及び方法
US4870245A (en) 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
US4653428A (en) 1985-05-10 1987-03-31 General Electric Company Selective chemical vapor deposition apparatus
US4728389A (en) 1985-05-20 1988-03-01 Applied Materials, Inc. Particulate-free epitaxial process
WO1987003740A1 (en) 1985-12-09 1987-06-18 Nippon Telegraph And Telephone Corporation Process for forming thin film of compound semiconductor
JPH0647727B2 (ja) 1985-12-24 1994-06-22 キヤノン株式会社 堆積膜形成法
US5391232A (en) 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4969416A (en) 1986-07-03 1990-11-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5427824A (en) 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPH01125821A (ja) 1987-11-10 1989-05-18 Matsushita Electric Ind Co Ltd 気相成長装置
JP2502661B2 (ja) 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
JPH01242163A (ja) * 1988-03-25 1989-09-27 Jidosha Kiki Co Ltd 塗膜シェルの外周剪断かしめ部のコーティング方法および装置
US5225036A (en) 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2768685B2 (ja) 1988-03-28 1998-06-25 株式会社東芝 半導体装置の製造方法及びその装置
US5130269A (en) * 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
KR960012876B1 (ko) 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
US5458724A (en) 1989-03-08 1995-10-17 Fsi International, Inc. Etch chamber with gas dispersing membrane
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP2603722B2 (ja) 1989-06-09 1997-04-23 日本電子株式会社 高周波誘導結合プラズマ質量分析装置
EP0428161B1 (en) 1989-11-15 1999-02-17 Kokusai Electric Co., Ltd. Dry process system
US5203956A (en) 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5198071A (en) 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
US5291030A (en) 1992-06-04 1994-03-01 Torrex Equipment Corporation Optoelectronic detector for chemical reactions
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JPH065552A (ja) * 1992-06-17 1994-01-14 Tokyo Electron Ltd ガス処理装置
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5356475A (en) 1993-02-22 1994-10-18 Lsi Logic Corporation Ceramic spacer assembly for ASM PECVD boat
JPH0794431A (ja) 1993-04-23 1995-04-07 Canon Inc アモルファス半導体用基板、該基板を有するアモルファス半導体基板、及び該アモルファス半導体基板の製造方法
JPH06330323A (ja) 1993-05-18 1994-11-29 Mitsubishi Electric Corp 半導体装置製造装置及びそのクリーニング方法
EP0636704B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
JP2776726B2 (ja) 1993-09-21 1998-07-16 日本電気株式会社 半導体装置の製造方法
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5650197A (en) 1994-03-11 1997-07-22 Jet Process Corporation Jet vapor deposition of organic molecule guest-inorganic host thin films
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5493967A (en) * 1994-09-16 1996-02-27 Pitney Bowes Inc. Value selection and printing apparatus including a security device
JP3058037B2 (ja) 1994-11-07 2000-07-04 株式会社島津製作所 質量分析装置
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08167605A (ja) 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
EP0726260A1 (en) * 1995-02-08 1996-08-14 American Cyanamid Company Herbicidal (1,2,4)thiadiazoles
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5554220A (en) * 1995-05-19 1996-09-10 The Trustees Of Princeton University Method and apparatus using organic vapor phase deposition for the growth of organic thin films with large optical non-linearities
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5574963A (en) * 1995-07-31 1996-11-12 Lee S. Weinblatt Audience measurement during a mute mode
US5551985A (en) 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6258719B1 (en) * 1998-07-01 2001-07-10 Honeywell International Inc. Intermetallic aluminides and silicides articles, such as sputtering targets, and methods of making same
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
JP3214558B2 (ja) 1998-11-06 2001-10-02 住友金属工業株式会社 シリコン単結晶ウェーハの熱処理装置
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001103833A (ja) 1999-10-08 2001-04-17 Yanmar Agricult Equip Co Ltd 汎用コンバインの還元処理構造
JP2001237193A (ja) 1999-12-15 2001-08-31 Semiconductor Leading Edge Technologies Inc 熱処理装置用ウェハボートおよび熱処理方法
US6342445B1 (en) * 2000-05-15 2002-01-29 Micron Technology, Inc. Method for fabricating an SrRuO3 film
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200141935A (ko) * 2019-06-11 2020-12-21 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
WO2004062341A3 (en) 2004-11-25
EP1590497A2 (en) 2005-11-02
US7393561B2 (en) 2008-07-01
WO2004062341A2 (en) 2004-07-29
US20030134038A1 (en) 2003-07-17
JP2006516304A (ja) 2006-06-29
CN1735709A (zh) 2006-02-15

Similar Documents

Publication Publication Date Title
KR20050100610A (ko) 박막의 층간 증착을 위한 방법 및 장치
JP4994551B2 (ja) 薄膜を成長させる、改良された装置および方法
EP1641031B1 (en) Deposition of TiN films in a batch reactor
KR101238429B1 (ko) 나노층 증착 공정
KR100408733B1 (ko) 박막 증착 방법
US7629256B2 (en) In situ silicon and titanium nitride deposition
US20050136657A1 (en) Film-formation method for semiconductor process
US20120100308A1 (en) Ternary metal alloys with tunable stoichiometries
JP3968869B2 (ja) 成膜処理方法及び成膜処理装置
KR20020003003A (ko) 원자층 증착법을 이용한 하프니움산화막 형성방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid