KR960012876B1 - 열처리 장치 - Google Patents

열처리 장치 Download PDF

Info

Publication number
KR960012876B1
KR960012876B1 KR1019890008278A KR890008278A KR960012876B1 KR 960012876 B1 KR960012876 B1 KR 960012876B1 KR 1019890008278 A KR1019890008278 A KR 1019890008278A KR 890008278 A KR890008278 A KR 890008278A KR 960012876 B1 KR960012876 B1 KR 960012876B1
Authority
KR
South Korea
Prior art keywords
reaction tube
cooling fluid
heat treatment
reaction
tube
Prior art date
Application number
KR1019890008278A
Other languages
English (en)
Other versions
KR910001345A (ko
Inventor
켄 나카오
Original Assignee
도오교오 에레구토론 사가미 가부시끼가이샤
카자마 젠쥬
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도오교오 에레구토론 사가미 가부시끼가이샤, 카자마 젠쥬 filed Critical 도오교오 에레구토론 사가미 가부시끼가이샤
Publication of KR910001345A publication Critical patent/KR910001345A/ko
Application granted granted Critical
Publication of KR960012876B1 publication Critical patent/KR960012876B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D11/00Arrangement of elements for electric heating in or on furnaces
    • F27D11/02Ohmic resistance heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D9/00Cooling of furnaces or of charges therein
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/62Heating elements specially adapted for furnaces
    • H05B3/64Heating elements specially adapted for furnaces using ribbon, rod, or wire heater

Abstract

요약없음

Description

열처리 장치
제1도는 본 발명에 관한 반응관 냉각시스템의 제1실시예가 배열설치된 종형열처리 장치를 나나탠 부분 종단 정면도.
제2도는 제1도에 도시한 장치의 부분 횡단 저면도.
제3도는 본 발명에 관한 반응관 냉각시스템의 제2실시예가 배열설치된 종형열처리 장치를 나타낸 부분 종단 정면도.
제4도는 제3도에 도시한 장치의 부분 횡단 저면도.
제5도는 본 발명에 관한 반응관 냉각시스템의 제3실시예가 배열설치된 횡형열처리 장치를 나타낸 종형 단면도.
제6도는 제5도에 도시한 장치의 부분 종단 측면도.
제7도는 제5도에 도시한 장치에서의 반응관의 길이 방향을 따른 냉각유체의 궤도를 나타낸 도면.
* 도면의 주요부분에 대한 부호의 설명
10 : 종형열처리장치12 : 반응관
14 : 배관16 : 배기배관
18 : 균열 영역22 : 균일하게 열을가하는 관
24 : 히터26 : 단열재
28 : 웨이퍼 부우트32 : 배관
34 : 도입노즐36 : 토출구
42 : 냉각유체 배출배관44 : 배출노즐
46 : 개구50 : 종형열처리장치
52 : 배관54 : 냉각유체 도입노즐
56 : 토출구58 : 냉각유체 공급원
62 : 매니포울드64 : 조정밸브
66 : 지지판68 : 에어실린더
72 : 피스톤 로드74 : 배출노즐
100 : 횡형 열처리장치102 : 반응관
104 : 배관106 : 배기배관
108 : 균열 영역110 : 센서
112 : 가스 공급원114 : 끝단캡
116 : 뚜껑118 : 회수부
122 : 균일하게 열을 가하는 관124 : 히터
126 : 단열재128 : 웨이퍼 보우트
132 : 배관134 : 냉각유체 도입노즐
136 : 토출구138 : 냉각유체 공급원
142 : 매니포울드144 : 조정밸브
146 : 지지판148 : 에어실린더
152 : 피스톤로드154 : 배출 노즐
W : 웨이퍼
본 발명은 반도체 디바이스, 액정구동용 회로판등을 제조하기 위한 열 처리 장치에 관한 것으로, 보다 구체적으로는 이러한 종류의 열 처리 장치에 있어서의 반응관의 냉각시스템의 개량에 관한 것이다.
반도체 디바이스, 액정구동용 회로판 등의 제조공정에 있어서, 웨이퍼, 회로판등의 피처리물체를 가열하여 박막의 형성, 확산, 산화, 에칭등의 처리를 실시하기 위하여, 반응관의 주위에 가열코일이 배열설치된 종형 또는 횡형의 열 처리 장치가 널리 이용되고 있다.
상기 열 처리 장치에서는, 피처리물체를 수납하는 반응관은, 원통형상으로 석영관등으로 이루어지고, 전형적으로는 반응관의 바깥쪽에 탄화규소등으로 이루어지는 원통형상의 균일하게 열이 가해지는 관이 배열설치된다.
또한 균일하게 열이 가해지는 관의 주위에 코일형상으로 형성된 가열 히터가 감겨지고, 또한 이 히터의 바깥쪽에 단열재층이 배열설치된다.
사용시에 있어서, 반응관의 내부의 미리 소정의 처리온도, 예를 들면 수백도 내지 천수백도로 가열되고, 종형장치에서는 하부개구에서, 횡형장치에서는 한쪽끝단개구에서, 웨이퍼 보우트위에 복수매가 얹어놓여진 반도체 웨이퍼등의 피처리물체가 로우드(Load)된다.
다음에 SiH4, O2, B2H6, PH3등의 소정의 반응 가스가 반응관내로 흘러, 막형성 또는 확산등의 처리가 행하여 진다.
상기 열 처리 장치에는 또한, 열처리후에 반응영역 전체를 균일한 온도로 유지하면서 급속히 냉각하는 것이 가능한 냉각시스템을 형성하는 것이 요구되어 오고 있다.
이와 같은 반응관의 냉각처리는, 하나의 피처리물체 내의 열이력(熱履歷) (Thermal Budget)의 균일성 및 동시에 처리하는 복수매의 피처리물체에 있어서의 열이력의 동일성을 얻음과 동시에, 확실한 반응의 제어 및 공정시간의 단축을 도모한다는 측면에서 중요한 요소로 되기 때문이다.
횡형열처리 장치에 있어서의 냉각시스템의 예가 일본국 특공소 58-24711호 공보에 개시되어 있다.
이 시스템에서는, 냉각용 파이프가 가열코일 주위의 단열재내에 배치되어 있다.
따라서 냉각효과가 떨어진다.
횡형 열 처리 장치에 있어서의 냉각 시스템의 다른 예가 일본국 특공소 60-8622호 공보에 개시되어 있다.
이 시스템에서는, 균일하게 열을 가하는 관이 생략되고, 히터가 반응관의 주위에 직접 배열설치되어, 그 주위를 히터 케이스가 둘러싼다.
냉각유체는 반응관의 바깥면과 히터 케이스의 안쪽면이 형성하는 공간 내에, 한쪽끝단부로부터 송풍기에 의하여 흡입되고, 여러 끝단부에서 배출된다.
이 시스템에서는, 반응관을 따르는 냉각유체의 흐름에 편이가 발생하기 쉬우므로, 반응영역 전체를 균일한 온도로 유지하면서 냉각할 수가 없다.
배럴(barrel)형 열 처리 장치에 있어서의 냉각 시스템의 1예가 일본국 실개소 61-157325호에 개시되어 있다.
이 시스템에서는, 가열코일이 반응내부통의 안쪽에 배열설치되고, 웨이퍼는 반응내부통위의 재치대에 지지된다.
냉각유체는, 반응외부통의 바깥쪽을 따라 흐르도록, 장치의 상부에서 반응외부통으로의 접선방향으로 흡입되고, 하부로부터 배출된다.
이 시스템에서는 반응내부통 안쪽에 위치하는 가열코일에 잔재된 열의 영향이 단절되지 않기 때문에, 냉각효과가 떨어지고, 또한 피처리물체인 웨이퍼등의 외부와 내부에 많은 온도차를 발생시키기 때문에, 냉각은 상기 웨이퍼를 일그러지게 하는 원인으로 된다는 문제가 있다.
따라서 본 발명의 목적은, 하나의 피처리물체내의 열이력의 균일성 동시에 처리하는 복수매의 피처리물체 사이에 있어서의 열이력의 동일성을 얻기 위하여, 반응영역 전체를 균일한 온도로 유지하면서 냉각하는 것이 가능한 열 처리 장치에 있어서의 반응관 냉각시스템을 제공하는 데에 있다.
또한, 본 발명의 다른 목적은, 확실한 반응의 제어, 공정시간의 단축을 도모하기 위하여, 반응 영역전체를 균일한 온도로 유지하면서 급냉하는 것이 가능한 열 처리 장치에 있어서의 반응관 냉각 시스템을 제공하는데에 있다.
상기한 목적을 달성하기 위하여, 본 발명에서는, 내부의 열이 균일하게 가해지는 균일영역에 피처리물을 수납하는 반응관과, 상기 반응관을 둘러싸는 히터를 가지는 열처리 장치에 있어서, 상기 반응관의 축방향에 대하여 직각으로, 또 반응관의 주위방향으로 유체를 불어내고, 상기 반응관 및 히터 사이에 냉각유체를 불어 보내는 도입수단과, 냉각작용후의 가열된 냉각유체를 회수하는 배출수단으로 이루어지고, 상기 도입수단으로부터 상기 배출수단에 냉각유체의 흐름이 상기 열이 균일하게 가해지는 균일영역의 전체 길이를 초과하여 형성되는 것을 특징한다.
상기 균일하게 열이 가해지는 영역은, 피처리물체를 열처리하는 반응 영역과 적어도 동일하거나, 또는 이것을 포함하는 것이 아니면 안된다.
어떤 실시예에서, 상기 냉각유체가 상기 반응관의 바깥면을 직접냉각 하도록, 상기 바깥면을 따라 형성되지만, 또 다른 실시예에서는 상기 냉각유체의 흐름은 상기 반응관의 바깥면을 간접적으로 냉각한다.
상기 반응관이 거의 수직선인 길이방향으로 축을 갖는 경우에는, 상기 도입수단이 반응관의 둘레방향의 한쪽 방향으로 유체를 불어내고, 상기 반응관이 거의 수평상태인 길이방향으로 축을 갖는 경우에는, 상기 도입 수반이 반응관의 둘레방향의 양쪽방향으로 유체를 불어낸다.
상기 빈응관이 거의 수직상태인 길이방향으로 축을 갖는 경우이고, 또한 상기 도입수단이 상기 반응관의 길이 방향에서 1개소에 배열설치되는 경우는, 상기 도입수단은 상기 반응관의 실질적으로 최하부에 배치된다.
상기 반응관이 거의 수직상태인 길이방향으로 축을 갖는 경우이고, 또한 상기 도입수단이 상기 반응관의 길이방향에서 복수개소에 배열설치되는 경우는, 상기 반응관의 길이방향을 따른 도입수단의 간격이, 상기 균일하게 열이 가해지는 영역의 상부를 향할수도록 좁아진다.
상기 반응관이 거의 수평상태인 길이방향으로 축을 갖는 경우이고, 또한 상기 도입수단이 상기 반응관의 길이 방향에서 복수개소에 배열설치되는 경우는, 상기 도입수단은 반응관의 최하부에 대향하여 균일하게 열이 가해지는 영역의 길이방향 중심에 대하여 대칭적으로 배치된다.
상기 도입수단이 상기 반응관의 길이 방향에서 복수개소에 배열설치되는 경우는, 상기 균일하게 열이 가해지는 영역이 길이 방향을 따라 복수개의 온도 센서가 배열설치되고, 상기 센서에 의한 검지 온도 정보에 따라 상기 각 도입수단으로부터의 냉각유체의 불어내어 흐르는 량이 개별적으로 조정된다.
상기 열 처리 장치가 상기 반응관과 상기 히터의 사이에 균일하게 열이 가해지는 관을 갖는 경우이고, 또한, 상기 도입수단이 상기 반응관의 길이방향에서 복수개소에 배열설치되는 경우는, 상기 도입수단이 냉각유체 도입노즐 앞끝단의 개구로 이루어지며, 상기 노즐의 앞끝단이 상기 균일하게 열을 가하는 관의 안쪽면으로부터 돌출되어 반응관으로 접근하는 돌출위치와, 앞끝단이 상기 균일하게 열을 가하는 관의 앞쪽면에서 빼낸 후퇴위치와의 사이를 이동할 수가 있다.
본 발명의 다른 특징 및 이점은, 첨부한 도면을 참조하면서 행하여지는 다음의 설명에 의하여 명백하게 된다.
제1도에 도시한 본 발명에 관한 반응관 냉각 시스템의 제1실시예가 배열설치된 종형열 처리 장치(10)의 중심에는, 석영등에 의하여 원통형상으로 형성된 반응관(프로세스튜우브)(12)이 거의 수직으로 배치된다.
반응관(12)의 꼭대기부에는 소정의 반응가스를 도입하기 위한 배관(14)이 접속되고, 이것은 도시하지 아니한 가수공급원으로 연이어 통한다.
또한 반응관(12)의 바닥부에는 배기배관(16)이 접속되고, 이것은 도시하지 아니한 회수부(回收部)로 연이어 통한다.
반응관(12)내에 균일하게 열이 가해지는 균열영역(18)을 형성하기 위하여, 반응관(12)의 바깥둘레 주위에, 탄화규소등으로 이루어지는 균일하게 열을 가하는 관(22)이 동축적으로 배열설치되고, 또한, 균일하게 열을 가하는 관(22)의 바깥둘레 주위에, 그의 바깥쪽면과 간격을 두고 가열장치 예를 들면 코일 형상의 히터(24)가 감겨진다.
반응관의 외부직경, 균일하게 열을 가하는 관의 내부직경, 히터의 내부직경은 각각 208㎜, 250㎜, 285㎜로 되어 있다.
히터(24)의 바깥둘레 주위에는 단열재(26)가 배열설치되고, 이 단열재의 내부둘레 벽면을 치밀하고 또한 평활하게 형성되고, 냉각유체에 의한 먼지의 발생이 방지된다.
단열재(26)의 바깥쪽 하부에는, 제2도에 도시한 바와 같이, 도시되지 아니한 송풍기등에 접속된 냉각유체도입 배관(32)이 배치되고, 이 배관(32)으로부터 주위 90℃간격으로 4개의 도입노즐(34)이 안쪽을 향하여 돌출설치된다.
도입노즐(34)은 단열재(26)를 관통하고, 그의 앞끝단은 히터(24)가 위치하는 균일하게 열이 가해지는 관(22)과 단열재(26)와의 사이의 간격부에 다다른다.
각 도입노즐(34) 앞끝단의 토출구(34)는, 제2도에 화살표로 나타낸 바와 같이, 윗쪽에서 본 수평면내에서 반시계 방향으로 향하여 냉각유체를 분출하도록 배치된다.
단열재(26)의 바깥쪽 상부에는, 도시하지 아니한 흡인수단에 접속된 냉각유체 배출 배관(42)이, 냉각유체 도입배관(32)과 동일한 상태로 설치되고, 이 배관(42)으로부터 주위 90℃ 간격으로 4개의 배출노즐(44)이 안쪽을 향하여 돌출설치된다.
배출노즐(44)은 도입노즐(34)과 유사한 형상을 이루고 있지만, 배출노즐(44)의 개구(46)는, 도입노즐(34)의 토출구(36)와의 반대 방향으로 배치된다.
사용시에 있어서, 상기 종형열 처리 장치(10)에서는, 반응관(12)내부는 미리 히터(24)에 의하여 소정의 처리 온도 예를 들면 수백 내지 천수백도로 가열되고, 하부개구에서 도시하지 아니한 보우트 엘레베이터등에 의하여, 웨이퍼 보우트(28) 위에 복수매가 얹어놓여진 반도체 웨이퍼(W)등의 피처리물체가 로우드(Load)된다.
그리고, SiH4, O2, B2H6, PH3등의 소정의 반응 가스가 반응관(12)내로 흘러, 막형성 또는 확산등의 처리가 행하여 진다.
반응정지등의 이유로 반응관(12)내가 급속히 냉각되도록 하는 경우는, 냉각유체 도입배관(32)으로부터 냉각된 공기(Chilled air)등의 냉각유체가 송출되어, 30분간 정도의 강제 공냉이 행하여 진다.
공기는 도입노즐(34)로부터 히터(24)가 위치하는 균일하게 열을 가하는 관(22)과 단열재(26)와의 사이의 간격부에 수평(윗쪽에서 보아서 반시계 방향)으로 분출되고, 히터(24)의 열에 의하여, 균일하게 열을 가하는 관(22)의 주위를 회전하면서 나선형상으로 상승하고, 배출노즐(44)에서 배출된다.
따라서, 이 공기류에 의하여 히터(24)가 직접 냉각되고, 이와동시에 반응관(12)내가 냉각된다.
또한, 이 공기류는, 균일하게 열을 가하는 관(22)의 주위를 회전하면서 나선형상으로 상승하기 때문에, 수평면내에 있어서의 온도의 균일성이 얻어지게 된다.
제3도에 도시한 본 발명에 관한 반응관 냉각 시스템의 제2실시예가 배열설치된 종형 열 처리 장치(50)는, 상기 종형 열 처리 장치(10)와 기본적으로 동일한 구조를 이룬다.
따라서, 제3도중, 장치(10), (50)에서 공통되는 부재에는 제1도에서와 동일한 부호가 부여되어 있다.
거의 수직으로 배치된 반응관(12)의 꼭대기부에는 소정의 반응가스를 도입하기 위한 배관(14)이 접속되고, 이것은 도시하지 아니한 가스공급원으로 연이어 통한다.
또한 반응관(12)의 바닥부에는 배기 배관(16)이 접속되고, 이것은 도시되지 아히한 회수부로 연이어 통한다.
반응관(12)내에 균일하게 열이 가해지는 균열영역(18)을 형성하기 위하여, 반응관(12)의 바깥둘레 주위에, 탄화규소등으로 이루어지는 균일하게 열을 가하는 관(22)이 동축적으로 배열설치되고, 또한, 균일하게 열을 가하는 관(22)의 바깥둘레 주위에, 그의 바깥쪽면과 간격을 두고 가열장치 예를 들면 코일형상의 히터(24)가 감겨진다.
반응관의 외부직경, 균일하게 열을 가하는 관의 내부직경, 히터의 내부직경은 각각 208㎜, 250㎜, 285㎜로 되어 있다.
히터(24)의 바깥둘레 주위에는 단열재(26)가 배열설치되고, 이 단열재의 내부둘레벽면은 치밀하고 또한 평활하게 형성된다.
본 장치(50)에서는, 히터(24)가 장치(50)의 하부(A), 중앙부(B), 상부(C)의 3개의 구역을 나누어서 독립적으로 조작할 수 있도록 구성되고, 반응관(12)의 균일하게 열이 가해지는 균열영역(18)의 제어를 확실하게 행할수 있도록 되어 있다.
반응관(12)의 균일하게 열이 가해지는 균열영역(18)의 온도의 균일하게 열이 가해지는 균열영역(18)을 따라 복수개소에 배치된 열전대등의 도시되지 아니한 센서에 의하여 수시로 검지되고, 이 검지온도는 도시되지 아니한 제어기구로 전달된다.
제어기구는 이 온도정보에 따라 히터(24)에 대한 전력의 조정, 또는 뒤에 설명하는 각 냉각유체 도입노즐(54)에 있어서의 유체흐름량의 조정을 행한다.
냉각유체 도입노즐(54)은, 수평방향에서는 제4도에 도시한 바와 같이, 반응관(12)에 대하여 주위 90˚ 간격으로 4개소에 배치되지만, 수직방향에서는 제3도에 도시한 바와 같이, 상기 3개의 구역에 대응하여, 하부(A)에 1개조, 중앙부(B)에 2개조, 상부(C)에 3개조의 합계 6개조의 도입노즐(54)이 배열설치된다.
도일노즐(54)은 세라믹제로서, 6 내지 8㎜의 내부 직경을 갖는다. 도입노즐(54)은 단열재(25) 및 균일하게 열을 가하는 관(22)에 뚫려진 구멍을 관통하여, 그의 앞끝단이 반응관(12)과 균일하게 열을 가하는 관(22)과의 사이의 간격부에까지 다다른다.
각 도입노즐(54) 앞끝단의 토출구(56)는, 제4도에 도시한 바와 같이, 윗쪽에서 본 수평면내에서 반시계방향으로 향하여 냉각유체를 분출하도록 배치된다.
각 도입노즐(54)은, 배관(52)을 통하여 매니포울드(62)에 접속되고, 다은쪽 매니포울드(62)는 도시하지 아니한 송풍기등의 수단을 통하여 냉각유체 공급원(58)으로 연이어 통한다.
매니포울드(62)근방에는, 각 노즐(54)의 배관(52)마다 조정밸브(64)가 배열설치되고, 각 노즐(54)마다 독립적으로 냉각유체의 흐름량을 조정할 수 있도록 되어 있다.
또한 각 도입노즐(54)은, 단열재(26)의 바깥쪽에서 수직방향으로 나란히 6개가 동일한 지지판(66)에 고정되고, 다른쪽 지지판(66)은 양쪽을 에어실린더(68)의 피스톤 로드(72)에 착설된다.
따라서 에어실린더(68)의 구동에 의하여 지지판(66)은 단열재(26)의 바깥면에 대하여 접근 및 분리되고, 이에 따라 각 도입노즐(54)은, 앞끝단이 균일하게 열을 가하는 관(22)의 안쪽면으로부터 돌출되어 반응관(12)으로 접근하는 돌출위치와, 앞끝단이 균일하게 열을 가하는 관(22)의 안쪽면으로 끌어들이는 후퇴위치와의 사이를 이동할 수 있도록 되어 있다.
단열재(26)의 바깥쪽상부에는, 흡인수단에 접속된 냉각유체 배출 배관(42)이 상기 제1 및 제2도에 도시한 실시예와 동일한 상태로 배치되고, 이 배관(42)에서 주위 90˚ 간격으로 4개의 배출노즐(74)이 안쪽을 향하여 돌출 설치된다.
배출노즐(74)은 균일하게 열을 가하는 관(22)을 관통하고, 그 앞끝단의 흡입구는 반응관(12)과 균일하게 열을 가하는 관(22)과의 사이의 간격부에서 개구된다.
제3도에서, 도입노즐(54)로부터 냉각유체 공급원(58)으로 이르는 배관구조, 및 노즐(54)을 구동시키기 위한 지지판(66), 에어실린더(68)등의 구조는, 제3도에 오른쪽의 수직방향으로 나란한 6개의 도입노즐에 관하여만 나타내지만, 반응관(12)에 대하여 주위 90˚ 간격으로 배치된 다른 3개소의 수직열을 도입노즐(54)에 대하여도 동일한 구조로 되어 있다.
사용시에 있어서, 상기 종형 열 처리 장치(50)에서는, 반응관(12)의 내부는 미리 히터(24)에 의하여 소정의 처리온도로 가열되고, 하부 개구로부터 피처리물체 예를 들면 웨이퍼 보우트(28) 위에 복수매가 얹어놓여진 반도체 웨이퍼(W)가 로우드된다.
그리고, 반응관(12)내로 소정의 반응가스가 흘러, 막형성 또는 확산등의 처리가 행하여 진다.
이 반응관(12)의 가열시는, 도시하지 아니한 제어기구에 의하여, 모든 도입노즐(54)이 후퇴 위치에 존재하도록, 에어실린더(68)가 조작된다.
따라서 도입노즐(54)은 균일하게 열을 가하는 관(22)의 안쪽면에서 흡입된 상태로 계류되고, 노즐(54)을 통한 열의 전도등에 의한 열효율의 저하가 방지된다.
반응관(12) 내가 급속히 냉각되도록 하는 경우는, 우선 도시하지 아니한 제어기구에 의하여 에어실린더(68)가 조작되고, 모든 도입노즐(54)은, 앞끝단이 균일하게 열을 가하는 관(22)의 안쪽면으로부터 돌출되어 반응관(12)으로 접근하는 돌출위치로 이동된다.
그리고 각 노즐(54)에 연이어 접속된 흐름량 조절밸브(64)가 개방되어, 0.1 내지 10㎥/ 분, 예를 들면 3㎥/ 분의 냉각된 공기 등의 냉각유체가 각 도입노즐(54)의 빨아내는구(56)를 통하여 반응관(12)과 균일하게 열을 가하는 관(22)과의 사이의 간격부로 공급되어, 30분간 정도의 강제 공냉이 행하여 진다.
각 도입노즐(54)로부터의 각 공기는, 반응관(12)의 주위로 수평(윗쪽에서 보아서 반시계방향)으로 분출되어, 반응관(12)의 열을 흡수함으로써, 반응관(12)의 주위를 회전하면서 나선형상으로 상승하고, 최종적으로 최상부의 배출노즐(74)을 통하여 배출된다.
상기에서 설명한 바와 같이 반응관(12)의 균일하게 열이 가해지는 균열영역(18)의 온도는 센서에 의하여 수시로 검지되고, 이 검지온도에 따라 각 도입노즐(54)로부터의 냉각유체 흐름량이 개별적으로 조정된다.
흐름량의 조정은, 제어기구가 상기 검지온도 정보에 따라 각 제어밸브(64)를 작동시켜, 그의 개방정도를 변경시킴으로써 행하여 진다.
본 실시예에서는, 상기 각 공기 즉 냉각유체류가, 반응관(12)가 주위를 회전하면사 나선형상으로 상승하기 때문에, 수평면내에의 온도의 균일성이 얻어지는 것만이 아니고, 반응관(12)의 길이 방향을 따라 다른 복수개소에서 냉각유체를 불어내고, 또한 각 유체의 흐름량이 검지온도 정보에 따라 변경되기 때문에, 균일하게 열이 가해지는 균열영역(18)의 길이에 따른 수직방향에서의 온도의 균일성도 보장되게 된다.
또한 상기 냉각유체의 복합적인 흐름에 의하여 반응관(12)이 직접 냉각되기 때문에, 높은 냉각 효율이 얻어짐과 동시에, 고온상태의 히터(24)에 공기등의 냉각유체가 직접 접촉함에 의한 산화등의 냉각유체의 예상되는 악영향이 회피된다.
제5도는 본 발명에 관한 반응관 냉각 시스템이 제3실시예가 배열설치된 종형 열처리 장치(100)를 나타낸다. 거의 수평상태로 배치된 반응관(102)의 한쪽끝단에는 소정의 반응가스를 도입하기 위한 배관(104)이 접속되고, 이것은 가스 공급원(112)으로 연이어 통한다.
또한 반응관(102)의 다른 끝단부는 뚜껑(116)이 부착된 끝단 캡(114)에 의하여 폐쇄되고, 이 캡(114)에는 회수부(118)에 연이어 통하는 배기관(106)이 접속된다.
반응관(102)내부에 균일하게 열이 가해지는 영역(108)을 형성하도록, 반응관(102)의 바깥주위에, 탄화규소등으로 된 균일하게 열을 가하는 관(122)이 동축적으로 배열설치되고, 또한, 균일하게 열을 가하는 관(122)의 바깥주위에, 그 바깥측면과 간격을 두고 가열장치 예를 들면 코일 형상의 히터(124)가 둘러 싸인다.
히터(124)의 바깥주위에는 단열재(126)가 배열설치되고, 이 단열재의 내부둘레 벽면은 치밀하고 평활하게 형성된다.
반응관(102)의 균일하게 열이 가해지는 영역(108)의 온도는 균일하게 열이 가해지는 영역(108)을 따라서 3개소에 배열설치된 열전대등의 센서(110)에 의하여 수시 검지되고, 이 검지 온도는 도시되지 않은 제어기구에 전달된다.
제어기구는 이 온도정보에 기초하여 히터(124)의 대응하는 전력의 조정, 또는 후술되는 각 냉각유체 도입노즐(134)에 있어서의 유체의 흐름양의 조정을 행한다.
제6도에 나타낸 바와 같이, 반응관(102)의 가장 아래 부분에 대향하여 복수개의 냉각유체 도입노즐(134)이 대체로 균일하게 열이 가해지는 영역의 전체 길이에 걸쳐서 1열로 배치된다.
제5도에 있어서는 도면 제작의 편의상 9개의 노즐이 나타내어져 있으나, 실제는 반응관의 크기등에 따라 15개 또는 그것 이상의 갯수의 노즐이 설치된다.
도입노즐(134)은 세라믹제로서, 6 내지 8㎜의 내경을 갖는다. 도입노즐(134)은 단열재(126) 및 균일하게 열을 가하는 관(122)에 뚫어 설치된 슬릿을 관통하고, 그 앞 끝단이 반응관(102)과 균일하게 열을 가하는 관(122)과의 사이에 도달한다.
각 도입노즐(134) 앞끝단의 토출구(136)는, 제6도에 나타낸 바와 같이, 가로 방향에서 본 수직면내에서 반응관(102)의 주위방향 양족으로 향하여 냉각유체를 분출하도록 배치된다.
각 도입노즐(134)은, 배관(132)을 통하여 매니포울드(142)에 접속되고, 다른쪽 매니포울드(142)는 도시되지 않은 송풍기를 통하여 냉각유체공급원(138)으로 연이어 통한다.
매니포울드(142) 근방에는, 각 노즐(134)의 배관(132)마다 조정밸브(144)가 배열설치되고, 각 노즐(134) 마다에 독립적으로 냉각유체의 유량을 조정할 수 있도록 되어 있다.
또한 각 도입노즐(134)은, 단열재(126)의 바깥쪽에서 동일의 지지판(146)으로 고정되고, 다른쪽 지지판(146)은 양쪽을 에어실린더(148)의 피스톤 로드(152)에 부착되어 있다.
따라서 에어실린더(148)의 구동에 의하여 지지판(146)은 단열재(126) 바깥면에 대응하여 접근 및 이격하고, 이것에 따라 각 도입노즐(134)은, 앞 끝단이 균일하게 열을 가하는 관(122)의 내면으로부터 돌출하여 반응관(102)에 접근하는 돌출위치와, 앞 끝단이 균일하게 열을 가하는 관(122)의 내면으로부터 돌출하여 반응관(102)에 접근하는 돌출위치와, 앞 끝단이 균일하게 열을 가하는 관(122)의 내면에서 빼내어진 후퇴위치와의 사이를 이동하도록 되어 있다.
반응관(102)의 가장 위부분의 길이 방향 양끝단에 대항하여 냉각 유체 배출노즐(154)이 설치된다.
배출노즐(154)은 단열재(126)의 바깥쪽에서 도시되지 않은 흡인수단에 접속되는 한편, 단열재(126)의 내부쪽에서는 균일하게 열을 가하는 관(122)을 관통하고, 그 앞끝단의 불어 넣는 구는 반응관(102)과 균일하게 열을 가하는 관(122)과의 사이의 간극부로 개구한다.
사용시에 있어서, 상기 종형 열처리 장치(50)에서는, 반응관(102) 내부가 미리 히터(124)에 의하여 소정의 처리온도로 가열되고, 다음에 끝단 캡(114)의 뚜껑(116)이 개방되어 피처리물체 예를 들면 웨이퍼 보우트(128)위에 복수매 얹어놓여진 반도체 웨이퍼(W)가 소프트 렌딩등에 의하여 로우드된다.
그리고 반응관(102)내부에 소정의 반응가스가 흐르게 되고, 막 형성 또는 확산등의 처리가 행하게 된다.
이 반응관(102)의 가열시에는, 도시되지 않은 제어기구에 의하여 모든 도입노즐(134)이 후퇴 위치에 존재하도록, 에어실린더(148)가 조작된다.
따라서, 도입노즐(134)은 균일하게 열을 가하는 관(122)의 내면으로부터 배내어진 상태로 남겨지고, 노즐(134)을 통하여 열의 전도등에 의하여 열효율의 저하가 방지된다.
반응관(102) 내부가 급속하게 냉각되도록한 경우에는, 먼저 도시되지 않은 제어기구에 의하여 에어실린더(148)가 조작되고, 모든 도입노즐(134)은, 앞끝단이 균일하게 열을 가하는 관(122)의 내면으로부터 돌출하여 반응관(102)에 접근하는 돌출위치로 이동된다.
그리고 각 노즐(134)에 연이어 접속하는 유량조정밸브(144)가 개방되어, 0.1 내지 10㎥/ min, 예를 들면 3㎥/ min의 냉각공기 등의 냉각유체가, 각 도입노즐(134)의 토출구(136)를 통하여 반응관(102)과 균일하게 열을 가하는 관(122)과의 사이의 간극부에 공급되고, 30분간 정도의 강제 공냉을 행하게 된다.
각 도입노즐(134)로부터의 각 공기는, 반응관(102)의 주위 방향에 양쪽으로 분출되고, 반응관(102)의 주위 방향에 있어서는 제6도에 나타낸 궤도를 타고 올라감과 동시에, 반응관(102)의 길이 방향에 있어서는, 반응관(102)의 길이 방향의 양 끝단의 배출노즐(154)을 통하여 영향을 미치는 흡인 수단으로 끌어당기게 되고, 대략 제7도의 궤도를 타고 올라가 이동한다.
이동에 따라서 공기는 반응관(102)의 열을 흡수하고, 최종적으로 양배출노즐(154)을 통하여 배출된다.
상술한 바와 같이 반응관(102)의 균일하게 열이 가해지는 영역(108)의 온도는 센서에 의하여 수시검지되고, 이 검지온도에 따라서 각 도입노즐(134)로부터의 냉각유체량이 개별적으로 조정된다.
유량의 조정은, 제어기구가 상기 검지온도정보에 기초하여 각 제어밸브(144)를 작동시키고, 그 열린정도를 변경시키는 것에 의하여 행하게 된다.
본 실시예에 있어서는, 검지온도 정보에 따라서 변경된 유량으로써, 균일하게 열이 가히재는 영역(108)의 대략 전체 길이에 걸치는 복수개소로부터 공기 즉 냉각유체가 불어내어지고, 또한 양 끝단의 배출노즐(154)로 향하여서 흡인되기 때문에, 균일하게 열이 가해지는 균열영역(18)의 길이를 따라서 수평방향과, 이것과 직교하는 수직방향의 양방향에 있어서 온도의 균일성을 얻게된다.
또한 상기 냉각유체의 복합흐름에 의하여 반응관(12)이 직접 냉각되기 때문에, 높은 냉각 효율을 얻게됨과 동시에, 고온 상태의 히터(24)에 공기등의 냉각 유체가 직접 접촉하는 것에 의한 산화등의 냉각유체의 예상되는 악영향이 회피된다.
이상 본 발명의 상세하게는, 첨부한 도면에 나타낸 바람직한 실시예에 따라서 설명하였으나, 이들 실시예에 대하여는, 본 발명의 범위를 일탈하지 않고서 여러가지의 변경, 개량이 가능하게 되는 것은 명백하다.

Claims (6)

  1. 내부의 열이 균일하게 가해지는 균열영역(18), (108)에 피처리물을 수납하는 반응관(12), (102)과, 상기 반응관(12), (102)을 둘러싸는 히터(24), (124)를 가지는 열처리 장치에 있어서, 상기 반응관(12), (102)의 축방향에 대하여 직각으로, 또 반응관(12), (102)의 주위방향으로 유체를 불어내고, 상기 반응관(12), (102) 및 히터(24), (124) 사이에 냉각유체를 불어 보내는 도입수단과, 냉각작용후의 가열된 냉각유체를 회수하는 배출수단으로 이루어지고, 상기 도입수단으로부터 상기 배출수단에 냉각유체의 흐름이 상기 열이 균일하게 가해지는 균열영역(18), (108)의 전체 길이를 초과하여 형성되는 것을 특징으로 하는 열 처리 장치.
  2. 제1항에 있어서, 냉각유체의 흐름이 상기 반응관(12), (102)의 바깥면을 직접 냉각하도록, 상기 바깥면을 따라 배열설치되는 것을 특징으로 하는 열 처리 장치.
  3. 제1항에 있어서, 도입수단은 반응관(12)의 주위에서 같은 간격으로 여러개소에 환류(環流)가 형성되도록 배열설치되어 있는 것을 특징으로 하는 열 처리 장치.
  4. 제1항에 있어서, 열처리 장치가 반응관(12), (102)과 히터(24), (124) 사이에 균열관(22), (122)을 가지며, 상기 도입수단 및 상기 배출수단이 균열관(22), (122)의 바깥쪽에 배치되고, 상기 히터(24), (124)의 근방을 따라 냉각유체의 흐름이 형성되는 것을 특징으로 하는 열 처리 장치.
  5. 제1항에 있어서, 균열 영역(108)의 길이방향을 따라서 여러개의 온도센서(110)가 배열설치되고, 상기 센서(110)에 의한 검지온도 정보에 따라 상기 각 도입수단으로부터의 냉각유체의 불어나오는 유량이 개별적으로 조정되는 것을 특징으로 하는 열 처리 장치.
  6. 내부의 열이 균일하게 가해지는 균열영역(18)에 피처리물을 수납하는 길이 방향축이 수직인 반응관(12)과, 상기 반응관(12)을 둘러싸는 히터(24)를 가지는 종형 열처리 장치에 있어서, 상기 반응관(12)의 실질적으로 최하단에 배치되고, 상기 반응관(12)의 축방향에 대하여 수직으로 또 반응관(12)의 주위방향의 유체를 불어내고, 상기 반응관(12), (102) 및 히터 (24)와의 사이에 냉각유체를 불어 보내는 도입수단과, 상기 반응관(12)의 실질적으로 최상부에 배치되고, 냉각작용 후의 가열된 냉각유체를 회수하는 배출수단과, 검지온도정보에 따라 상기 도입수단으로부터 냉각유체의 불어 보내는 유체가 별개로 조정되어야할 균열영역(108)의 길이방향에 따라서 배열설치되는 복수의 온도센서(101)로 이루어지는 것을 특징으로 하는 열 처리 장치.
KR1019890008278A 1988-06-16 1989-06-15 열처리 장치 KR960012876B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP14867688 1988-06-16
JP148676 1988-06-16
JP5350889 1989-03-06
JP89-53508 1989-03-06
JP63-148676 1989-03-06
JP53508 1989-03-06

Publications (2)

Publication Number Publication Date
KR910001345A KR910001345A (ko) 1991-01-30
KR960012876B1 true KR960012876B1 (ko) 1996-09-25

Family

ID=26394211

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019890008278A KR960012876B1 (ko) 1988-06-16 1989-06-15 열처리 장치

Country Status (3)

Country Link
US (1) US5097890A (ko)
JP (1) JP2714577B2 (ko)
KR (1) KR960012876B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100852508B1 (ko) * 2007-08-09 2008-08-18 (주)이노시티 온도 하강을 위한 개선된 구조를 갖는 종형열처리장치

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US5461214A (en) * 1992-06-15 1995-10-24 Thermtec, Inc. High performance horizontal diffusion furnace system
US5465843A (en) * 1994-02-03 1995-11-14 Rehrig Pacific Company Nestable display crate for bottles or the like
JP2755876B2 (ja) * 1992-07-30 1998-05-25 株式会社東芝 熱処理成膜装置
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
KR0175338B1 (ko) * 1993-05-31 1999-03-20 김광호 공기조화기의 공기유로 제어장치 및 방법
US5855277A (en) * 1994-02-03 1999-01-05 Rehrig Pacific Company, Inc. Nestable display crate for bottles with handle feature
JP3583467B2 (ja) * 1994-05-30 2004-11-04 株式会社東芝 半導体装置の製造装置及び製造方法
US5855677A (en) * 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
JP3094816B2 (ja) * 1994-10-25 2000-10-03 信越半導体株式会社 薄膜の成長方法
USD379121S (en) * 1995-04-18 1997-05-13 Rehrig Pacific Company Nestable crate with handle
DE69620590T2 (de) * 1996-05-21 2002-11-21 Applied Materials Inc Verfahren und Vorrichtung zum Regeln der Temperatur einer Reaktorwand
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US5904478A (en) * 1997-03-07 1999-05-18 Semitool, Inc. Semiconductor processing furnace heating subassembly
NL1005541C2 (nl) * 1997-03-14 1998-09-18 Advanced Semiconductor Mat Werkwijze voor het koelen van een oven alsmede oven voorzien van een koelinrichting.
US6005225A (en) * 1997-03-28 1999-12-21 Silicon Valley Group, Inc. Thermal processing apparatus
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6102113A (en) 1997-09-16 2000-08-15 B/E Aerospace Temperature control of individual tools in a cluster tool system
US6030461A (en) * 1997-12-18 2000-02-29 Advanced Micro Devices, Inc. Portable cooling system for use with a semiconductor fabrication system
US6002110A (en) * 1998-01-16 1999-12-14 Lockheed Martin Energy Research Corporation Method of using infrared radiation for assembling a first component with a second component
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6427470B1 (en) * 2001-02-05 2002-08-06 United Microelectronics Corp. Cooling system for reducing particles pollution
US6775996B2 (en) 2002-02-22 2004-08-17 Advanced Thermal Sciences Corp. Systems and methods for temperature control
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3910151B2 (ja) * 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US7293986B2 (en) * 2003-05-06 2007-11-13 Mrl Industries, Inc. Vestibule assembly for a heat treatment furnace
KR100634510B1 (ko) * 2004-09-06 2006-10-13 삼성전자주식회사 유로 조절부를 지닌 열 분해로
JP4607678B2 (ja) * 2005-06-15 2011-01-05 東京エレクトロン株式会社 熱処理装置、ヒータ及びヒータの製造方法
US7718225B2 (en) * 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
JP4739057B2 (ja) * 2006-02-20 2011-08-03 東京エレクトロン株式会社 熱処理装置、ヒータ及びその製造方法
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5732284B2 (ja) * 2010-08-27 2015-06-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
EP2452651A1 (de) * 2010-11-15 2012-05-16 Ivoclar Vivadent AG Dentalofen mit Suszeptor-Behälter
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
US8950470B2 (en) * 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013062361A (ja) * 2011-09-13 2013-04-04 Tokyo Electron Ltd 熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体
KR20130050051A (ko) * 2011-11-07 2013-05-15 현대자동차주식회사 차량용 냉각 장치
JP5819762B2 (ja) * 2012-03-29 2015-11-24 株式会社Screenホールディングス 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP7149259B2 (ja) 2016-08-05 2022-10-06 カンタール サーマル プロセス,インク. 熱処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11043402B2 (en) * 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116007390A (zh) * 2022-12-15 2023-04-25 湖南优热科技有限责任公司 一种带有快速主动冷却系统的石墨化炉

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2700365A (en) * 1951-10-08 1955-01-25 Ohio Commw Eng Co Apparatus for plating surfaces with carbonyls and other volatile metal bearing compounds
DE1186207B (de) * 1958-06-04 1965-01-28 Krauss Maffei Ag Heiz- und Kuehlvorrichtung fuer thermoplastische Kunststoffe verarbeitende Schneckenpressen
FR1517826A (fr) * 1966-12-13 1968-03-22 Hispano Suiza Sa Perfectionnements apportés aux fours de trempe à refroidissement par circulation gazeuse
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3964430A (en) * 1974-11-14 1976-06-22 Unicorp Incorporated Semi-conductor manufacturing reactor instrument with improved reactor tube cooling
US3922527A (en) * 1974-12-26 1975-11-25 Nat Forge Co Temperature control apparatus
JPS5824711A (ja) * 1981-08-05 1983-02-14 Kawasaki Heavy Ind Ltd 流動床燃焼ボイラの燃焼室構造
JPS608622A (ja) * 1983-06-28 1985-01-17 Matsushita Electric Ind Co Ltd 風呂の制御装置
US4858557A (en) * 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
JPS6188234U (ko) * 1984-11-16 1986-06-09
JPS61157325A (ja) * 1984-12-28 1986-07-17 Toa Nenryo Kogyo Kk 気体選択透過膜およびその製造方法
JPS61241916A (ja) * 1985-04-18 1986-10-28 Deisuko Saiyaa Japan:Kk 半導体熱処理装置
US4957781A (en) * 1985-07-22 1990-09-18 Hitachi, Ltd. Processing apparatus
JPS62112320A (ja) * 1985-11-11 1987-05-23 Toshiba Corp 半導体用熱処理装置
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPS63121429U (ko) * 1987-01-30 1988-08-05
KR890008922A (ko) * 1987-11-21 1989-07-13 후세 노보루 열처리 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100852508B1 (ko) * 2007-08-09 2008-08-18 (주)이노시티 온도 하강을 위한 개선된 구조를 갖는 종형열처리장치

Also Published As

Publication number Publication date
KR910001345A (ko) 1991-01-30
US5097890A (en) 1992-03-24
JPH03224217A (ja) 1991-10-03
JP2714577B2 (ja) 1998-02-16

Similar Documents

Publication Publication Date Title
KR960012876B1 (ko) 열처리 장치
US6403927B1 (en) Heat-processing apparatus and method of semiconductor process
KR101360069B1 (ko) 종형 열처리 장치 및 그의 냉각 방법
US5001327A (en) Apparatus and method for performing heat treatment on semiconductor wafers
US9255736B2 (en) Vertical-type heat treatment apparatus
TW200409176A (en) System and method for cooling a thermal processing apparatus
JPH05152224A (ja) 急速熱処理装置
KR102466150B1 (ko) 열처리 장치 및 열처리 방법
KR100748820B1 (ko) 열처리 방법 및 열처리 장치
US20190326139A1 (en) Ceramic wafer heater having cooling channels with minimum fluid drag
US8033823B2 (en) Heat processing apparatus
KR101543699B1 (ko) 기판 처리 장치
KR20110112074A (ko) 기판 처리 장치 및 방법
JP6998347B2 (ja) 基板処理装置、半導体装置の製造方法、及びプログラム
JP4404620B2 (ja) 基板処理装置および半導体装置の製造方法
JPH0465820A (ja) 熱処理装置
JP4954176B2 (ja) 基板の熱処理装置
KR20200059201A (ko) 기판 가열 유닛
JPH088220B2 (ja) 半導体ウェハの熱処理装置、及び熱処理方法
JP4495717B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2645360B2 (ja) 縦型熱処理装置および熱処理方法
JP3084232B2 (ja) 縦型加熱処理装置
JP2006245491A (ja) 基板熱処理装置および基板熱処理製造方法
JP2022159242A (ja) 支持ユニット及び基板処理装置
JP2023152674A (ja) 処理装置、および温度調整方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100910

Year of fee payment: 15

EXPY Expiration of term