JPH03224217A - 熱処理装置及び熱処理方法 - Google Patents

熱処理装置及び熱処理方法

Info

Publication number
JPH03224217A
JPH03224217A JP1153720A JP15372089A JPH03224217A JP H03224217 A JPH03224217 A JP H03224217A JP 1153720 A JP1153720 A JP 1153720A JP 15372089 A JP15372089 A JP 15372089A JP H03224217 A JPH03224217 A JP H03224217A
Authority
JP
Japan
Prior art keywords
tube
cooling fluid
reaction tube
reaction
soaking
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1153720A
Other languages
English (en)
Other versions
JP2714577B2 (ja
Inventor
Masaru Nakao
賢 中尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Sagami Ltd
Original Assignee
Tokyo Electron Sagami Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Sagami Ltd filed Critical Tokyo Electron Sagami Ltd
Publication of JPH03224217A publication Critical patent/JPH03224217A/ja
Application granted granted Critical
Publication of JP2714577B2 publication Critical patent/JP2714577B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D11/00Arrangement of elements for electric heating in or on furnaces
    • F27D11/02Ohmic resistance heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D9/00Cooling of furnaces or of charges therein
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/62Heating elements specially adapted for furnaces
    • H05B3/64Heating elements specially adapted for furnaces using ribbon, rod, or wire heater

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明は、熱処理装置に関する。
(従来の技術および発明が解決しようとする課題)半導
体デバイス、液晶駆動用回路板等の製造プロセスにおい
て、ウェハ、回路板等の非処理物を加熱してシリコン膜
、金属膜などの薄膜形成、拡散、酸化、エツチング等の
処理を施す為、反応管の周囲に加熱コイルが配設された
縦型或いは横型の熱処理装置が広く利用されている。
上記熱処理装置にあっては、被処理物を収納する反応管
は円筒状に形成された石英管等からなり、典型的には反
応管の外側に炭化ケイ素等からなる円筒状の均熱管が配
設される。また均熱管の周囲にコイル状に形成された加
熱ヒータが巻回され、更にこのヒータの外側に断熱材層
が配設される。
使用時において、反応管内は予め所定の処理温度、例え
ば数百度乃至千数百度に加熱され、縦型装置では下部開
口から、横型装置では一端開口から、ウェハボード上に
複数枚載置された半導体ウェハ等の処理物がロードされ
る。次にSiH*p 0seB2H,、PH,等の所定
の反応ガスが反応管内に流され、成膜或いは拡散等の処
理が行われる。
上記熱処理装置にはまた、熱処理後に反応領域全体を均
一温度に維持しながら急冷することが可能な冷却システ
ムを設けることが要求されて来ている。このような反応
管の冷却処理は、−被処理物内の熱履歴の均−性及び同
時処理の複数の被処理物間における熱履歴の同一性を得
ると共に、確実な反応の制御及びプロセス時間の短縮を
図る上で重要な要素となるからである。
横型熱処理装置における冷却システムの一例が特公昭5
8−24711に開示されている。このシステムにあっ
ては、冷却用のパイプが加熱コイル周囲の断熱材内に配
置されている。従って冷却効果が低い。
横型熱処理装置における冷却システムの他の例が特公昭
60−8622に開示されている。このシステムにあっ
ては、均熱管が省略され、ヒータが反応管の周囲に直接
配設され、その周囲をヒータケースが包囲する。冷却流
体は反応管外面とヒータケース内面とが形成する空間内
に、一端部からブロワにより吹込まれ、多端部から徘畠
される。このシステムでは、反応管に沿う冷却流体の流
れに偏りが生じ易く、反応領域全体を均一温度に維持し
ながら冷却することができない。
バレル型熱処理装置における冷却システムの一例が実開
昭61−157325に開示されている。このシステム
にあっては、加熱コイルが反応内筒の内側に配設され、
ウェハは反応内筒上のサセプタに支持される。冷却流体
は、反応外筒の外側に沿って流れるように、装置上部か
ら反応外筒接線方向に吹込まれ、下部から排出される。
このシステムでは、反応内筒内側に位置する加熱コイル
の残熱の影響が断切れない為、冷却効果が低く、また被
処理物であるウェハ等の表裏に多きな温度差を生じさせ
る為、急冷は同ウェハ等を歪ませる原因となるという問
題がある。
従って本発明の目的は、−被処理物内の熱履歴の均一性
、同時処理の複数の被処理物間における熱履歴の同一性
を得る為、反応領域全体を均一温度に維持しながら冷却
することが可能な熱処理装置における反応管冷却システ
ムを提供することである。
また本発明の他の目的は、確実な反応の制御、プロセス
時間の短縮を図る為、反応領域全体を均一温度に維持し
ながら急冷することが可能な熱処理装置における反応管
冷却システムを提供することである。
〔発明の構成〕
(課屈を解決するための手段) この発明はヒータ部に冷却用流体を螺旋状に流すことを
特徴とする。
(作用) この発明は反応管内をヒータにより熱処理し、降温する
際、ヒータ部に冷却流体を螺旋状に流すことにより急速
降温、急速温度制御を可能にしたことを特徴とする。
(実施例) 次に本発明装置をバッチ処理の縦型熱処理装置に適用し
た実施例を説明する。
第1図図示の本発明に係る反応管冷却システムの第1実
施例が配設された縦型熱処理装置10の中心には、石英
等により円筒状に形成された反応管(プロセスチューブ
)12がほぼ垂直に配置される。
反応管12の頂部には所定の反応ガスを導入する為の配
管14が接続され、これは図示されないガス供給源に連
通ずる。また反応管12の底部には排気配管16が接続
され、これは図示されない回収部に連通する。
反応管12内に均熱領域18を形成する為、反応管12
の外周囲に、炭化ケイ素等からなる均熱管22が同軸的
に配設され、更に、均熱管22の外周囲に、その外側面
と間隔をおいて加熱装置例えばコイル状ヒータ24が巻
回される。反応管の外径、均熱管の内径、ヒータの内径
は夫々208a++、 250mm、 285mとなっ
ている。ヒータ24の外周囲には断熱材26が配設され
、この断熱材の内周囲壁面はち密且つ平滑に形成され、
冷却流体流による塵埃の発生が防止される。
断熱材26の外側下部には、第2図図示の如く、図示さ
れないブロワ等に接続された冷却流体導入配管32が設
置され、この配管32から周囲90″′間隔で4つの導
入ノズル34が内側に向けて突設される。
導入ノズル34は断熱材26を貫通し、その先端はヒー
タ24が位置する均熱管22と断熱材26との間の間隙
部に至る。各導入ノズル34先端の吹出し口36は、第
2図に矢印で示されるように、上側から見た水平面内で
反時計方向に向けて冷却流体を噴出するように配向され
る。
断熱材26の外側上部には、図示されない吸引手段に接
続された冷却流体排出配管42が、冷却流体導入配管3
2と同様な態様で配置され、この配管42から周囲90
°間隔で4つの排出ノズル44が内側に向けて突設され
る。排出ノズル44は導入ノズル34と類似の形状を有
するが、排出ノズル44の開口46は、導入ノズル34
の吹呂し口36とは反対向きに配向される。
使用時において、上記縦型熱処理装置lOでは、反応管
12内部は予めヒータ24により所定の処理温度例えば
数百度乃至十数百度に加熱され、下部開口から図示しな
いボートエレベータ等により、ウェハボート28上に複
数載置された半導体ウェハW等の被処理物がロードされ
る。そして5IH410□。
B、HG、 PH,等の所定の反応ガスが反応管12内
に流され、成膜或いは拡散等の処理が行われる。
反応停止等の理由で反応管12内が急速に冷却されるよ
うな場合は、冷却流体導入配管32がらチルドエア等の
冷却流体が送出され、30分間程度の強制空冷が行われ
る。エアは、導入ノズル34からヒータ24が位置する
均熱管22と断熱材26との間の間隙部に水平(上側か
ら見て反時計方向)に噴出され、ヒータ24の熱により
、均熱管22の周囲を回転しながら螺旋状に上昇し、排
出ノズル44がら排出される。従ってこのエア流により
ヒータ24が直接冷却され、これと共に反応管12内が
冷却される。
またこのエア流は、均熱管22の周囲を回転しながら螺
旋状に上昇する為、水平面内における温度の均一性が得
られることとなる。
第3図図示の本発明に係る反応管冷却システムの第2実
施例が配設された縦型熱処理装置50は、上記縦型熱処
理装置10と基本的に同一の構造をなす。従って、第3
図中、装置10.50の共通する部材には第1図中と同
一符号が付されている。
はぼ垂直に配置された反応管12の頂部には所定の反応
ガスを導入する為の配管14が接続され、これは図示さ
れないガス供給源に連通ずる。また反応管12の底部に
は排気配管16が接続され、これは図示されない回収部
に連通ずる。
反応管12内に均熱領域18を形成する為、反応管12
の外周囲に、炭化ケイ素等からなる均熱管22が同軸的
に配設され、更に、均熱管22の外周囲に、その外側面
と間隔をおいて加熱装置例えばコイル状ヒータ24が巻
回される。反応管の外径、均熱管の内径、ヒータの内径
は夫々208■、250■、2851となっている。ヒ
ータ24の外周囲には断熱材26が配設され、この断熱
材の内周囲壁面はち密且つ平滑に形成される。
本装置50にあっては、ヒータ24が装置50の下部A
、中央部B、上部Cの3つの区域に分けて独立的に操作
できるように構成され、反応管12の均熱領域18の制
御を確実に行えるようになっている。
反応管12の均熱領域18の温度は均熱領域18に沿っ
て複数箇所に配置された熱電対等の図示されないセンサ
により随時検知され、この検知温度は図示されない制御
機構に伝達される。制御機構はこの温度情報に基づきヒ
ータ24に対する電力の調整。
或いは後述される各冷却流体導入ノズル54における流
体流量の調整を行う。
冷却流体導入ノズル54は、水平方向においては第4図
図示の如く、反応管12に対して周囲90°間隔で4箇
所配置されるが、垂直方向においては第3図図示の如く
、上記3つの区域に対応して、下部Aに1組、中央部B
に2組、上部Cに3組の、合計6組の導入ノズル54が
配設される。導入ノズル54はセラミック製で、6−8
mの内径を有する。
導入ノズル54は断熱材26及び均熱管22に穿設され
た孔を貫通し、その先端が反応管12と均熱管22との
間の間隙部に至る。各導入ノズル54先端の吹出し口5
6は、第4図図示の如く、上側から見た水平面内で反時
計方向に向けて冷却流体を噴出するように配向される。
各導入ノズル54は、配管52を介してマニホルド62
に接続され、他方マニホルド62は図示されないブロワ
等の手段を介して冷却流体供給源58に連通ずる。マニ
ホルド62近傍には、各ノズル54の配管52毎に調整
弁64が配設され、各ノズル54毎に独立的に冷却流体
の流量を調整し得るようになっている。
また各導入ノズル54は、断熱材26の外側で、垂直方
向に並ぶ6本が同一の支持板66に固定され、他方支持
板66は両側をエアシリンダ68のピストンロッド72
に取付けられる。従ってエアシリンダ68の駆動により
支持板66は断熱材26外面に対して接近及び離反し、
これに伴って各導入ノズル54は、先端が均熱管22の
内面から突出して反応管12に接近する突出位置と、先
端が均熱管22の内面に引込む退避位置との間を移動し
得るようになっている。
断熱材26の外側上部には、吸引手段に接続された冷却
流体排出管42が上記第1及び第2図図示実施例と同様
な態様で設置され、この配管42がら周囲90#間隔で
4つの排出ノズル74が内側に向けて突設される。排出
ノズル74は均熱管22を貫通し、その先端の吹込み口
は反応管12と均熱管22との間の間隙部に開口する。
第3図において、導入ノズル54から冷却流体供給源5
8に至る配管構造、及びノズル54を駆動する為の支持
板66、エアシリンダ68等の構造は、第3図中右側の
垂直方向に並ぶ6本の導入ノズル54に関してのみ示さ
れるが、反応912に対して周囲90°間隔で配置され
た他の3箇所の垂直列の導入ノズル54についても同様
な構造となっている。
使用時において、上記縦型熱処理装置50では、反応管
12内部は予めヒータ24により所定の処理温度に加熱
され、下部開口から被処理物例えばウェハボート28上
に複数載置された半導体ウェハWがロードされる。そし
て反応管12内に所定の反応ガスが流され、成膜或いは
拡散等の処理が行われる。
この反応管12の加熱時は5図示されない制御機構によ
り、全導入ノズル54が退避位置に存在するように、エ
アシリンダ68が操作される。従って導入ノズル54は
均熱管22の内面から引込んだ状態に係留され、ノズル
54を介しての熱の伝導等による熱効率の低下が防止さ
れる。
反応管12内が急速に冷却されるような場合は、先ず図
示されない制御機構によりエアシリンダ68が操作され
、全導入ノズル54は、先端が均熱管22の内面から突
出して反応管12に接近する突出位置に移動される。そ
して各ノズル54に連携する流量調整弁64が開放され
、0.1〜lOm’/win、例えば3■)7’+in
のチルドエア等の冷却流体が、各導入ノズル54の吹出
し口56を通して反応管12と均熱管22との間の間隙
部に供給され、30分間程度の強制空冷が行われる。各
導入ノズル54からの各エアは、反応管12の周囲に水
平(上側から見て反時計方向)に噴出され、反応管12
の熱を吸収することにより、反応管12の周囲を回転し
ながら螺旋状に上昇し、最終的に最上部の排出ノズル7
4を通して排出される。前述の如く反応管12の均熱領
域18の温度はセンサにより随時検知され、この検知温
度に応じて各導入ノズル54から冷却流体流量が個別に
調整される。流量の調整は、制御機構が上記検知温度情
報に基づき各制御弁64を作動させ、その開度を変更さ
せることにより行われる。
この実施例においては、上記各エア即ち冷却流体流が、
反応管12の周囲を回転しながら螺旋状に上昇する為、
水平面内における温度の均一性が得られるだけでなく、
反応管12の長手方向に沿った複数箇所から冷却流体が
吹出され、且つ各流体流量が検知温度情報に応じて変更
される為、均熱領域18の長手に沿った垂直方向におけ
る温度の均一性も保証されることとなる。また上記冷却
流体の複合流により反応管12が直接冷却される為、高
い冷却効率が得られると共に、高温状態のヒータ24に
エア等の冷却流体が直接接触することによる酸化等の冷
却流体の予想される悪影響が回避される。
第5図は本発明に係る反応管冷却システムの第3実施例
が配設された縦型熱処理装置100を示す。
はぼ水平に配置された反応管102の一端には所定の反
応ガスを導入する為の配管104が接続され。
これはガス供給源112に連通する。また反応管102
の他端部は蓋116の付いたエンドキャップ114によ
り閉鎖され、該キャップ114には回収部118に連通
ずる排気配管106が接続される。
反応管102内に均熱領域108を形成する為、反応管
102の外周囲に、炭化ケイ素等からなる均熱管122
が同軸的に配設され、更に、均熱管122の外周囲に、
その外側面と間隔をおいて加熱装置例えばコイル状ヒー
タ124が巻回される。ヒータ124の外周囲には断熱
材126が配設され、この断熱材の内周囲壁面はち密且
つ平滑に形成される。
反応管102の均熱領域108の温度は均熱領域108
に沿って3箇所に配置された熱電対等のセンサ110に
より随時検知され、この検知温度は図示されない制御機
構に伝達される。制御機構はこの温度情報に基づきヒー
タ124に対する電力の調整、或いは後述される各冷却
流体導入ノズル134における流体流量の調整を行う。
第6図図示の如く、反応管102の最下部に対向して、
複数の冷却流体導入ノズル134が概ね均熱領域の全長
に亘って一例に配置される。第5図においては、作図の
都合上9本のノズルが示される゛が、実際は反応管のサ
イズ等に応じて15本或いはそれ以上の本数のノズルが
設けられる。導入ノズル54はセラミック製で、66−
8rの内径を有する。
導入ノズル134は断熱材126及び均熱管122に穿
設されたスリットを貫通し、その先端が反応管102と
均熱管122との間の間隙部に至る。各導入ノズル13
4先端の吹出し口136は、第6図図示の如く、横方向
から見た垂直面内で反応管102の周囲方向両側に向け
て冷却流体を噴出するように配向される。
各導入ノズル134は、配管132を介してマニホルド
142に接続され、他方マニホルド142は図示されな
いブロワを介して冷却流体供給源138に連通ずる。マ
ニホルド142近傍には、各ノズル134の配管132
毎に調整弁144が配設され、各ノズル134毎に独立
的に冷却流体の流量を調整し得るようになっている。
また各導入ノズル134は、断熱材126の外側で同一
の支持板146に固定され、他方支持板146は両側を
エアシリンダ148のピストンロッド152に取付けら
れる。従ってエアシリンダ148の駆動により支持板1
46は断熱材126外面に対して接近及び離反し、これ
に伴って各導入ノズル134は、先端が均熱管122の
内面から突出して反応管102に接近する突出位置と、
先端が均熱管122の内面に引込む退避位置との間を移
動し得るようになっている。
反応管102の最上部の長手方向両端に対向して冷却流
体排出ノズル154が設置される。排出ノズル154は
断熱材126の外側で図示されない吸引手段に接続され
る一方、断熱材126の内側では均熱管122を貫通し
、その先端の吹込み口は反応管102と均熱管122と
の間の間隙部に開口する。
使用時において、上記縦型熱処理装置50では、反応管
102内部は予めヒータ124により所定の処理温度に
加熱され、次にエンドキャップ114の蓋116が開放
されて被処理物例えばウェハボート128上に複数載置
された半導体ウェハWがソフトランディング等によりロ
ードされる。そして反応管102内に所定の反応ガスが
流され、成膜或いは拡散等の処理が行われる。この反応
管102の加熱時は、図示されない制御機構により、全
導入ノズル134が退避位置に存在するように、エアシ
リンダ148が操作される。従って導入ノズル134は
均熱管122の内面から引込んだ状態に係留され、ノズ
ル134を介しての熱の伝導等による熱効率の以下が防
止される。
反応管102内が急速に冷却されるような場合は、先ず
図示されない制御機構によりエアシリンダ148が操作
され、全導入ノズル134は、先端が均熱管122の内
面から突出して反応管102に接近する突出位置に移動
される。そして各ノズル134に連携する流量調整弁1
44が開放され、0.1−Ion’/m1ne例えば3
 m3/ minのチルドエア等の冷却流体が、各導入
ノズル134の吹出し口136を通して反応管102と
均熱管122との間の間隙部に供給され、30分間程度
の強制空冷が行われる。各導入ノズル134からの各エ
アは、反応管102の周囲方向に両側に噴出され、反応
管102の周囲方向においては第6図図示の軌道を辿る
と共に、反応管102の長手方向においては、反応管1
02長手方向両端の排出ノズル154を介して影響する
吸引手段に引かれ、概ね第7図の軌道を辿って移動する
。移動に伴ってエアは反応管102の熱を吸収し、最終
的に両排出ノズル154を通して排出される。前述の如
く反応管102の均熱領域108の温度はセンサにより
随時検知され、この検知温度に応じて各導入ノズル13
4からの冷却流体流量が個別に調整される。流量の調整
は、制御機構が上記検知温度情報に基づき各制御弁14
4 を作動させ、その開度を変更させることにより行わ
れる。
この実施例においては、検知温度情報に応じて変更され
た流量で、均熱領域108の概ね全長に亘る複数箇所か
らエア即ち冷却流体が吹出され、且つ両端の排出ノズル
154に向けて吸引される為。
均熱領域I8の長手に沿った水平方向と、これと直交す
る垂直方向の両方向における温度の均一性が得られる。
また上記冷却流体の複合流により反応管12が直接冷却
される為、高い冷却効率が得られると共に、高温状態の
ヒータ24にエア等の冷却流体が直接接触することによ
る酸化等の冷却流体の予想される悪影響が回避される。
以上本発明の詳細は、添付の図面に示される望ましい実
施例に従って説明されてきたが、これら実施例に対して
は、本発明の範囲を逸脱することなく種々の変更、改良
が可能となることは明白である。
さらに、上記実施例では熱処理炉に適用した例について
説明したが、拡散炉、酸化炉、CVD炉、プラズマCV
D炉など何れにも適用できる。
〔発明の効果〕
以上説明したように本発明によれば、ヒータ部に冷却用
流体を螺旋状に流す構成にしたので、降温、冷却温度制
御などを効率よく高速に実施できる。
【図面の簡単な説明】
第1図は本発明装置の一実施例を説明するための説明図
、第2図は第1図の冷却流体の流れ状態説明図、第3図
は第1図の他の実施例説明図、第4図は第2図の他の実
施例説明図、第5図は第1図の他の実施例説明図、第6
図は第5図の冷却流体の流れ状態説明図、第7図は第5
図装置の長・平方向での冷却流体の流れ状態説明図であ
る。 12・・・反応管       24・・・ヒータ2.
2・・・均熱管       34・・・導入ノズル3
6・・・吹出し口

Claims (1)

    【特許請求の範囲】
  1. (1)内部に被処理物を収容する反応管を囲繞する如く
    ヒータを設けた熱処理装置において、前記反応管内温度
    を降温するに際し、前記ヒータ部に、冷却用流体を螺旋
    状に流す手段を設けたことを特徴とする熱処理装置。
JP1153720A 1988-06-16 1989-06-16 熱処理装置及び熱処理方法 Expired - Lifetime JP2714577B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP63-148676 1988-06-16
JP14867688 1988-06-16
JP5350889 1989-03-06
JP1-53508 1989-03-06

Publications (2)

Publication Number Publication Date
JPH03224217A true JPH03224217A (ja) 1991-10-03
JP2714577B2 JP2714577B2 (ja) 1998-02-16

Family

ID=26394211

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1153720A Expired - Lifetime JP2714577B2 (ja) 1988-06-16 1989-06-16 熱処理装置及び熱処理方法

Country Status (3)

Country Link
US (1) US5097890A (ja)
JP (1) JP2714577B2 (ja)
KR (1) KR960012876B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5346555A (en) * 1992-07-30 1994-09-13 Kabushiki Kaisha Toshiba Device for thermal treatment and film forming process
JPH07509345A (ja) * 1992-06-15 1995-10-12 サームテック インコーポレイテッド 高性能水平拡散炉装置
JP2013062361A (ja) * 2011-09-13 2013-04-04 Tokyo Electron Ltd 熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US5465843A (en) * 1994-02-03 1995-11-14 Rehrig Pacific Company Nestable display crate for bottles or the like
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
KR0175338B1 (ko) * 1993-05-31 1999-03-20 김광호 공기조화기의 공기유로 제어장치 및 방법
US5855277A (en) * 1994-02-03 1999-01-05 Rehrig Pacific Company, Inc. Nestable display crate for bottles with handle feature
JP3583467B2 (ja) * 1994-05-30 2004-11-04 株式会社東芝 半導体装置の製造装置及び製造方法
US5855677A (en) * 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
JP3094816B2 (ja) * 1994-10-25 2000-10-03 信越半導体株式会社 薄膜の成長方法
USD379121S (en) * 1995-04-18 1997-05-13 Rehrig Pacific Company Nestable crate with handle
ATE312955T1 (de) * 1996-05-21 2005-12-15 Applied Materials Inc Verfahren und vorrichtung zum regeln der temperatur einer reaktorwand
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US5904478A (en) * 1997-03-07 1999-05-18 Semitool, Inc. Semiconductor processing furnace heating subassembly
NL1005541C2 (nl) * 1997-03-14 1998-09-18 Advanced Semiconductor Mat Werkwijze voor het koelen van een oven alsmede oven voorzien van een koelinrichting.
US6005225A (en) * 1997-03-28 1999-12-21 Silicon Valley Group, Inc. Thermal processing apparatus
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6102113A (en) * 1997-09-16 2000-08-15 B/E Aerospace Temperature control of individual tools in a cluster tool system
US6030461A (en) * 1997-12-18 2000-02-29 Advanced Micro Devices, Inc. Portable cooling system for use with a semiconductor fabrication system
US6002110A (en) * 1998-01-16 1999-12-14 Lockheed Martin Energy Research Corporation Method of using infrared radiation for assembling a first component with a second component
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6427470B1 (en) * 2001-02-05 2002-08-06 United Microelectronics Corp. Cooling system for reducing particles pollution
US6775996B2 (en) 2002-02-22 2004-08-17 Advanced Thermal Sciences Corp. Systems and methods for temperature control
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3910151B2 (ja) * 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US7293986B2 (en) * 2003-05-06 2007-11-13 Mrl Industries, Inc. Vestibule assembly for a heat treatment furnace
KR100634510B1 (ko) * 2004-09-06 2006-10-13 삼성전자주식회사 유로 조절부를 지닌 열 분해로
JP4607678B2 (ja) * 2005-06-15 2011-01-05 東京エレクトロン株式会社 熱処理装置、ヒータ及びヒータの製造方法
US7718225B2 (en) * 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
JP4739057B2 (ja) * 2006-02-20 2011-08-03 東京エレクトロン株式会社 熱処理装置、ヒータ及びその製造方法
KR100852508B1 (ko) * 2007-08-09 2008-08-18 (주)이노시티 온도 하강을 위한 개선된 구조를 갖는 종형열처리장치
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5732284B2 (ja) * 2010-08-27 2015-06-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
EP2452651A1 (de) * 2010-11-15 2012-05-16 Ivoclar Vivadent AG Dentalofen mit Suszeptor-Behälter
US8950470B2 (en) * 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130050051A (ko) * 2011-11-07 2013-05-15 현대자동차주식회사 차량용 냉각 장치
JP5819762B2 (ja) * 2012-03-29 2015-11-24 株式会社Screenホールディングス 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102381805B1 (ko) 2016-08-05 2022-03-31 샌드빅 써멀 프로세스. 인크. 열적 프로세스 디바이스
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11043402B2 (en) * 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116007390A (zh) * 2022-12-15 2023-04-25 湖南优热科技有限责任公司 一种带有快速主动冷却系统的石墨化炉

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6188234U (ja) * 1984-11-16 1986-06-09
JPS61241916A (ja) * 1985-04-18 1986-10-28 Deisuko Saiyaa Japan:Kk 半導体熱処理装置
JPS62112320A (ja) * 1985-11-11 1987-05-23 Toshiba Corp 半導体用熱処理装置
JPS63121429U (ja) * 1987-01-30 1988-08-05

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2700365A (en) * 1951-10-08 1955-01-25 Ohio Commw Eng Co Apparatus for plating surfaces with carbonyls and other volatile metal bearing compounds
DE1186207B (de) * 1958-06-04 1965-01-28 Krauss Maffei Ag Heiz- und Kuehlvorrichtung fuer thermoplastische Kunststoffe verarbeitende Schneckenpressen
FR1517826A (fr) * 1966-12-13 1968-03-22 Hispano Suiza Sa Perfectionnements apportés aux fours de trempe à refroidissement par circulation gazeuse
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3964430A (en) * 1974-11-14 1976-06-22 Unicorp Incorporated Semi-conductor manufacturing reactor instrument with improved reactor tube cooling
US3922527A (en) * 1974-12-26 1975-11-25 Nat Forge Co Temperature control apparatus
JPS5824711A (ja) * 1981-08-05 1983-02-14 Kawasaki Heavy Ind Ltd 流動床燃焼ボイラの燃焼室構造
JPS608622A (ja) * 1983-06-28 1985-01-17 Matsushita Electric Ind Co Ltd 風呂の制御装置
US4858557A (en) * 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
JPS61157325A (ja) * 1984-12-28 1986-07-17 Toa Nenryo Kogyo Kk 気体選択透過膜およびその製造方法
US4957781A (en) * 1985-07-22 1990-09-18 Hitachi, Ltd. Processing apparatus
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
KR890008922A (ko) * 1987-11-21 1989-07-13 후세 노보루 열처리 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6188234U (ja) * 1984-11-16 1986-06-09
JPS61241916A (ja) * 1985-04-18 1986-10-28 Deisuko Saiyaa Japan:Kk 半導体熱処理装置
JPS62112320A (ja) * 1985-11-11 1987-05-23 Toshiba Corp 半導体用熱処理装置
JPS63121429U (ja) * 1987-01-30 1988-08-05

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07509345A (ja) * 1992-06-15 1995-10-12 サームテック インコーポレイテッド 高性能水平拡散炉装置
US5346555A (en) * 1992-07-30 1994-09-13 Kabushiki Kaisha Toshiba Device for thermal treatment and film forming process
JP2013062361A (ja) * 2011-09-13 2013-04-04 Tokyo Electron Ltd 熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体

Also Published As

Publication number Publication date
KR910001345A (ko) 1991-01-30
JP2714577B2 (ja) 1998-02-16
US5097890A (en) 1992-03-24
KR960012876B1 (ko) 1996-09-25

Similar Documents

Publication Publication Date Title
JPH03224217A (ja) 熱処理装置及び熱処理方法
US5702531A (en) Apparatus for forming a thin film
JP2662722B2 (ja) バッチ式熱処理装置
KR100748820B1 (ko) 열처리 방법 및 열처리 장치
JPH09260364A (ja) 熱処理方法および熱処理装置
US20190326139A1 (en) Ceramic wafer heater having cooling channels with minimum fluid drag
CN106653661A (zh) 一种热处理设备工艺门冷却系统及冷却方法
JP4516318B2 (ja) 基板処理装置および半導体装置の製造方法
JP2006505947A (ja) 強制対流利用型の急速加熱炉
JP2645360B2 (ja) 縦型熱処理装置および熱処理方法
JPS62140413A (ja) 縦型拡散装置
WO2003092060A1 (fr) Dispositif de traitement utilisant une structure tete de pulverisation et procede de traitement
KR100244040B1 (ko) 반도체 제조장치 및 기판처리방법
JP3322472B2 (ja) 熱処理装置
JPH0468522A (ja) 縦型熱処理装置
JPH09260363A (ja) 半導体製造装置
JP2000077346A (ja) 熱処理装置
JPH06196428A (ja) 半導体基板の処理装置
JP3118760B2 (ja) 熱処理装置
KR20050058842A (ko) 반도체 제조장치
JP2693465B2 (ja) 半導体ウェハの処理装置
JPH07335581A (ja) 縦型炉の冷却装置
KR20050039059A (ko) 반도체 제조용 반응로
JPH0468528A (ja) 縦型熱処理装置
JPS62257721A (ja) 半導体ウエハの加熱処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091107

Year of fee payment: 12